Publications HAL

2016

Conference papers

titre
Synthesis and Performance Optimization of a Switching Nano-crossbar Computer
auteur
D. Alexandrescu, M. Altun, Lorena Anghel, A. Bernasconi, V. Ciriani, L. Frontini, Mehdi B. Tahoori
article
Euromicro Conference on Digital System Design (Euromicro DSD/SEAA'16), Aug 2016, Limassol, Cyprus
Accès au bibtex
BibTex
titre
Multi-context Non-volatile Content Addressable Memory Using Magnetic Tunnel Junctions
auteur
E. Deng, Guillaune Prenat, Lorena Anghel, W. Zhao
article
12th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH'16), Jul 2016, Beijing, China
Accès au bibtex
BibTex
titre
Multi-context non-volatile content addressable memory using magnetic tunnel junctions
auteur
Erya Deng, Guillaune Prenat, Lorena Anghel, Weisheng S Zhao
article
Nanoarch, Jul 2016, Beijing, China
Accès au bibtex
BibTex
titre
In-Situ Slack Monitors : Taking up the Challenge of On-die Monitoring of Variability and Reliability
auteur
A. Benhassain, S. Mhira, F. Cacho, V. Huard, Lorena Anghel
article
International Verification and Security Workshop, Jul 2016, Sant Feliu de Guixols, Spain
Accès au bibtex
BibTex
titre
A Hybrid Algorithm to Conservatively Check the Robustness of Circuits
auteur
N. Thole, Lorena Anghel, G. Fey
article
IEEE European Test Symposium (ETS'16), May 2016, Amsterdam, Netherlands
Accès au bibtex
BibTex
titre
System Failure Prediction with On-Chip Monitors
auteur
Lorena Anghel
article
Colloque National 2016 de GDR SOC-SIP, May 2016, Nantes, France
Accès au bibtex
BibTex
titre
Early system failure prediction by using aging in situ monitors: Methodology of implementation and application results
auteur
Lorena Anghel, A. Benhassain, A. Sivadasan
article
IEEE 34th VLSI Test Symposium (VTS'16), Apr 2016, Las Vegas, NE, United States
Accès au bibtex
BibTex
titre
Robustness of Timing in-situ Monitors for AVS Management
auteur
A. Benhassain, F. Cacho, V. Huard, S. Mhira, Lorena Anghel, C. Parthasarathy, A. Jain, A. Sivadasan
article
IEEE International Reliability Physics Semiconductor (IRPS'16), Apr 2016, Passadena, United States
Accès au bibtex
BibTex
titre
Workload Impact on BTI HCI Induced Aging of Digital Circuits: A System level Analysis
auteur
A. Sivadasan, F. Cacho, A. Benhassain, V. Huard, Lorena Anghel
article
Workshop on Early Reliability Modeling for Aging and Variability in Silicon Systems, Mar 2016, Dresden, Germany
Accès au bibtex
BibTex
titre
Study of workload impact on BTI HCI induced aging of digital circuits
auteur
A. Sivadasan, F. Cacho, A. Benhassain, V. Huard, Lorena Anghel
article
Design Automation and Test in Europe (DATE'16), Mar 2016, Dresden, Germany
Accès au bibtex
BibTex
titre
Moniteurs de fiabilité embarqués en technologie FDSOI: Implémentation et Applications
auteur
Lorena Anghel
article
Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH'16), Jan 2016, Vilard de Lans, France
Accès au bibtex
BibTex