Publications HAL de Anghel; Di Pendina; Pendina; Duhem; Prenat de la structure 1043270;1402;40366

2024

Journal articles

titre
Fully analog 28nm FD-SOI hardware solution for drift and variability mitigation of embedded PCM memories in spiking neural networks
auteur
Joao Henrique Quintino Palhares, Yann Beilliard, Nikhil Garg, Lorena Anghel, F. Alibart, Dominique Drouin, Philippe Galy
article
Frontiers in Neuroscience, In press
Accès au bibtex
BibTex

Conference papers

titre
Testing Spintronics Implemented Monte Carlo Dropout-Based Bayesian Neural Networks
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi Tahoori
article
2024 IEEE European Test Symposium (ETS), May 2024, The Hague, France. pp.1-6, ⟨10.1109/ETS61313.2024.10567852⟩
Accès au bibtex
https://arxiv.org/pdf/2401.04744 BibTex
titre
Ferroelectric spin orbit devices for ultralow power computing
auteur
Salvatore Teresi, Paolo Sgarro, Aurélie Kandazoglou, Théo Frottier, Maxime Culot, Williams Savero Torres, Chadi Al Khatib, Maxen Cosset-Cheneau, Cécile Grèzes, Pierre Noé, Louis Hutin, Kevin Garello, Lorena Anghel, Guillaume Prenat, Laurent Vila, Manuel Bibes, Jean-Philippe Attané
article
2024 8th IEEE Electron Devices Technology & Manufacturing Conference (EDTM), Mar 2024, Bangalore, India. pp.1-3, ⟨10.1109/EDTM58488.2024.10512348⟩
Accès au bibtex
BibTex
titre
NeuSpin: Design of a Reliable Edge Neuromorphic System Based on Spintronics for Green AI
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori
article
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Mar 2024, Valencia (Espagne), Spain
Accès au bibtex
BibTex
titre
Enhancing Reliability of Neural Networks at the Edge: Inverted Normalization with Stochastic Affine Transformations
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Guillaume Prenat, Lorena Anghel, Mehdi B. Tahoori
article
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, Mar 2024, Valencia (Espagne), Spain
Accès au bibtex
BibTex

2023

Journal articles

titre
SpinBayes: Algorithm-Hardware Co-Design for Uncertainty Estimation Using Bayesian In-Memory Approximation on Spintronic-Based Architectures
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi Tahoori
article
ACM Transactions on Embedded Computing Systems (TECS), 2023, 22 (5s), pp.1-25. ⟨10.1145/3609116⟩
Accès au bibtex
BibTex
titre
Self-Test Library Generation for In-field Test of Path Delay faults
auteur
Lorena Anghel, Riccardo Cantoro, Riccardo Masante, Michele Portolan, Sandro Sartoni, Matteo Sonza Reorda
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, pp.1-1. ⟨10.1109/TCAD.2023.3268210⟩
Accès au bibtex
BibTex
titre
Backpropagation-based learning techniques for deep spiking neural networks: a survey
auteur
Manon Dampfhoffer, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
IEEE Transactions on Neural Networks and Learning Systems, 2023, pp.1-16. ⟨10.1109/TNNLS.2023.3263008⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04064177/file/FINAL_VERSION.pdf BibTex
titre
SpinDrop: Dropout-Based Bayesian Binary Neural Networks With Spintronic Implementation
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B Tahoori
article
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2023, 13 (1), pp.150-164. ⟨10.1109/JETCAS.2023.3242146⟩
Accès au bibtex
BibTex
titre
Correlation between 1064 nm laser attack and thermal behavior in STT-MRAM
auteur
Nicole Yazigy, J. Postel-Pellerin, G. Di Pendina, R.C. Sousa, V. Della Marca, Pierre Canet
article
Microelectronics Reliability, 2023, 150, pp.115167. ⟨10.1016/j.microrel.2023.115167⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04524638/file/MR_2023_finale-figures_super_top_forza_modena.pdf BibTex
titre
A tunable and versatile 28nm FD-SOI crossbar output circuit for low power analog SNN inference with eNVM synapses
auteur
Joao Henrique Quintino Palhares, Yann Beilliard, Jury Sandrini, Franck Arnaud, Kevin Garello, Guillaume Prenat, Lorena Anghel, Fabien Alibart, Dominique Drouin, Philippe Galy
article
Solid-State Electronics, 2023, 209, pp.108779. ⟨10.1016/j.sse.2023.108779⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04221623/file/2305.16187.pdf BibTex
titre
MOZART+: Masking Outputs with Zeros for Improved Architectural Robustness and Testing of DNN Accelerators
auteur
Stephane Burel, Adrian Evans, Lorena Anghel
article
IEEE Transactions on Device and Materials Reliability, 2023, 22 (2), pp.120-128. ⟨10.1109/TDMR.2022.3159089⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03823955/file/mozart_tdmr_21_11_24.pdf BibTex

Conference papers

titre
Designing networks of resistively-coupled stochastic Magnetic Tunnel Junctions for energy-based optimum search
auteur
Kamal Danouchi, Lucile Soumah, Corentin Bouchard, Florian Disdier, Aymen Fassatoui, Nhat-Tan Phan, M. Ezzadeen, Bertrand Delaet, Bernard Viala, Guillaume Prenat, Lorena Anghel, Philippe Talatchian, I. -L. Prejbeanu, François Andrieu, Kevin Garello, Louis Hutin
article
IEDM 2023 - 69th Annual IEEE International Electron Device Meeting, IEEE, Dec 2023, San Francisco, United States. pp.1-4, ⟨10.1109/IEDM45741.2023.10413843⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04359859/file/Kamal_IEDM23.pdf BibTex
titre
Evaluating the Impact of Aging on Path-Delay Self-Test Libraries
auteur
Riccardo Cantoro, Sandro Sartoni, Matteo Sonza Reorda, Lorena Anghel, Michele Portolan
article
IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023), Oct 2023, Juan-les-Pins, France. pp.1-7, ⟨10.1109/DFT59622.2023.10313531⟩
Accès au bibtex
BibTex
titre
Minimum SRAM Retention Voltage: Insight about optimizing Power Efficiency across Temperature Profile, Process Variation and Aging
auteur
Y. Aslan, F. Cacho, T. Kumar, D. Janardan, A. Kumar, F. Giner, M. Faurichon, Lorena Anghel
article
2023 IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS), IEEE, Jul 2023, Crete, Greece. pp.1-6, ⟨10.1109/IOLTS59296.2023.10224895⟩
Accès au bibtex
BibTex
titre
Probabilistic Bitstream Generator based on Superparamagnetic Tunnel Junctions
auteur
Kamal Danouchi, Guillaume Prenat, Lorena Anghel
article
2023 IEEE 23rd International Conference on Nanotechnology (NANO), Jul 2023, Jeju City, South Korea. pp.638-642, ⟨10.1109/NANO58406.2023.10231253⟩
Accès au bibtex
BibTex
titre
Robustness and Power Efficiency in Spin-Orbit Torque-Based Probabilistic Logic Circuits
auteur
Kamal Danouchi, Guillaume Prenat, Philippe Talatchian, Louis Hutin, Lorena Anghel
article
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), IEEE, Jun 2023, Foz do Iguacu, Brazil. pp.1-6, ⟨10.1109/ISVLSI59464.2023.10238682⟩
Accès au bibtex
BibTex
titre
Improving the Robustness of Neural Networks to Noisy Multi-Level Non-Volatile Memory-based Synapses
auteur
Manon Dampfhoffer, Joel Minguet Lopez, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
2023 International Joint Conference on Neural Networks (IJCNN), Jun 2023, Gold Coast, Australia. pp.10.1109/IJCNN54540.2023.10191804, ⟨10.1109/IJCNN54540.2023.10191804⟩
Accès au texte intégral et bibtex
https://cea.hal.science/cea-04185987/file/PREPRINT_IJCNN23___v6___FINAL.pdf BibTex
titre
Leveraging sparsity with Spiking Recurrent Neural Networks for energy-efficient keyword spotting
auteur
Manon Dampfhoffer, Thomas Mesquida, Emmanuel Hardy, Alexandre Valentian, Lorena Anghel
article
2023 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP 2023), Jun 2023, Ixia-Ialyssos, Greece. ⟨10.1109/ICASSP49357.2023.10097174⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04149763/file/ICASSP23_final.pdf BibTex
titre
On Using Cell-Aware Methodology for SRAM Bit Cell Testing
auteur
Xhesila Xhafa, Aymen Ladhar, Eric Faehn, Lorena Anghel, Gregory Di Pendina, Patrick Girard, Arnaud Virazel
article
ETS 2023 - 28th IEEE European Test Symposium, May 2023, Venezia, Italy. pp.1-4, ⟨10.1109/ETS56758.2023.10174118⟩
Accès au bibtex
BibTex
titre
Embedded Tutorial: Hardware design and Reliability Mitigation of Binary Bayesian Reasoning Speakers: Lorena Anghel (Grenoble INP) and Mehdi Tahoori (KIT)
auteur
Lorena Anghel, Mehdi Tahoori
article
IEEE VLSI Test Symposium, IEEE, Apr 2023, San Diego (CA), United States
Accès au bibtex
BibTex
titre
Scalable Spintronics-based Bayesian Neural Network for Uncertainty Estimation
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi Tahoori
article
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Apr 2023, Antwerp, Belgium. pp.1-6, ⟨10.23919/DATE56975.2023.10137167⟩
Accès au bibtex
BibTex

2022

Journal articles

titre
Experimental analysis on stochastic behavior of preswitching time in STT-MRAM
auteur
N. Yazigy, J. Postel-Pellerin, V. Della Marca, K. Terziyan, S. Nadifi, R.C. Sousa, P. Canet, G. Di Pendina
article
Microelectronics Reliability, 2022, 138, pp.114677. ⟨10.1016/j.microrel.2022.114677⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03941025/file/ESREF_2022_version_finale-3-JPP.pdf BibTex
titre
Spintronic Memristor based Binarized Ensemble Convolutional Neural Network Architectures
auteur
Ghislain Takam Tchendjou, Kamal Danouchi, Guillaume Prenat, Lorena Anghel
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022, pp.1-1. ⟨10.1109/TCAD.2022.3213612⟩
Accès au bibtex
BibTex
titre
A fast, energy efficient and tunable magnetic tunnel junction based bitstream generator for stochastic computing
auteur
Etienne Becle, Guillaume Prenat, Philippe Talatchian, Lorena Anghel, Ioan-Lucian Prejbeanu
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69 (8), pp.3251-3259. ⟨10.1109/TCSI.2022.3173030⟩
Accès au bibtex
BibTex
titre
Investigating current-based and gating approaches for accurate and e-efficient spiking recurrent neural networks
auteur
Manon Dampfhoffer, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
Lecture Notes in Computer Science, 2022, Artificial Neural Networks and Machine Learning – ICANN 2022, 13531, pp.359-370. ⟨10.1007/978-3-031-15934-3_30⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03823943/file/SRNN_ICANN22_final.pdf BibTex
titre
Real-time switching dynamics in STT-MRAM
auteur
N Yazigy, J Postel-Pellerin, V Della Marca, K Terziyan, R C Sousa, P Canet, Gregory Di Pendina
article
IEEE Journal of the Electron Devices Society, 2022, ⟨10.1109/jeds.2022.3185324⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03708265/file/Real-time_switching_dynamics_in_STT-MRAM.pdf BibTex
titre
Design-time exploration for process, environment and aging compensation techniques for low power reliable-Aware design
auteur
Lorena Anghel, Florian Cacho
article
IEEE Transactions on Emerging Topics in Computing, 2022, 10 (2), pp.581-590. ⟨10.1109/TETC.2021.3136288⟩
Accès au bibtex
BibTex
titre
Are SNNs really more energy-efficient than ANNs? An in-depth hardware-aware study
auteur
Manon Dampfhoffer, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
IEEE Transactions on Emerging Topics in Computational Intelligence, 2022, 2022, pp.1-11. ⟨10.1109/TETCI.2022.3214509⟩
Accès au texte intégral et bibtex
https://cea.hal.science/cea-03852141/file/Are_SNNs_Really_More_Energy_Efficient_Than_ANNs__An_In_Depth_Hardware_Aware_Study_versionacceptee.pdf BibTex
titre
Programmable Skyrmion Logic Gates Based on Skyrmion Tunneling
auteur
Naveen Sisodia, Johan Pelloux-Prayer, Liliana D Buda-Prejbeanu, Lorena Anghel, Gilles Gaudin, Olivier Boulle
article
Physical Review Applied, 2022, 17 (6), pp.064035. ⟨10.1103/physrevapplied.17.064035⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03740729/file/PhysRevApplied.17.064035.pdf BibTex
titre
Robust and Programmable Logic-In-Memory Devices Exploiting Skyrmion Confinement and Channeling Using Local Energy Barriers
auteur
Naveen Sisodia, Johan Pelloux-Prayer, Liliana D Buda-Prejbeanu, Lorena Anghel, Gilles Gaudin, Olivier Boulle
article
Physical Review Applied, 2022, 18 (1), pp.014025. ⟨10.1103/physrevapplied.18.014025⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03740728/file/PhysRevApplied.18.014025.pdf BibTex

Conference papers

titre
Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices
auteur
Soyed Tuhin, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Lorena Anghel, Mehdi B Tahoori, Bayesian Neural
article
17th ACM International Symposium on Nanoscale Architectures, Association for Computing machinery, Dec 2022, Virtual conference, United States. ⟨10.1145/3565478.3572536⟩
Accès au bibtex
BibTex
titre
Electrical Coupling of Perpendicular Superparamagnetic Tunnel Junctions for Probabilistic Computing
auteur
Nhat-Tan Phan, Lucile Soumah, Ahmed Sidi El Valli, Louis Hutin, Lorena Anghel, Ursula Ebels, Philippe Talatchian
article
NANOARCH '22: 17th ACM International Symposium on Nanoscale Architectures, ACM, Dec 2022, Virtual OR USA, United States. pp.1-6, ⟨10.1145/3565478.3572528⟩
Accès au bibtex
BibTex
titre
Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Christopher Münch, Guillaume Prenat, Anghel Lorena, Mehdi B. Tahoori
article
NANOARCH '22: 17th ACM International Symposium on Nanoscale Architectures, Dec 2022, Virtual OR USA, United States. pp.1-6, ⟨10.1145/3565478.3572536⟩
Accès au bibtex
BibTex
titre
Improving DNN fault tolerance in semantic segmentation applications
auteur
Stéphane Burel, Adrian Evans, Lorena Anghel
article
IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2022, Austin, United States. pp.1-6, ⟨10.1109/DFT56152.2022.9962354⟩
Accès au bibtex
BibTex
titre
MemCork: Exploration of Hybrid Memory Architectures for Intermittent Computing at the Edge
auteur
Theo Soriano, David Novo, Guillaume Prenat, Gregory Di Pendina, Pascal Benoit
article
VLSI-SoC 2022 - 30th IFIP/IEEE International Conference on Very Large Scale Integration, Oct 2022, Patras, Greece. pp.1-6, ⟨10.1109/VLSI-SoC54400.2022.9939630⟩
Accès au texte intégral et bibtex
https://hal.umontpellier.fr/hal-03810018/file/Memcork_Soriano%20%281%29.pdf BibTex
titre
Spin Orbit Torque-based Crossbar Array for Error Resilient Binary Convolutional Neural Network
auteur
Kamal Danouchi, Guillaume Prenat, Lorena Anghel
article
23RD IEEE LATIN-AMERICAN TEST SYMPOSIUM, Sep 2022, Montevideo, Uruguay
Accès au texte intégral et bibtex
https://hal.science/hal-03834907/file/Spin%20Orbit%20Torque-based%20Crossbar%20Array%20for%20Error%20Resilient%20Binary%20Convolutional%20Neural%20Network.pdf BibTex
titre
On Using Cell-Aware Models for Representing SRAM Architecture
auteur
Xhesila Xhafa, Aymen Ladhar, Eric Faehn, Lorena Anghel, Gregory Di Pendina, Patrick Girard, Arnaud Virazel
article
16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03987914/file/On-Using-Cell_Xhafa_GDR_Draft3.pdf BibTex

2021

Journal articles

titre
SEU mechanisms in spintronic devices: Critical parameters and basic effects
auteur
Odilia Coi, Nomena Adrianjohany, Gregory Di Pendina, David Dangla, Robert Ecoffet, Bernard Diény, Lionel Torres
article
IEEE Transactions on Nuclear Science, 2021, 68 (8), pp.1533-1541. ⟨10.1109/TNS.2021.3080080⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03252884/file/pre_print_TNS_RADECS2020.pdf BibTex
titre
Heavy-ion irradiation effects on advanced perpendicular anisotropy spin-transfer torque magnetic tunnel junction
auteur
Odilia Coi, Gregory Di Pendina, Ricardo Sousa, Nomena Adrianjohany, David Dangla, Robert Ecoffet, Lionel Torres
article
IEEE Transactions on Nuclear Science, 2021, 68 (5), pp.588-596. ⟨10.1109/TNS.2021.3071257⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03255402/file/Preprint_Heavy%20ion%20paper.pdf BibTex
titre
Microwave functionality of spintronic devices implemented in a hybrid complementary metal oxide semiconductor and magnetic tunnel junction technology
auteur
Rui Ma, Ahmed Sidi El Valli, Martin Kreissig, Gregory Di Pendina, Florian Protze, Ursula Ebels, Guillaume Prenat, Antoine Chavent, Vadym Iurchuk, Ricardo Sousa, Laurent Vila, Frank Ellinger, Jürgen Langer, Jerzy Wrona, Ioan-Lucian Prejbeanu
article
Electronics Letters, 2021, 57 (6), pp.264 - 266. ⟨10.1049/ell2.12103⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03192766/file/ell2.12103%20%281%29.pdf BibTex
titre
Activity-aware prediction of Critical Paths Aging in FDSOI technologies
auteur
K. Senthamarai Kannan, Michele Portolan, Lorena Anghel
article
Microelectronics Reliability, 2021, 124, ⟨10.1016/j.microrel.2021.114261⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03290896/file/S0026271421002274.pdf BibTex

Conference papers

titre
Ensemble neural networks with spintronic devices - Opportunities and challenges (KEYNOTE TALK)
auteur
Lorena Anghel
article
IEEE / ACM International Symposium on Nanoscale Architectures, Nov 2021, On line conference, France
Accès au bibtex
BibTex
titre
Zero-Overhead Protection for CNN Weights
auteur
Stéphane Burel, Adrian Evans, Lorena Anghel
article
2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2021, Athens (virtual), Greece. ⟨10.1109/DFT52944.2021.9568363⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03470345/file/opportunistic_parity_dfts%20%282%29.pdf BibTex
titre
Fast behavioral VerilogA compact model for stochastic MTJ
auteur
Etienne Becle, Philippe Talatchian, Guillaume Prenat, Lorena Anghel, Ioan-Lucian Prejbeanu
article
ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference, Sep 2021, Grenoble, France. pp.259-262, ⟨10.1109/ESSDERC53440.2021.9631829⟩
Accès au bibtex
BibTex
titre
Proton irradiation effects on spin orbit-torque and spin transfer-torque magnetic tunnel junctions
auteur
Odilia Coi, Gregory Di Pendina, Kevin Garello, David Dangla, Robert Ecoffet, Lionel Torres
article
RADECS 2021 - 21st European Conference on Radiation and its Effects on Components and Systems, Sep 2021, Vienna, Austria. pp.1-5, ⟨10.1109/RADECS53308.2021.9954501⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03330147/file/pre-print_conf_radecs2021_HAL.pdf BibTex
titre
MOZART: Masking Outputs with Zeros for Architectural Robustness and Testing of DNN Accelerators
auteur
Stéphane Burel, Adrian Evans, Lorena Anghel
article
IEEE International On-Line Testing Symposium, Jun 2021, OnLine, France
Accès au texte intégral et bibtex
https://hal.science/hal-03470265/file/mozart_iolts%20%286%29.pdf BibTex
titre
Monitoring setup and hold timing limits
auteur
Lorena Anghel, F. Cacho, X. Federspiel
article
2021 IEEE International Reliability Physics Symposium (IRPS), Mar 2021, Monterey, France. pp.1-6, ⟨10.1109/IRPS46558.2021.9405175⟩
Accès au bibtex
BibTex

2020

Journal articles

titre
Opportunities and challenges for spintronics in the microelectronic industry
auteur
Bernard Dieny, Ioan Lucian Prejbeanu, Kevin Garello, Pietro Gambardella, Paulo Peixeiro Freitas, Ronald Lehndorff, Wolfgang Raberg, Ursula Ebels, Sergej O Demokritov, Johan Akerman, Alina Deac, Philipp Pirro, Christoph Adelmann, Abdelmadjid Anane, Andrii V Chumak, Atsufumi Hiroata, Stéphane Mangin, Mehmet Cengiz Onbasli, Massimo D Aquino, Guillaume Prenat, Giovanni Finocchio, Luis Lopez Diaz, Roy Chantrell, Oksana Chubykalo Fesenko, Paolo Bortolotti
article
Nature Electronics, 2020, 3, pp.446. ⟨10.1038/s41928-020-0461-5⟩
Accès au bibtex
https://arxiv.org/pdf/1908.10584 BibTex
titre
Spin-Transfer Torque Magnetic Tunnel Junction for Single-Event Effects Mitigation in IC Design
auteur
Odilia Coi, Gregory Di Pendina, Guillaume Prenat, Lionel Torres
article
IEEE Transactions on Nuclear Science, 2020, 67 (7), pp.1674-1681. ⟨10.1109/TNS.2020.3002649⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02957089/file/FINAL%20VERSION_TNS_mitigation_in_IC_design.pdf BibTex
titre
Stuck-At Fault Mitigation of Emerging Technologies Based Switching Lattices
auteur
Lorena Anghel, A. Bernasconi, V. Ciriani, L. Frontini, G. Trucco, Elena Ioana Vatajelu
article
Journal of Electronic Testing: : Theory and Applications, 2020, pp.313-326. ⟨10.1007/s10836-020-05885-2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03052790/file/paper_jet_2020.pdf BibTex
titre
Circuit Design Steps for Nano-Crossbar Arrays: Area-Delay-Power Optimization with Fault Tolerance
auteur
Muhammed Ceylan Morgül, L. Frontini, O. Tunali, Lorena Anghel, V. Ciriani, Elena Ioana Vatajelu, C.A. Moritz, Mircea Stan, D. Alexandrescu, M. Altun
article
IEEE Transactions on Nanotechnology, 2020, pp.39-53. ⟨10.1109/TNANO.2020.3044017⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03065940/file/FINAL%20VERSION%20copy.pdf BibTex
titre
A multifunctional standardized magnetic tunnel junction stack embedding sensor, memory and oscillator functionality
auteur
A. Chavent, V. Iurchuk, L. Tillie, Y. Bel, N. Lamard, L. Vila, U. Ebels, Ricardo C. Sousa, B. Dieny, G. Di Pendina, G. Prenat, J. Langer, J. Wrona, I.L. Prejbeanu
article
Journal of Magnetism and Magnetic Materials, 2020, 505, pp.166647. ⟨10.1016/j.jmmm.2020.166647⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03111526/file/GREAT_JEMS_Final.pdf BibTex

Conference papers

titre
SEU Mechanisms in Spintronic Devices: Critical Parameters and Basic Effects
auteur
Odilia Coi, Nomena Andrianjohany, Gregory Di Pendina, Lionel Torres, David Dangla, Bernard Diény, Robert Ecoffet
article
RADECS 2020 - 20th European Conference on Radiation and its Effects on Components and Systems, Oct 2020, Online, France
Accès au bibtex
BibTex
titre
From 1.8V to 0.19V voltage bias on analog spiking neuron in 28nm UTBB FD-SOI technology
auteur
V. Cinçon, Elena Ioana Vatajelu, Lorena Anghel, Philippe Galy
article
EUROSOI-ULIS 2020, Sep 2020, Caen, France
Accès au texte intégral et bibtex
https://hal.science/hal-03001733/file/PID6400047.pdf BibTex
titre
A Comprehensive End-to-end Solution for a Secure and Dynamic Mixed-signal 1687 System
auteur
Michele Portolan, R. Silveira Feitoza, G. Takam Tchendjou, V. Reynaud, K. Senthamarai Kannan, Manuel J. Barragan, Emmanuel Simeu, Paolo Maistri, Lorena Anghel, Régis Leveugle, Salvador Mir
article
2020 International Symposium on On-Line Testing and Robust System Design (IOLTS 2020), Jul 2020, Naples (Virtual Conference), Italy. ⟨10.1109/IOLTS50870.2020.9159721⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02939302/file/2020-IOLTS20_2020_Invited.pdf BibTex
titre
Magnetic random access memories (MRAM) beyond information storage
auteur
Ricardo C. Sousa, A. Chavent, V. Iurchuk, L. Vila, U. Ebels, B. Dleny, G. Di Pendina, G. Prenat, J. Langer, J. Wrona, I.L. Prejbeanu
article
2020 IEEE Symposium on VLSI Technology, Jun 2020, Honolulu, United States. ⟨10.1109/VLSITechnology18217.2020.9265053⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03111373/file/VLSI2020_Spintec_Final.pdf BibTex
titre
A universal spintronic technology based on Multifunctional Standardized Stack
auteur
Mehdi Baradaran Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Lionel Torres, Sophiane Senni, Guillaume Patrigeon, Pascal Benoit, Gregory Di Pendina, Guillaume Prenat
article
DATE 2020 - 23rd Design, Automation and Test in Europe Conference and Exhibition, Mar 2020, Grenoble, France. pp.394-399, ⟨10.23919/DATE48585.2020.9116321⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03753403/file/2020_DATE_A_Universal_Spintronic_Technology_based_on_Multifunctional_Standardized_Stack.pdf BibTex

2019

Journal articles

titre
Design and Evaluation of a 28-nm FD-SOI STT-MRAM for Ultra-Low Power microcontrollers
auteur
Guillaume Patrigeon, Pascal Benoit, Lionel Torres, Sophiane Senni, Guillaume Prenat, Gregory Di Pendina
article
IEEE Access, 2019, 7, pp.58085-58093. ⟨10.1109/ACCESS.2019.2906942⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02079679/file/Design%20and%20Evaluation%20of%20a%2028-nm%20FD-SOI%20STT-MRAM%20for%20Ultra-Low%20Power%20microcontrollers%20-%20C%20%28HAL%29.pdf BibTex

Conference papers

titre
Self-robust Non-Volatile C-element for single event upset enhanced tolerance
auteur
Odilia Coi, Lionel Torres, Gregory Di Pendina, Guillaume Prenat
article
RADECS 2019 - 19th European Conference on Radiation and Its Effects on Components and Systems, Sep 2019, Montpellier, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02957093/file/book_jnrdm2019_fr.pdf BibTex
titre
Dual Detection of Heating and Photocurrent attacks (DDHP) Sensor using Hybrid CMOS/STT-MRAM
auteur
M. Kharbouche-Harrari, R. Wacquez, G. Di Pendina, J.-M. Dutertre, J. Postel-Pellerin, D. Aboulkassimi, J.-M. Portal
article
2019 IEEE 25th International Symposium on On-Line Testing And Robust System Design (IOLTS), Jul 2019, Rhodes, France. pp.322-327, ⟨10.1109/IOLTS.2019.8854374⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03753415/file/IOLTS_2019.pdf BibTex
titre
Self-Robust Non-Volatile C-element for Single Event Upset Enhanced Tolerance
auteur
Odilia Coi, Lionel Torres, Gregory Di Pendina
article
JNRDM 2019 - 21es Journées Nationales du Réseau Doctoral en Micro-nanoélectronique, Jun 2019, Montpellier, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02366116/file/book_jnrdm2019_fr%20pages%2021%2C%2022.pdf BibTex
titre
Light-Weight Cipher Based on Hybrid CMOS/STT-MRAM: Power/Area Analysis
auteur
M. Kharbouche-Harrari, Gregory Di Pendina, R. Wacquez, B. Dieny, D. Aboulkassimi, J. Postel-Pellerin, J.-M. Portal
article
2019 IEEE International Symposium on Circuits and Systems (ISCAS), May 2019, Sapporo, Japan. pp.1-5, ⟨10.1109/ISCAS.2019.8702734⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03753425/file/2019_ISCAS_Light-Weight_Cipher_Based_on_Hybrid_CMOS_STT-MRAM_Power_Area_Analysis%20%281%29.pdf BibTex

2018

Conference papers

titre
MRAM: from STT to SOT, for security and memory
auteur
M. Kharbouche-Harrari, Rana Alhalabi, J. Postel-Pellerin, Romain Wacquez, Driss Aboulkassimi, Etienne Nowak, I L Prejbeanu, Guillaume Prenat, Gregory Di Pendina
article
XXXIII CONFERENCE ON DESIGN OF CIRCUITS AND INTEGRATED SYSTEMS (DCIS), Nov 2018, Lyon, France
Accès au bibtex
BibTex
titre
High density SOT-MRAM memory array based on a single transistor
auteur
Rana Alhalabi, Etienne Nowak, Ioan-Lucian Prejbeanu, Gregory Di Pendina
article
Non-Volatile Memory Technology Symposium (NVMTS), Oct 2018, Sendai, Japan. ⟨10.1109/NVMTS.2018.8603114⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01982792/file/NVMTS_2018_post_print.pdf BibTex
titre
From spintronic devices to hybrid CMOS/magnetic system on chip
auteur
Sophiane Senni, Frédéric Martial Ouattara, Guillaume Patrigeon, Pascal Benoit, Pascal Nouet, Lionel Torres, François Duhem, Gregory Di Pendina, Guillaume Prenat
article
IFIP International Conference on Very Large Scale Integration (VLSI-SoC 2018), Oct 2018, Verona, Italy. pp.188-191, ⟨10.1109/VLSI-SoC.2018.8644875⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01982791/file/08644875.pdf BibTex
titre
Impact of a laser pulse on a STT-MRAM bitcell: security and reliability issues
auteur
M. Kharbouche-Harrari, J. Postel-Pellerin, G. Di Pendina, R. Wacquez, D. Aboulkassimi, Marc Bocquet, R. Sousa, R. Delattre, M. Portal
article
2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS), Jul 2018, Platja d'Aro, Spain. pp.243-244, ⟨10.1109/IOLTS.2018.8474088⟩
Accès au bibtex
BibTex
titre
Resistive and spintronic RAMs: device, simulation, and applications
auteur
Elena Ioana Vatajelu, Lorena Anghel, Jean-Michel Portal, Marc Bocquet, Guillaume Prenat
article
IOLTS 2018 - IEEE 24th International Symposium on On-Line Testing And Robust System Design, Jul 2018, Platja d'Aro, Spain. pp.109-114, ⟨10.1109/IOLTS.2018.8474226⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01976583/file/EIV2018.pdf BibTex
titre
Using multifunctional standardized stack as universal spintronic technology for IoT
auteur
Mehdi B. Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Sophiane Senni, Jad Mohdad, Frédérick Mailly, Lionel Torres, Pascal Benoit, Abdoulaye Gamatié, Pascal Nouet, Frédéric Martial Ouattara, Gilles Sassatelli, Kotb Jabeur, Pierre Vanhauwaert, Alexandru Atitoaie, Ioana Firastrau, G. Di Pendina, Guillaune Prenat
article
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.931-936, ⟨10.23919/DATE.2018.8342143⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01864468/file/08342143.pdf BibTex

2017

Journal articles

titre
Study of spin transfer torque (STT) and spin orbit torque (SOT) magnetic tunnel junctions (MTJs) at advanced CMOS technology nodes
auteur
Jabeur Kotb, Gregory Di Pendina, Guillaume Prenat
article
Electrical and Electronics Engineering: An International Journal (ELELIJ), 2017, 6 (1), pp.1. ⟨10.14810/elelij.2017.6101⟩
Accès au bibtex
BibTex
titre
High performance Spin-Orbit-Torque (SOT) based non-volatile standard cell for hybrid CMOS/Magnetic ICs
auteur
Kotb Jabeur, Gregory Di Pendina, Guillaume Prenat
article
Computer Science and Information Technology, 2017, 5 (3), pp.91. ⟨10.13189/csit.2017.050301⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01977160/file/Conf_2_Antaliya.pdf BibTex

Conference papers

titre
High speed and high-area efficiency non-volatile look-up table design based on magnetic tunnel junction
auteur
Rana Alhalabi, Gregory Di Pendina, Ioan-Lucian Prejbeanu, Etienne Nowak
article
2017 17th Non-Volatile Memory Technology Symposium (NVMTS), Aug 2017, Aachen, Germany. ⟨10.1109/NVMTS.2017.8171280⟩
Accès au bibtex
BibTex
titre
GREAT: HeteroGeneous IntegRated Magnetic tEchnology Using Multifunctional Standardized sTack
auteur
Mehdi B. Tahoori, Sarath Mohanachandran Nair, Rajendra Bishnoi, Sophiane Senni, Jad Mohdad, Frédérick Mailly, Lionel Torres, Pascal Benoit, Pascal Nouet, Rui Ma, Martin Kreissig, Frank Ellinger, Kotb Jabeur, Pierre Vanhauwaert, Gregory Di Pendina, Guillaune Prenat
article
ISVLSI 2017 - International Symposium on Very Large Scale Integration, Jul 2017, Bochum, Germany. pp.344-349, ⟨10.1109/ISVLSI.2017.67⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01708599/file/07987543.pdf BibTex
titre
Design of a full 1Mb STT-MRAM based on advanced FDSOI technology
auteur
Kotb Jabeur, Guillaune Prenat
article
MATEC Web of Conference, Jan 2017, Web, France
Accès au bibtex
BibTex

2016

Journal articles

titre
Ultra-fast and high-reliability SOT-MRAM: from cache replacement to normally-off computing
auteur
Guillaune Prenat, Kotb Jabeur, Pierre Vanhauwaert, Gregory Di Pendina, Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi, Nathalie Lamard, Olivier Boulle, Kévin Garello, Juergen Langer, Berthold Ocker, Marie-Claire Cyrille, Pietro Gambardella, Mehdi B. Tahoori, Gilles Gaudin
article
IEEE Transactions on Multi-Scale Computing Systems, 2016, 2 (1), pp.49 - 60. ⟨10.1109/TMSCS.2015.2509963⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01864485/file/Prenat2016.pdf BibTex
titre
Reducing System Power Consumption Using Check-Pointing on Nonvolatile Embedded Magnetic Random Access Memories
auteur
Christophe Layer, Laurent Becker, Kotb Jabeur, Sylvain Claireux, Bernard Dieny, Guillaune Prenat, Gregory Di Pendina, Stéphane Gros, Pierre Paoli, Virgile Javerliac, Fabrice Bernard-Granger, Loic Decloedt
article
ACM Journal on Emerging Technologies in Computing Systems, 2016, 12 (4), pp.1-24. ⟨10.1145/2876507⟩
Accès au bibtex
BibTex

Conference papers

titre
Multi-context non-volatile content addressable memory using magnetic tunnel junctions
auteur
Erya Deng, Guillaune Prenat, Lorena Anghel, Weisheng S Zhao
article
Nanoarch, Jul 2016, Beijing, China
Accès au bibtex
BibTex
titre
Spin Orbit Torque memory for non-volatile microprocessor caches
auteur
Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi, Mehdi B. Tahoori, Gregory Di Pendina, Kotb Jabeur, Guillaune Prenat
article
W07 International Workshop on Emerging Memory Solutions - DATE 2016, Mar 2016, Dresden, Germany
Accès au bibtex
BibTex

2015

Journal articles

titre
High-frequency low-power magnetic full-adder based on magnetic tunnel junction with spin-hall assistance
auteur
Erya Deng, Zhaohao Wang, Jacques-Olivier Klein, Guillaume Prenat, Bernard Dieny, Weisheng Zhao
article
IEEE Transactions on Magnetics, 2015, 51 (11), pp.1-4. ⟨10.1109/TMAG.2015.2449554⟩
Accès au bibtex
BibTex
titre
Magnetic Random Access Memory based non-volatile asynchronous Muller cell for ultra-low power autonomous applications
auteur
G. Di Pendina, E. Zianbetov, E. Beigne
article
Journal of Applied Physics, 2015, 117 (17), pp.17B517
Accès au bibtex
BibTex
titre
Synchronous 8-bit Non-Volatile Full-Adder based on Spin Transfer Torque Magnetic Tunnel Junction
auteur
Erya Deng, Yue Zhang, Wang Kang, Bernard Dieny, Jacques-Olivier Klein, Guillaune Prenat, Weisheng Zhao
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2015, 62 (7), pp.1757-1765. ⟨10.1109/tcsi.2015.2423751⟩
Accès au bibtex
BibTex

Conference papers

titre
Ultra-low power volatile and non-volatile asynchronous circuits using back-biasing
auteur
E. Beigne, J-F. Christmann, E. Zianbetov, G. Di Pendina
article
2015 European Conference on Circuit Theory and Design (ECCTD), Aug 2015, Trondheim, Norway
Accès au bibtex
BibTex
titre
Robust magnetic full-adder with voltage sensing 2T/2MTJ cell
auteur
Erya Deng, You Wang, Zhaohao Wang, Jacques-Olivier Klein, Bernard Dieny, Guillaume Prenat, Weisheng Zhao
article
2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH) (2015), Jul 2015, Boston, United States
Accès au bibtex
BibTex
titre
Radiative Effects on MRAM-Based Non-Volatile Elementary Structures
auteur
Jeremy Lopes, Gregory Di Pendina, Eldar Zianbetov, Edith Beigné, Lionel Torres
article
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.321-326, ⟨10.1109/ISVLSI.2015.71⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01250733/file/PID3716563.pdf BibTex
titre
Asynchronous Design for Harsh Environments
auteur
Jeremy Lopes, Gregory Di Pendina, Edith Beigné, Lionel Torres
article
ASYNC: Asynchronous Circuits and Systems, May 2015, Montain View, CA, United States
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01250722/file/Async_2015.pdf BibTex
titre
Non-volatility for ultra-low power asynchronous circuits in hybrid CMOS/magnetic technology
auteur
E. Zianbetov, E. Beigne, G. Di Pendina
article
2015 21st IEEE International Symposium on Asynchronous Circuits and Systems, May 2015, Mountain View, United States. pp.139-146, ⟨10.1109/ASYNC.2015.27⟩
Accès au bibtex
BibTex

Book sections

titre
Beyond STT-MRAM, Spin Orbit Torque RAM SOT-MRAM for high speed and high reliability applications
auteur
Guillaume Prenat, Kotb Jabeur, Gregory Di Pendina, Olivier Boulle, Gilles Gaudin
article
Weisheng Zhao; Guillaume Prenat. Spintronics-based Computing, Springer International Publishing, pp.145-157, 2015, 978-3-319-34661-8. ⟨10.1007/978-3-319-15180-9_4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01976635/file/SPINTEC_SOT.pdf BibTex

2014

Journal articles

titre
Comparison of Verilog-A compact modelling strategies for spintronic devices
auteur
K. Jabeur, F. Bernard-Granger, G. Di Pendina, G. Prenat, B. Dieny
article
Electronics Letters, 2014, 50 (19), pp.1353-1355. ⟨10.1049/el.2014.1083⟩
Accès au bibtex
BibTex
titre
Compact modeling of a magnetic tunnel junction based on spin orbit torque
auteur
Kotb Jabeur, Gregory Di Pendina, Guillaume Prenat, Liliana Daniela Buda-Prejbeanu, Bernard Dieny
article
IEEE Transactions on Magnetics, 2014, 50 (7), pp.1-8. ⟨10.1109/TMAG.2014.2305695⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02010859/file/Jabeur2014.pdf BibTex
titre
A novel architecture of non-volatile magnetic arithmetic logic unit using magnetic tunnel junctions
auteur
Wei Guo, Guillaume Prenat, Bernard Dieny
article
Journal of Physics D: Applied Physics, 2014, 47 (16), pp.165001. ⟨10.1088/0022-3727/47/16/165001⟩
Accès au bibtex
BibTex
titre
Spin orbit torque non-volatile flip-flop for high speed and low energy applications
auteur
Kotb Jabeur, Gregory Di Pendina, Fabrice Bernard-Granger, Guillaume Prenat
article
IEEE Electron Device Letters, 2014, 35 (3), pp.408 - 410. ⟨10.1109/led.2013.2297397⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03506992/file/IET_paper_revisedV2.pdf BibTex

Conference papers

titre
InMRAM: Introductory course on Magnetic Random Access Memories for microelectronics students and engIneers
auteur
Gregory Di Pendina, Guillaume Prenat, Bernard Dieny
article
EWME 2014: 10th European Workshop on Microelectronics Education, May 2014, Tallinn, Estonia. ⟨10.1109/EWME.2014.6877388⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02059497/file/InMRAM%20-%20Introductory%20course%20on%20Magnetic%20Random%20Access%20memories%20for%20microelectronics%20students%20and%20engineers%20IEEE%202014.pdf BibTex

2013

Journal articles

titre
Macrospin model of precessional spin-transfer-torque switching in planar magnetic tunnel junctions with perpendicular polarizer
auteur
A. Mejdoubi, B. Lacoste, Guillaune Prenat, B. Dieny
article
Applied Physics Letters, 2013, 102, pp.152413. ⟨10.1063/1.4802720⟩
Accès au bibtex
BibTex
titre
Radiation Hardened MRAM-Based FPGA
auteur
O. Goncalvez, Guillaune Prenat, Bernard Dieny
article
IEEE Transactions on Magnetics, 2013, 49 (7), pp.4355-4358. ⟨10.1109/TMAG.2013.2247744⟩
Accès au texte intégral et bibtex
https://cea.hal.science/cea-01072806/file/Goncalves2013.pdf BibTex

2012

Conference papers

titre
A compact model of precessional spin-transfer switching for MTJ with a perpendicular polarizer
auteur
Abdelilah Mejdoubi, Guillaune Prenat, Bernard Dieny
article
28th International Conference on Microelectronics (MIEL 2012), IEEE, May 2012, Nis, Serbia. ⟨10.1109/MIEL.2012.6222840⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00922298/file/Conf_miel.pdf BibTex
titre
Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures
auteur
João Azevedo, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard, Aida Todri-Sanial, Guillaune Prenat, Jérémy Alvarez-Hérault, Ken Mackay
article
DATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Accès au bibtex
BibTex

Theses

titre
ASIC Innovative design and Process Design Kit development for Hybride CMOS / Magnetic Technology
auteur
Grégory Di Pendina Di Pendina
article
Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENT035⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00750121/file/these_Di_Pendina_archivage1_2012.pdf BibTex

2011

Conference papers

titre
Ultra Compact Non-Volatile Flip-Flop for Low-Power Digital Circuits Based on Hybrid CMOS/Magnetic Technology
auteur
Gregory Di Pendina, Guillaune Prenat, Khouldoun Torki, Yoann Guillemenet, Lionel Torres
article
PATMOS 2011 - 21st International Workshop on Power and Timing Modeling, Optimization, and Simulation, Sep 2011, Madrid, Spain. pp.83-91, ⟨10.1007/978-3-642-24154-3_9⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00616949/file/ark__67375_HCB-NFMSFVGT-Z.pdf BibTex
titre
Analysis of Resistive-Open Defects in TAS-MRAM Array
auteur
João Azevedo, Arnaud Virazel, Alberto Bosio, Luigi Dilillo, Patrick Girard, Aida Todri-Sanial, Guillaune Prenat, Ken Mackay
article
ITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Accès au bibtex
BibTex

2010

Journal articles

titre
SPICE modelling of magnetic tunnel junctions written by spin-transfer torque
auteur
W Guo, Guillaune Prenat, V Javerliac, M El Baraji, N de Mestier, C Baraduc, B Diény
article
Journal of Physics D: Applied Physics, 2010, 43 (21), pp.215001. ⟨10.1088/0022-3727/43/21/215001⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00569612/file/PEER_stage2_10.1088%252F0022-3727%252F43%252F21%252F215001.pdf BibTex