Publications HAL

2023

Journal articles

titre
SpinBayes: Algorithm-Hardware Co-Design for Uncertainty Estimation Using Bayesian In-Memory Approximation on Spintronic-Based Architectures
auteur
Soyed Tuhin Ahmed, Kamal Danouchi, Michael Hefenbrock, Guillaume Prenat, Lorena Anghel, Mehdi Tahoori
article
ACM Transactions on Embedded Computing Systems (TECS), 2023, 22 (5s), pp.1-25. ⟨10.1145/3609116⟩
Accès au bibtex
BibTex
titre
Self-Test Library Generation for In-field Test of Path Delay faults
auteur
Lorena Anghel, Riccardo Cantoro, Riccardo Masante, Michele Portolan, Sandro Sartoni, Matteo Sonza Reorda
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, pp.1-1. ⟨10.1109/TCAD.2023.3268210⟩
Accès au bibtex
BibTex
titre
Backpropagation-based learning techniques for deep spiking neural networks: a survey
auteur
Manon Dampfhoffer, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
IEEE Transactions on Neural Networks and Learning Systems, 2023, pp.1-16. ⟨10.1109/TNNLS.2023.3263008⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04064177/file/FINAL_VERSION.pdf BibTex
titre
A tunable and versatile 28nm FD-SOI crossbar output circuit for low power analog SNN inference with eNVM synapses
auteur
Joao Henrique Quintino Palhares, Yann Beilliard, Jury Sandrini, Franck Arnaud, Kevin Garello, Guillaume Prenat, Lorena Anghel, Fabien Alibart, Dominique Drouin, Philippe Galy
article
Solid-State Electronics, 2023, 209, pp.108779. ⟨10.1016/j.sse.2023.108779⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04221623/file/2305.16187.pdf BibTex
titre
MOZART+: Masking Outputs with Zeros for Improved Architectural Robustness and Testing of DNN Accelerators
auteur
Stephane Burel, Adrian Evans, Lorena Anghel
article
IEEE Transactions on Device and Materials Reliability, 2023, 22 (2), pp.120-128. ⟨10.1109/TDMR.2022.3159089⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03823955/file/mozart_tdmr_21_11_24.pdf BibTex

2022

Journal articles

titre
Spintronic Memristor based Binarized Ensemble Convolutional Neural Network Architectures
auteur
Ghislain Takam Tchendjou, Kamal Danouchi, Guillaume Prenat, Lorena Anghel
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022, pp.1-1. ⟨10.1109/TCAD.2022.3213612⟩
Accès au bibtex
BibTex
titre
A fast, energy efficient and tunable magnetic tunnel junction based bitstream generator for stochastic computing
auteur
Etienne Becle, Guillaume Prenat, Philippe Talatchian, Lorena Anghel, Ioan-Lucian Prejbeanu
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69 (8), pp.3251-3259. ⟨10.1109/TCSI.2022.3173030⟩
Accès au bibtex
BibTex
titre
Design-time exploration for process, environment and aging compensation techniques for low power reliable-Aware design
auteur
Lorena Anghel, Florian Cacho
article
IEEE Transactions on Emerging Topics in Computing, 2022, 10 (2), pp.581-590. ⟨10.1109/TETC.2021.3136288⟩
Accès au bibtex
BibTex
titre
Investigating current-based and gating approaches for accurate and e-efficient spiking recurrent neural networks
auteur
Manon Dampfhoffer, Thomas Mesquida, Alexandre Valentian, Lorena Anghel
article
Lecture Notes in Computer Science, 2022, Artificial Neural Networks and Machine Learning – ICANN 2022, 13531, pp.359-370. ⟨10.1007/978-3-031-15934-3_30⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03823943/file/SRNN_ICANN22_final.pdf BibTex

2021

Journal articles

titre
Activity-aware prediction of Critical Paths Aging in FDSOI technologies
auteur
K. Senthamarai Kannan, Michele Portolan, Lorena Anghel
article
Microelectronics Reliability, 2021, 124, ⟨10.1016/j.microrel.2021.114261⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03290896/file/S0026271421002274.pdf BibTex

2020

Journal articles

titre
Stuck-At Fault Mitigation of Emerging Technologies Based Switching Lattices
auteur
Lorena Anghel, A. Bernasconi, V. Ciriani, L. Frontini, G. Trucco, Elena Ioana Vatajelu
article
Journal of Electronic Testing: : Theory and Applications, 2020, pp.313-326. ⟨10.1007/s10836-020-05885-2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03052790/file/paper_jet_2020.pdf BibTex
titre
Circuit Design Steps for Nano-Crossbar Arrays: Area-Delay-Power Optimization with Fault Tolerance
auteur
Muhammed Ceylan Morgül, L. Frontini, O. Tunali, Lorena Anghel, V. Ciriani, Elena Ioana Vatajelu, C.A. Moritz, Mircea Stan, D. Alexandrescu, M. Altun
article
IEEE Transactions on Nanotechnology, 2020, pp.39-53. ⟨10.1109/TNANO.2020.3044017⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03065940/file/FINAL%20VERSION%20copy.pdf BibTex

Book sections

titre
Design techniques to improve the resilience of computing systems: logic layer
auteur
Lorena Anghel, M. Nicolaidis
article
Cross-Layer Reliability of Computing Systems, iet - the institution of engineering and technology, pp.23-42, 2020
Accès au bibtex
BibTex

2019

Journal articles

titre
Topology and design investigation on thin film silicon BIMOS device for ESD protection in FD-SOI technology
auteur
Philippe Galy, Louise de Conti, G. Delahaye, Lorena Anghel
article
Microelectronics Reliability, 2019, ⟨10.1016/j.microrel.2019.06.069⟩
Accès au bibtex
BibTex

Book sections

titre
On-Chip Ageing Monitoring and System Adaptation
auteur
Lorena Anghel, R. Shah, F. Cacho
article
Ageing of Integrated Circuits: Causes, Effects and Mitigation TechniquesOn-Chip Ageing Monitoring and System Adaptation, pp.149-180, 2019, 978-3-030-23780-6. ⟨10.1007/978-3-030-23781-3_6⟩
Accès au bibtex
BibTex

2018

Journal articles

titre
Test and Reliability in Approximate Computing
auteur
Lorena Anghel, Mounir Benabdenbi, Alberto Bosio, Marcello Traiola, Elena Ioana Vatajelu
article
Journal of Electronic Testing: : Theory and Applications, 2018, 34 (4), pp.375-387. ⟨10.1007/s10836-018-5734-9⟩
Accès au bibtex
BibTex

2017

Journal articles

titre
Logic synthesis and testing techniques for switching nano-crossbar arrays
auteur
D. Alexandrescu, M. Altun, Lorena Anghel, V. Ciriani, Mehdi B. Tahoori, A. Bernasconi
article
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 54, pp.14-25. ⟨10.1016/j.micpro.2017.08.004⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01710817/file/main.pdf BibTex

Book sections

titre
Manufacturing Threats
auteur
Saman Kiamehr, Mehdi B. Tahoori, Lorena Anghel
article
Dependable Multicore Architectures at Nanoscale, springer, pp.3-35, 2017, 978-3-319-54421-2. ⟨10.1007/978-3-319-54422-9⟩
Accès au bibtex
BibTex
titre
Adaptive Routing for Fault Tolerance and Congestion Avoidance for 2D Mesh and Torus NoCs in Many-Core Systems-on-Chip
auteur
Mounir Benabdenbi, Lorena Anghel, M. Dimopoulos, Yi Gang
article
Advances in Microelectronics: Reviews, ifsa, international frequency sensor association, pp.405-435, 2017, 978-84-615-9012-4
Accès au bibtex
BibTex

2016

Journal articles

titre
Non-volatile magnetic decoder based on MTJs
auteur
E.Y. Deng, Guillaune Prenat, Lorena Anghel, W.S. Zhao
article
Electronics Letters, 2016, 52 (21), pp.1774 - 1776. ⟨10.1049/el.2016.2450⟩
Accès au bibtex
BibTex

2015

Journal articles

titre
Dependable Multicore Architectures at Nanoscale: The View From Europe
auteur
M. Ottavi, S. Pontarelli, D. Gizopoulos, C. Bolchini, M.K. Michael, Lorena Anghel, Mehdi B. Tahoori, A. Paschalis, P. Reviriego, O. Bringmann, V. Izosimov, H. Manhaeve, C. Strydis, S. Hamdioui
article
IEEE Design & Test, 2015, 32 (2), pp.17-28. ⟨10.1109/MDAT.2014.2359572⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01334167/file/Dependable%2BMulticore%2BArchitectures%2Bat%2BNanoscale-The%2Bview%2Bfrom%2BEurope_11311-928958_Bolchini.pdf BibTex

2014

Journal articles

titre
Fault-Tolerant Adaptive Routing under an Unconstrained Set of Node and Link Failures for Many-Core Systems-on-Chip
auteur
M. Dimopoulos, Yi Gang, Lorena Anghel, Mounir Benabdenbi, Nacer-Eddine Zergainoh, M. Nicolaidis
article
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (6), pp.620-635. ⟨10.1016/j.micpro.2014.04.003⟩
Accès au bibtex
BibTex

Books

titre
Editorial
auteur
Lorena Anghel, Cristiana Bolchini, Salvatore Pontarelli
article
Elsevier, 38 (6), pp.565 - 566, 2014, Microprocessors and Microsystems, ⟨10.1016/j.micpro.2014.07.003⟩
Accès au bibtex
BibTex

2013

Journal articles

titre
Reliability of TSV interconnects: Electromigration, thermal cycling, and impact on above metal level dielectric
auteur
T. Frank, S. Moreau, C. Chappaz, P. Leduc, L. Arnaud, A. Thuaire, E. Chery, F. Lorut, Lorena Anghel, G. Poupon
article
Microelectronics Reliability, 2013, 53 (1), pp.17-29. ⟨10.1016/j.microrel.2012.06.021⟩
Accès au bibtex
BibTex

2012

Journal articles

titre
Kth-Aggressor Fault (KAF)-based Thru-Silicon-Via Interconnect Built-In Self-Test and Diagnosis
auteur
V. Pasca, Lorena Anghel, Mounir Benabdenbi
article
Journal of Electronic Testing: : Theory and Applications, 2012, 28, pp.Online First™, 3 August. ⟨10.1007/s10836-012-5322-3⟩
Accès au bibtex
BibTex
titre
CSL: Configurable Fault Tolerant Serial Links for Inter-die Communication in 3D Systems
auteur
V. Pasca, Lorena Anghel, M. Nicolaidis, Mounir Benabdenbi
article
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (1), pp.137-150. ⟨10.1007/s10836-011-5260-5⟩
Accès au bibtex
BibTex

2011

Journal articles

titre
Adaptive inter-layer message routing in 3D networks-on-chip
auteur
C. Rusu, Lorena Anghel, D. Avresky
article
Microprocessors and Microsystems: Embedded Hardware Design , 2011, 35 (7), pp.613-631. ⟨10.1016/j.micpro.2011.06.008⟩
Accès au bibtex
BibTex

2007

Journal articles

titre
CNTFET modeling and reconfigurable logic circuit design
auteur
Ian O'Connor, Junchen Liu, Frédéric Gaffiot, Fabien Prégaldiny, Cristell Maneux, C. Lallement, Johnny Goguet, Sebastien Fregonese, Thomas Zimmer, Lorena Anghel, Régis Leveugle, T. Dang
article
IEEE Transactions on Circuits and Systems, 2007, 54 (11), pp.2365-2379. ⟨10.1109/TCSI.2007.907835⟩
Accès au bibtex
BibTex
titre
A Case Study on Phase-Locked Loop Automatic Layout Generation and Transient Fault Injection Analysis
auteur
C. Lazzari, Lorena Anghel, Ricardo Reis
article
Journal of Electronic Testing: : Theory and Applications, 2007, 23 (6), pp.625-633. ⟨10.1007/s10836-007-5055-x⟩
Accès au bibtex
BibTex

Book sections

titre
A Transistor Placement Technique Using Genetic Algorithm And Analytical Programming
auteur
C. Lazzari, Lorena Anghel, Ricardo Reis
article
VLSI-SOC: From Systems to Silicon, (selected contributions from VLSI-SoC'05), Springer, pp.331-344, Vol.240, 2007, Series: IFIP International Federation for Information Processing, ⟨10.1007/978-0-387-73661-7_21⟩
Accès au bibtex
BibTex
titre
Defects Tolerant Logic Gates for Unreliable Future Nanotechnologies
auteur
Lorena Anghel, M. Nicolaidis
article
Computational and Ambient Intelligence, Springer, pp.422-429, 2007, ISBN :978-3-540-73006-4, ⟨10.1007/978-3-540-73007-1_52⟩
Accès au bibtex
BibTex
titre
Cost Reduction and Evaluation of a Temporary Faults Detecting Technique
auteur
Lorena Anghel, M. Nicolaidis
article
Rudy Lauwereins and Jan Madsen. Design, Automation, and Test in Europe (DATE) “The Most Influential Papers of 10 Years”, Springer, pp.423-438, 2007, ISBN :978-1-4020-6487-6, ⟨10.1007/978-1-4020-6488-3_31⟩
Accès au bibtex
BibTex
titre
Multilevel Fault Effects Evaluation
auteur
Lorena Anghel, M. Rebaudengo, M. Sonza Reorda, M. Violante
article
RAOUL VELAZCO, PASCAL FOUILLAT and RICARDO REIS. Radiation Effects on Embedded Systems, Springer, pp.69-88, 2007, ISBN :978-1-4020-5645-1, ⟨10.1007/978-1-4020-5646-8⟩
Accès au bibtex
BibTex

2005

Journal articles

titre
Memory Defect Tolerance Architectures for Nanotechnologies
auteur
M. Nicolaidis, Lorena Anghel, M.N. Achouri
article
Journal of Electronic Testing: : Theory and Applications, 2005, Vol. 21, No. 4, August, pp.445 - 455. ⟨10.1007/s10836-005-0971-0⟩
Accès au bibtex
BibTex

Books

titre
Proceedings of 11th IEEE International On-Line Testing Symposium (IOLT 2005)Saint Raphael, French Riviera, France, July 6-8, 2005
auteur
M. Nicolaidis, Lorena Anghel
article
IEEE, 330 p., 2005
Accès au bibtex
BibTex

2004

Journal articles

titre
Simulating single event transients in VDSM ICs for ground level radiation
auteur
D. Alexandrescu, Lorena Anghel, M. Nicolaidis
article
Journal of Electronic Testing: : Theory and Applications, 2004, Aug. ; 20(4), pp.413-21. ⟨10.1023/B:JETT.0000039608.48856.33⟩
Accès au bibtex
BibTex

1999

Journal articles

titre
Concurrent checking for VLSI
auteur
M. Nicolaidis, Lorena Anghel
article
Microelectronic Engineering, 1999, Volume 49, Issues 1-2 November, pp.139-156. ⟨10.1016/S0167-9317(99)00435-9⟩
Accès au bibtex
BibTex

1997

Journal articles

titre
Low frequency noise in silicon carbide Schottky diodes
auteur
Lorena Anghel, T. Ouisse, T. Billon, P. Lassagne, C. Jaussaud
article
Diamond and Related Materials, 1997, Aug. ; 6(10), pp.1494-6. ⟨10.1016/S0925-9635(97)00055-1⟩
Accès au bibtex
BibTex