Publications HAL du labo/EPI Lab-STICC_ARCAD

2024

Conference papers

ref_biblio
Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapotre, Pascal Cotret, et al.. On The Effect of Replacement Policies on The Security of Randomized Cache Architectures. 19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore. ⟨hal-04427321⟩
Accès au bibtex
BibTex
ref_biblio
Pierre Garreau, Pascal Cotret, Julien Francq, Jean-Christophe Cexus, Loïc Lagadec. RISC-V Embedded AI for IDS Applications. RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France. ⟨hal-04498047v2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04498047/file/bare_conf.pdf BibTex
ref_biblio
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy. Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches. Cyber On Board, Mar 2024, ile des Embiez, France. ⟨hal-04461273⟩
Accès au bibtex
BibTex
ref_biblio
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy. Cache locking against cache-based side-channel attacks. École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium. ⟨hal-04446221⟩
Accès au bibtex
BibTex
ref_biblio
Joseph W Faye, Naouel Haggui, Florent Kermarrec, Kevin J M Martin, Shuvra Bhattacharyya, et al.. Scratchy : A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming Applications. DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich (Allemagne), Germany. ⟨hal-04509310⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04509310/file/FAYEDASIP2024Scratchy%20%282%29.pdf BibTex
ref_biblio
Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy. Standalone Nested Loop Acceleration on CGRAs for Signal Processing Applications. DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich, Germany. ⟨hal-04505187⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04505187/file/DASIP24_HAL.pdf BibTex

Book sections

ref_biblio
Semih Ince, David Espes, Julien Lallet, Guy Gogniat, Renaud Santoro. Authentication and Confidentiality in FPGA-Based Clouds. Security of FPGA-Accelerated Cloud Computing Environments, Springer International Publishing, pp.1-27, 2024, ⟨10.1007/978-3-031-45395-3_1⟩. ⟨hal-04507496⟩
Accès au bibtex
BibTex

Theses

ref_biblio
Fabrice Lozachmeur. Extensions cryptographiques pour processeurs embarqués. Informatique [cs]. Université Bretagne Sud, 2024. Français. ⟨NNT : ⟩. ⟨tel-04427373⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-04427373/file/these_lozachmeur_fabrice.pdf BibTex

2023

Journal articles

ref_biblio
Hankai Wu, Fabrice Seguin, Vladan Koncar, Cédric Cochrane, Laurent Dupont, et al.. Stretchable piezoresistive textile yarn strain transducer for low deformation detection. Sensors and Actuators A: Physical , 2023, 363, ⟨10.1016/j.sna.2023.114755⟩. ⟨hal-04253877⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04253877/file/Final%20manuscript_all%20vf.pdf BibTex
ref_biblio
Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy. Detection of AIS messages falsifications and spoofing by checking messages compliance with TDMA protocol. Digital Signal Processing, 2023, 136, pp.103983. ⟨10.1016/j.dsp.2023.103983⟩. ⟨hal-04077623⟩
Accès au bibtex
BibTex

Conference papers

ref_biblio
Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier. TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design. 2023 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), Dec 2023, Tianjin, China. pp.1-6, ⟨10.1109/AsianHOST59942.2023.10409311⟩. ⟨hal-04419064⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04419064/file/%5BHAL%5D_TrustSoC_Light_and_Efficient_Heterogeneous_SoC_Architecture_Secure_by_design.pdf BibTex
ref_biblio
Vianney Lapotre, William Pensec, Gogniat Guy. Protecting a RISC-V embedded processor against physical and software attacks. BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France. ⟨hal-04381708⟩
Accès au bibtex
BibTex
ref_biblio
William Pensec, Vianney Lapôtre, Guy Gogniat. Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses. SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩. ⟨hal-04286507⟩
Accès au bibtex
BibTex
ref_biblio
Quentin Ducasse, Pascal Cotret, Loïc Lagadec. Gigue: A JIT Code Binary Generator for Hardware Testing. VMIL, Oct 2023, Cascais, Portugal. ⟨10.1145/3623507.3623553⟩. ⟨hal-04469651⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04469651/file/Gigue%20a%20JIT%20Code%20Binary%20Generator%20for%20Hardware%20Testing.pdf BibTex
ref_biblio
Caroline Duc, Paul Le Maout, Nathalie Redon, Cyril Lahuec, Fabrice Seguin, et al.. Soufflez, c’est dépisté : systèmes multi-capteurs pour le diagnostic et le suivi personnalisé de patients par analyse d’haleine. Colloque IMT Healthcare 4.0, IMT, Oct 2023, Saint-Etienne, France. ⟨hal-04249571⟩
Accès au bibtex
BibTex
ref_biblio
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, et al.. Temporal Breakpoints for Multiverse Debugging. Software Language Engineering 2023, Oct 2023, Lisbonne, Portugal. ⟨hal-04234632⟩
Accès au bibtex
BibTex
ref_biblio
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec. Debugging Paxos in the UML Multiverse. MODELS-C/MoDeVVa, Oct 2023, Västerås, Sweden. ⟨hal-04234688⟩
Accès au bibtex
BibTex
ref_biblio
Raphaële Milan, Loïc Lagadec, Théotime Bollengier, Lilian Bossuet, Ciprian Teodorov. Secured-by-design systems-on-chip: a MBSE Approach. Rapid System Prototyping, Sep 2023, Hambourg, Germany. ⟨hal-04373771⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04373771/file/RSP_2023.pdf BibTex
ref_biblio
Morgane Vollmer, Karim Bigou, Arnaud Tisserand. Using Hierarchical Approach to Speed-up RNS Base Extensions in Homomorphic Encryption Context. ARITH: 2023 IEEE 30th International Symposium on Computer Arithmetic, Sep 2023, Portland, Oregon, United States. ⟨hal-04206377⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04206377/file/final.pdf BibTex
ref_biblio
Fabrice Lozachmeur, Arnaud Tisserand. A RISC-V Instruction Set Extension for Flexible Hardware/Software Protection of Cryptosystems Masked at High Orders. 66th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2023) "Reinventing Microelectronics", Aug 2023, Phoenix, AZ, United States. ⟨hal-04132900⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04132900/file/mwcas.pdf BibTex
ref_biblio
Raphaele Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino. TrustSoC : Architecture SoC hétérogène légère et efficace sécurisée par conception. Conférence francophone d’informatique en Parallélisme, Architecture et Système (COMPAS), LISTIC - Laboratoire d’Informatique, Systèmes, Traitement de l’Information et de la Connaissance, Jul 2023, Annecy, France. ⟨hal-04213598⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04213598/file/COMPAS23_Proposition_d_architecture_de_SoC_heterogene_securisee_par_conception.pdf BibTex
ref_biblio
Nicolas Gaudin. Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections. CYBERUS summer school, Université Bretagne Sud, Jul 2023, Lorient (56100), France. ⟨hal-04424956⟩
Accès au bibtex
BibTex
ref_biblio
William Pensec. Fault Injection Attacks Against an In-Core DIFT Mechanism. CYBERUS summer school, Université Bretagne Sud, Jul 2023, Lorient (56100), France. ⟨hal-04424945⟩
Accès au bibtex
BibTex
ref_biblio
Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, et al.. Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections. 2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7. ⟨hal-04155139⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04155139/file/silm2023-cache-protection.pdf BibTex
ref_biblio
Pierre Filiol, Luc Jaulin, Jean-Christophe Le Lann, Théotime Bollengier. Acceleration of contractor algebra on RISCV in the context of mobile robotic. Summer Workshop on Interval Methods, Jun 2023, Angers, France. ⟨hal-04320802⟩
Accès au bibtex
BibTex
ref_biblio
Arnaud Tisserand. Arithmetic for Crypto in FPGA: HDL or HLS?. WRACH : Workshop on Randomness and Arithmetics for Cryptographic Hardware, Jun 2023, Roscoff, France. ⟨hal-04126074⟩
Accès au bibtex
BibTex
ref_biblio
Vianney Lapotre, William Pensec, Gogniat Guy. When in-core DIFT faces fault injection attacks. CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain. ⟨hal-04381235⟩
Accès au bibtex
BibTex
ref_biblio
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec. Débogage Multivers de Modèles UML. AFADL, Jun 2023, Rennes, France. ⟨hal-04234535⟩
Accès au bibtex
BibTex
ref_biblio
Quentin Ducasse, Pascal Cotret, Loïc Lagadec. JIT Compiler Security through Low-Cost RISC-V Extension. 30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States. ⟨hal-04031296⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04031296/file/main.pdf BibTex
ref_biblio
Mohamed El Bouazzati, Russell Tessier, Philippe Tanguy, Guy Gogniat. A Lightweight Intrusion Detection System against IoT Memory Corruption Attacks. 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), May 2023, Tallinn, Estonia. pp.118-123, ⟨10.1109/DDECS57882.2023.10139718⟩. ⟨hal-04162998⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04162998/file/Conference_DDECS_article___Detection_HIDS.pdf BibTex

Habilitation à diriger des recherches

ref_biblio
Vianney Lapotre. Contributions à la sécurité des systèmes embarqués face aux attaques logiques et physiques. Architectures Matérielles [cs.AR]. Université Bretagne Sud, 2023. ⟨tel-04155274⟩
Accès au texte intégral et bibtex
https://hal.science/tel-04155274/file/HDR.pdf BibTex
ref_biblio
Kevin J M Martin. Mapping parallel applications on parallel architectures: Granularity of parallelism and synchronisation. Hardware Architecture [cs.AR]. Université Bretagne Sud, 2023. ⟨tel-04054474v2⟩
Accès au texte intégral et bibtex
https://hal.science/tel-04054474/file/hdrKevinMartin_HALv2.pdf BibTex

Poster communications

ref_biblio
William Pensec, Vianney Lapotre, Guy Gogniat. When in-core DIFT faces fault injection attacks. RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023. ⟨hal-04132319⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04132319/file/2023-06-07-William-PENSEC-poster.pdf BibTex
ref_biblio
Erwan Fabiani, Loic Lagadec, Alexandre Skrzyniarz, Chiara Relevat, Erell Cottour, et al.. Toward a CAD Tool for SYCL programming. IWOCL '23: International Workshop on OpenCL, Apr 2023, Cambridge, United Kingdom. ACM, pp.1-2, ⟨10.1145/3585341.3585358⟩. ⟨hal-04303747⟩
Accès au bibtex
BibTex

Theses

ref_biblio
Maelic Louart. Conception d’un récepteur AIS détectant les falsifications de messages : développement de stratégies et prototypage sur FPGA. Traitement du signal et de l'image [eess.SP]. ENSTA Bretagne, 2023. Français. ⟨NNT : ⟩. ⟨tel-04242055⟩
Accès au texte intégral et bibtex
https://hal.science/tel-04242055/file/manuscrit.pdf BibTex
ref_biblio
Noura Ait Manssour. Sécurisation matérielle de processeurs embarqués face aux attaques par injection de fautes. Systèmes embarqués. Université de Bretagne Sud, 2023. Français. ⟨NNT : 2023LORIS638⟩. ⟨tel-04091758v2⟩
Accès au texte intégral et bibtex
https://hal.science/tel-04091758/file/2023theseAitManssourN.pdf BibTex

2022

Journal articles

ref_biblio
Mostafa Rizk, Kevin J. M. Martin, Jean-Philippe Diguet. Run-time remapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs. IEEE Transactions on Parallel and Distributed Systems, 2022, 33 (12), ⟨10.1109/TPDS.2022.3177957⟩. ⟨hal-03702259⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03702259/file/JournalPaperTPDS_R2_Final.pdf BibTex
ref_biblio
Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy. An efficient and flexible stochastic CGRA mapping approach. ACM Transactions on Embedded Computing Systems (TECS), 2022, 22 (1), Article No.: 8, pp 1-24. ⟨10.1145/3550071⟩. ⟨hal-03763453v2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03763453/file/TECSStochasticMapping_authorVersion.pdf BibTex
ref_biblio
Jean-Louis de Bougrenet de la Tocnaye, Vincent Nourrit, Cyril Lahuec. Design of a Multimodal Oculometric Sensor Contact Lens. Sensors, 2022, 22 (18), pp.6731. ⟨10.3390/s22186731⟩. ⟨hal-03772668⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03772668/file/sensors-22-06731-v2.pdf BibTex
ref_biblio
Alemeh Ghasemi, Marcelo Ruaro, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin. The Impact of Cache and Dynamic Memory Management in Static Dataflow Applications. Journal of Signal Processing Systems, 2022, 94 (7), pp.721-738. ⟨10.1007/s11265-021-01730-7⟩. ⟨hal-03606524⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606524/file/JSPS_Impact%20of%20Cache_authorVersion.pdf BibTex
ref_biblio
Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy. The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection. Annals of Telecommunications - annales des télécommunications, 2022, 77, pp.731-747. ⟨10.1007/s12243-021-00906-3⟩. ⟨hal-03545078⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03545078/file/Annals_of_Telecommunications__Kingsguard_2021-2.pdf BibTex
ref_biblio
Loïc Massin, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, Jean-Louis de Bougrenet de La Tocnaye. Multipurpose Bio-Monitored Integrated Circuit in a Contact Lens Eye-Tracker. Sensors, 2022, 22 (2), pp.595. ⟨10.3390/s22020595⟩. ⟨hal-03526341⟩
Accès au bibtex
BibTex
ref_biblio
Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy. Energy Efficient Hardware Loop Based Optimization for CGRAs. Journal of Signal Processing Systems, In press, ⟨10.1007/s11265-022-01760-9⟩. ⟨hal-03704229⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704229/file/JSPS.pdf BibTex

Conference papers

ref_biblio
Hankai Wu, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Alexandre Khaldi. Sensitive stretchable textile transducer based on Lycra1880/PEGDA/PEDOT:PSS. 2022 IEEE Sensors, Oct 2022, Dallas (Texas), United States. pp.1-4, ⟨10.1109/SENSORS52175.2022.9967155⟩. ⟨hal-04253896⟩
Accès au bibtex
BibTex
ref_biblio
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, et al.. Practical multiverse debugging through user-defined reductions. MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal Quebec Canada, Canada. pp.87-97, ⟨10.1145/3550355.3552447⟩. ⟨hal-03891589⟩
Accès au bibtex
BibTex
ref_biblio
Dominique Heller, Mostafa Rizk, Ronan Douguet, Amer Baghdadi, Jean-Philippe Diguet. Marine Objects Detection Using Deep Learning on Embedded Edge Devices. RSP 2022: IEEE International Workshop on Rapid System Prototyping, part of Embedded Systems Week (ESWEEK), Oct 2022, Shanghai (virtual), China. ⟨10.1109/RSP57251.2022.10039025⟩. ⟨hal-03836444⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03836444/file/RSP2022_Final.pdf BibTex
ref_biblio
Quentin Ducasse, Guillermo Polito, Pablo Tesone, Pascal Cotret, Loïc Lagadec. Porting a JIT Compiler to RISC-V: Challenges and Opportunities. Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium. ⟨hal-03725841⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03725841/file/default.pdf BibTex
ref_biblio
Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy. Stratégie de détection des Falsifications des Positions des Messages AIS Basée sur l'Application du Filtre IMM. Gretsi'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. ⟨hal-03859377⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03859377/file/Gretsi_2022__article____Strat_gie_de_d_tection_des_Falsifications_des_Positions_des_Messages_AIS_Bas_e_sur_l_Application_du_Filtre_IMM.pdf BibTex
ref_biblio
Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik. Émulation de Systèmes Cyber-Physiques sur FPGA. GRETSI'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.481-484. ⟨hal-03839578⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03839578/file/louart972.pdf BibTex
ref_biblio
Cédric Seguin, Yohann Rioual, Jean-Philippe Diguet, Guy Gogniat. Data Extraction and Deep Learning Method for Predictive Maintenance in Vessel’s Engine Room. 32nd European Safety and Reliability Conference (ESREL 2022)., Aug 2022, Dublin, Ireland. pp.1983-1990. ⟨hal-03769035⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03769035/file/esrel2022.pdf BibTex
ref_biblio
Pierre Filiol, Théotime Bollengier, Luc Jaulin, Jean-Christophe Le Lann. A new interval arithmetic to generate the complementary of contractors. Summer Workshop on Interval Methods, Jul 2022, Hannover, Germany. ⟨hal-03859346v2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03859346/file/paper_iota.pdf BibTex
ref_biblio
Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik. HLS-based Accelerated Simulation of Large Scale Cyber-Physical Systems on FPGAs. IEEE International NEWCAS Conference, Jul 2022, Montreal, Canada. ⟨10.1109/NEWCAS52662.2022.9842250⟩. ⟨hal-03839510⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03839510/file/_Newcas_2022__HLS_based_Accelerated_Simulation_of_Large_Scale_Cyber_Physical_Systems_on_FPGAs.pdf BibTex
ref_biblio
Arnaud Tisserand. High-Level Synthesis for Hardware Implementation of Cryptography: Experience Feedback. Journées nationales du GDR Sécurité Informatique, Jun 2022, Paris, France. ⟨hal-03706731⟩
Accès au bibtex
BibTex
ref_biblio
Marcelo Ruaro, Kevin J. M. Martin. ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling. DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Jun 2022, Budapest, Hungary. pp.39-46, ⟨10.1145/3522784.3522791⟩. ⟨hal-03704278⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704278/file/ManyGUI_RAPIDO22.pdf BibTex
ref_biblio
William Pensec, David Espes, Catherine Dezan. Smart Anomaly Detection and Monitoring of Industry 4.0 by Drones. 2022 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2022, Dubrovnik, France. pp.705-713, ⟨10.1109/ICUAS54217.2022.9836057⟩. ⟨hal-03841225⟩
Accès au bibtex
BibTex
ref_biblio
Kevin J M Martin, Philippe Coussy. Ultra-low Power Computing with CGRAs an architecture, compilation, and application triptych. Workshop on Reconfigurable Computing (WRC), Jun 2022, Budapest, France. ⟨hal-03704282⟩
Accès au bibtex
BibTex
ref_biblio
Kevin J M Martin. Twenty Years of Automated Methods for Mapping Applications on CGRA. 2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), May 2022, Lyon, France. ⟨10.1109/IPDPSW55747.2022.00118⟩. ⟨hal-03704256⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704256/file/mainCGRA4HPC.pdf BibTex
ref_biblio
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat. Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security. Workshop CryptArchi 2022, May 2022, Porquerolles, France. ⟨hal-04164363⟩
Accès au bibtex
BibTex
ref_biblio
Loïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt, et al.. A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-Tracker. ISCAS 2022: IEEE International Symposium on Circuits and Systems, May 2022, Austin, United States. pp.1140-1144, ⟨10.1109/ISCAS48785.2022.9937413⟩. ⟨hal-03851970⟩
Accès au bibtex
BibTex
ref_biblio
Charbel Geryes Aoun, Loïc Lagadec, Mohammad Habes. An Extended Modeling Approach for Marine/Deep-Sea Observatory. The 8th International Conference on Advanced Machine Learning and Technologies and Applications (AMLTA2022), May 2022, The Caire, Egypt. pp.502-514, ⟨10.1007/978-3-031-03918-8_42⟩. ⟨hal-03687138⟩
Accès au bibtex
BibTex
ref_biblio
Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand. Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks. DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic. ⟨hal-03599317⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03599317/file/ddecs-hal.pdf BibTex
ref_biblio
Emilien Fournier, Ciprian Teodorov, Loïc Lagadec. Dolmen: FPGA Swarm for Safety and Liveness Verification. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2022, Antwerp, Belgium. pp.1425-1430, ⟨10.23919/DATE54114.2022.9774528⟩. ⟨hal-03708237⟩
Accès au bibtex
BibTex
ref_biblio
Navonil Chatterjee, Marcelo Ruaro, Kevin Martin, Jean-Philippe Diguet. Mitigating Transceiver and Token Controller Permanent Faults in Wireless Network-on-Chip. Euromicro International Conference on Parallel, Distributed and Network-based Processing, Mar 2022, Valladolid, Spain. ⟨hal-03609150⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03609150/file/PDP22_Addressing_Permanent_Faults_in_Wireless_Network_on_Chip.pdf BibTex

Book sections

ref_biblio
Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy. Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud. Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩. ⟨hal-04115023⟩
Accès au bibtex
BibTex

Lectures

ref_biblio
Kevin J. M. Martin. On-chip memories at the edge. Doctoral. France. 2022. ⟨hal-03710634⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03710634/file/AMLE2022%20-%20On-chip%20memories%20at%20the%20edge%20-%20handout.pdf BibTex
ref_biblio
Fabrice Lozachmeur. Introduction to Masking Protection for Symmetric Encryption. Doctoral. France. 2022. ⟨hal-03676311⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03676311/file/presentation.pdf BibTex

Other publications

ref_biblio
Stéphane Ducasse, Guillermo Polito, Pablo Tesone, Gaël Thomas, Loïc Lagadec. High-performance language virtual machines: an analysis and challenges. 2022. ⟨hal-03770053⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03770053/file/VM-challenges-v3.pdf BibTex

Patents

ref_biblio
Loïc Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Théotime Bollengier. Procédé de configuration d'un circuit logique programmable, circuit logique programmable et dispositif pour implémenter le procédé. France, N° de brevet: FR3115134. 2022. ⟨hal-04032489⟩
Accès au bibtex
BibTex

Poster communications

ref_biblio
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat. Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security. RISC-V Spring Week 2022, May 2022, Paris, France. ⟨hal-04164321⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04164321/file/4th-RISC-V-Meeting-2022-05-03-Mohamed-ElBouazzati-poster.pdf BibTex

Proceedings

ref_biblio
Loïc Lagadec, Vincent Aranega. IWST 2022: International Workshop on Smalltalk Technologies 2022. 3325, 2022. ⟨hal-03954120⟩
Accès au bibtex
BibTex

Reports

ref_biblio
Guillermo Polito, Stéphane Ducasse, Pablo Tesone, Luc Fabresse, G Thomas, et al.. Remarkable Challenges of High-Performance Language Virtual Machines. [Research Report] Inria Lille - Nord Europe. 2022. ⟨hal-03770065⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03770065/file/main.pdf BibTex

Theses

ref_biblio
Émilien Fournier. Accélération matérielle de la vérification de sûreté et vivacité sur des architectures reconfigurables. Génie logiciel [cs.SE]. ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne, 2022. Français. ⟨NNT : 2022ENTA0006⟩. ⟨tel-04109895⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-04109895/file/2022EmilienFournier.pdf BibTex
ref_biblio
Alemeh Ghasemi. Notifying Memories for Dataflow Applications on Shared-Memory Parallel Computer. Distributed, Parallel, and Cluster Computing [cs.DC]. Université de Bretagne Sud, 2022. English. ⟨NNT : 2022LORIS620⟩. ⟨tel-03704297v2⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03704297/file/2022theseGhasemiA.pdf BibTex
ref_biblio
Nermine Ali. High-level approach for the automatic generation of optimized hardware accelerators for deep neural networks. Computer Vision and Pattern Recognition [cs.CV]. Université de Bretagne Sud, 2022. English. ⟨NNT : 2022LORIS623⟩. ⟨tel-03925830⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03925830/file/2022theseAliN.pdf BibTex
ref_biblio
Rohit Prasad. Integrated Programmable-Array accelerator to design heterogeneous ultra-low power manycore architectures. Embedded Systems. Université de Bretagne Sud; Università degli studi (Bologne, Italie). Facoltà di Ingegneria, 2022. English. ⟨NNT : 2022LORIS624⟩. ⟨tel-03701879⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03701879/file/2022thesePrasdR.pdf BibTex

2021

Journal articles

ref_biblio
Timo Zijlstra, Karim Bigou, Arnaud Tisserand. Lattice-based Cryptosystems on FPGA: Parallelization and Comparison using HLS. IEEE Transactions on Computers, 2021, ⟨10.1109/TC.2021.3112052⟩. ⟨hal-03347174⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03347174/file/article-ieeetc.pdf BibTex
ref_biblio
Hassan Harb, Cyrille Chavet. Back-to-Back Butterfly Network: an Adaptive Permutation Network for New Communication Standards. Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01628-w⟩. ⟨hal-03119275⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03119275/file/VLSI-D-20-00270_R1.pdf BibTex
ref_biblio
Rohit Prasad, Satyajit Das, Kevin Martin, Philippe Coussy. Floating Point CGRA based Ultra-Low Power DSP Accelerator. Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01630-2⟩. ⟨hal-03124545⟩
Accès au bibtex
BibTex
ref_biblio
Loic Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye, et al.. Smart Contact Lens Applied to Gaze Tracking. IEEE Sensors Journal, 2021, 21 (1), pp.455-463. ⟨10.1109/JSEN.2020.3012710⟩. ⟨hal-03358544⟩
Accès au bibtex
BibTex

Conference papers

ref_biblio
Semih Ince, David Espes, Guy Gogniat, Julien Lallet, Renaud Santoro. OAuth 2.0-based authentication solution for FPGA-enabled cloud computing. 14th IEEE/ACM International Conference on Utility and Cloud Computing Companion, Dec 2021, Leicester, United Kingdom. ⟨10.1145/3492323.3495635⟩. ⟨hal-03606350⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606350/file/Papier%20UCC21%20%281%29.pdf BibTex
ref_biblio
Arnaud Tisserand. Experience Feedback on HLS Implementation of LWE PQC on FPGA. Sécurité et intégrité des systèmes (GDR Sécu & SoC2), Nov 2021, Rennes, France. ⟨hal-03428621⟩
Accès au bibtex
BibTex
ref_biblio
Theotime Bollengier, Loïc Lagadec, Ciprian Teodorov. Prototyping FPGA through overlays. 2021 IEEE International Workshop on Rapid System Prototyping (RSP), Oct 2021, Paris, France. pp.15-21, ⟨10.1109/RSP53691.2021.9806222⟩. ⟨hal-03761788⟩
Accès au bibtex
BibTex
ref_biblio
Hassan Harb, Cédric Marchand, Ali Chamas Al Ghouwayel, Laura Conde-Canencia, E. Boutillon. Parallel CN-VN processing for NB-LDPC decoders. IEEE Workshop on Signal Processing Systems (SiPS'2021), Oct 2021, combria, Portugal. ⟨hal-03474561⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03474561/file/TCAS_I_high_throughput_architectures%282%29.pdf BibTex
ref_biblio
Emilien Fournier, Ciprian Teodorov, Loïc Lagadec. Carnac: Algorithm Variability for Fast Swarm Verification on FPGA. 2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Aug 2021, Dresden, Germany. pp.185-189, ⟨10.1109/FPL53798.2021.00038⟩. ⟨hal-03550389⟩
Accès au bibtex
BibTex
ref_biblio
Reinhardt Euler, Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, et al.. Efficient Leader Election in Wireless Sensor and IoT Networks. 31st European Conference on Operational Research (EURO 2021), Jul 2021, Athens, Greece. ⟨hal-03410822⟩
Accès au bibtex
BibTex
ref_biblio
Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy. Architecture matérielle programmable optimisée pour les systèmes de communications numériques. Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France. ⟨hal-03586312⟩
Accès au bibtex
BibTex
ref_biblio
Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy. Hardware Based Loop Optimization for CGRA Architectures. Applied Reconfigurable Computing. Architectures, Tools, and Applications, Jun 2021, Rennes, France. pp.65-80, ⟨10.1007/978-3-030-79025-7_5⟩. ⟨hal-03345346⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03345346/file/ARC_2021_HWloop_authorVersion.pdf BibTex
ref_biblio
Quentin Ducasse, Pascal Cotret, Loïc Lagadec, Rob Stewart. Benchmarking Quantized Neural Networks on FPGAs with FINN. DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France. ⟨hal-03085342⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03085342/file/article_IEEE.pdf BibTex
ref_biblio
Hannah Badier, Christian Pilato, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat. Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis. DATE'21 Design Automation and Test in Europe, Feb 2021, Grenoble (virtuel), France. ⟨hal-03228922⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03228922/file/date21_birthmarking_camera_ready.pdf BibTex
ref_biblio
Alemeh Ghasemi, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin. On Cache Limits for Dataflow Applications and Related Efficient Memory Management Strategies. DASIP 2021: Workshop on Design and Architectures for Signal and Image Processing, Jan 2021, Budapest -Online, Hungary. ⟨10.1145/3441110.3441573⟩. ⟨hal-03125551⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03125551/file/dasip21AuthorVersion.pdf BibTex

Poster communications

ref_biblio
Marcelo Ruaro, Kevin Martin, Fernando G Moraes. Software-Defined Networking for Many-cores. Colloque du GdR SOC2, Jun 2021, Rennes, France. ⟨hal-03294530⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03294530/file/GDR_SOC_SDN.pdf BibTex
ref_biblio
Quentin Ducasse, Pascal Cotret, Loïc Lagadec. Securing a High-Level Language Virtual Machine Through its ISA: Pharo as a Case Study. GDR SOC², Jun 2021, Rennes, France. ⟨hal-04542157⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04542157/file/poster.pdf BibTex
ref_biblio
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat. Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security. 15ème Colloque National du GDR SOC2, Jun 2021, Rennes, France. ⟨hal-04164388⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04164388/file/poster-gdr-soc-colloque-2021.pdf BibTex
ref_biblio
Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy. Architecture programmable pour les systèmes de communications numériques. GDR SoC2, Jun 2021, Rennes, France. ⟨hal-03586329⟩
Accès au bibtex
BibTex

Theses

ref_biblio
Loïc Massin. Traitement embarqué sur lentille de contact instrumentée : application à l'oculométrie. Electronique. Ecole nationale supérieure Mines-Télécom Atlantique, 2021. Français. ⟨NNT : 2021IMTA0261⟩. ⟨tel-03546909⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03546909/file/2021IMTA0261_Massin-Loic.pdf BibTex
ref_biblio
Ghita Harcha. Introduction d'aléas dans les architectures matérielles pour une contribution à la sécurisation de chiffreurs AES dans un contexte IoT. Electronique. Université de Bretagne Sud, 2021. Français. ⟨NNT : 2021LORIS603⟩. ⟨tel-03535736⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03535736/file/2021theseHarchaG.pdf BibTex
ref_biblio
Libey Djath. RNS-Flexible hardware accelerators for high-security asymmetric cryptography. Cryptography and Security [cs.CR]. Université de Bretagne occidentale - Brest, 2021. English. ⟨NNT : 2021BRES0030⟩. ⟨tel-03393289⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03393289/file/These-2021-MATHSTIC-Informatique-DJATH_Libey.pdf BibTex
ref_biblio
Hannah Badier. Transient obfuscation for HLS security : application to cloud security, birthmarking and hardware Trojan defense. Cryptography and Security [cs.CR]. ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne, 2021. English. ⟨NNT : 2021ENTA0012⟩. ⟨tel-03789700⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03789700/file/2021_Hannah_Badier.pdf BibTex