2024
Journal articles
- titre
- Better understand the crystallization dynamics of ZrCu TFMGs: Benefits of combining global and local in situ approaches
- auteur
- Solène Comby-Dassonneville, Lucian Roiban, Alejandro Borroto, Annie Malchère, Sandrine Cardinal, Thierry Douillard, Cyril Langlois, Jean-François Pierson, Jean-marc Pelletier, Philippe Steyer
- article
- Journal of Alloys and Compounds, 2024, 987, pp.174233. ⟨10.1016/j.jallcom.2024.174233⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards a classification of the building stock in terms of potential energy savings through advanced control
- auteur
- Alexis Wagner, Marie Ruellan, Matthias Heinrich, Romain Bourdais
- article
- Energy and Buildings, 2024, 310, pp.114050. ⟨10.1016/j.enbuild.2024.114050⟩
- Accès au texte intégral et bibtex
-
- titre
- Large Area Freestanding Au Nanoporous Ultrathin Films Transfer Printed on Bendable Substrates and 3D Surfaces for Flexible Electronics
- auteur
- Issraa Shahine, Quentin Hatte, Maxime Harnois, Pierre-Yves Tessier
- article
- ACS Applied Electronic Materials, 2024, ⟨10.1021/acsaelm.3c01771⟩
- Accès au bibtex
-
- titre
- A Multimodal Dynamical Variational Autoencoder for Audiovisual Speech Representation Learning
- auteur
- Samir Sadok, Simon Leglaive, Laurent Girin, Xavier Alameda-Pineda, Renaud Séguier
- article
- Neural Networks, 2024, 172, pp.106120. ⟨10.1016/j.neunet.2024.106120⟩
- Accès au bibtex
-
- titre
- Automated Buffer Sizing of Dataflow Applications in a High-Level Synthesis Workflow
- auteur
- Alexandre Honorat, Mickaël Dardaillon, Hugo Miomandre, Jean-François Nezan
- article
- ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2024, 17 (1), pp.1-26. ⟨10.1145/3626103⟩
- Accès au texte intégral et bibtex
-
- titre
- Degradation and Reliability Modeling of EM Robustness of Voltage Regulators Based on ADT: An Approach and A Case Study
- auteur
- Jaber Al Rashid, Mohsen Koohestani, Laurent Saintis, Mihaela Barreau
- article
- IEEE Transactions on Device and Materials Reliability, 2024, 24 (1), pp.2-13. ⟨10.1109/TDMR.2023.3340426⟩
- Accès au texte intégral et bibtex
-
- titre
- Uncoordinated Spectrum Access for Massive Connectivity in IoT Applications
- auteur
- Joseph Doumit, Marie-Josépha Youssef, Charbel Abdel Nour, Joumana Farah, Catherine Douillard
- article
- IEEE Internet of Things Magazine, 2024, 7 (2), pp.78-84. ⟨10.1109/IOTM.001.2300125⟩
- Accès au texte intégral et bibtex
-
- titre
- Lupus activity and outcomes in lupus patients undergoing maintenance dialysis
- auteur
- François Gaillard, Delphine Bachelet, Cécile Couchoud, Cédric Laouenan, Katell Peoc’h, Quentin Simon, Nicolas Charles, Noémie Jourde-Chiche, Eric Daugas
- article
- Rheumatology, 2024, 63 (3), pp.780-786. ⟨10.1093/rheumatology/kead293⟩
- Accès au bibtex
-
- titre
- Broadband Reflecting Luneburg Lenses Based on Bed-of-Nails Metasurfaces
- auteur
- Christos Bilitos, Xavier Morvan, Enrica Martini, Ronan Sauleau, Stefano Maci, David González-Ovejero
- article
- IEEE Transactions on Antennas and Propagation, 2024, 72 (2), pp.1923-1928. ⟨10.1109/TAP.2023.3341208⟩
- Accès au bibtex
-
- titre
- S matrix from a two-dimensional slab covered by water drops in W and J bands: Comparison of a full-wave method with measurements
- auteur
- Christophe Bourlier, Paul Bouquin, Alain Peden, Daniel Bourreau, Nicolas Pinel
- article
- Journal of Infrared, Millimeter, and Terahertz Waves, In press, 45, pp.280-299. ⟨10.1007/s10762-024-00977-y⟩
- Accès au texte intégral et bibtex
-
- titre
- DOA Estimation with Nested Arrays in Impulsive Noise Scenario: An Adaptive Order Moment Strategy
- auteur
- Xudong Dong, Jun Zhao, Jingjing Pan, Meng Sun, Xiaofei Zhang, Peihao Dong, Yide Wang
- article
- IEEE Open Journal of Signal Processing, 2024, 5, pp.493 - 502. ⟨10.1109/OJSP.2024.3360896⟩
- Accès au bibtex
-
- titre
- Exploring Interferences Arising in the Construction of GPR Responses from an Object Buried Between Two Rough Surfaces by GPILE Method.
- auteur
- Marc Songolo, Nicolas Pinel, Christophe Bourlier
- article
- Progress In Electromagnetics Research Letters, 2024, 117, pp.13-19. ⟨10.2528/PIERL23062903⟩
- Accès au texte intégral et bibtex
-
- titre
- PS-NET: an end-to-end phase space depth estimation approach for computer-generated holograms
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- Optics Express, 2024, 32 (2), pp.2473. ⟨10.1364/oe.501085⟩
- Accès au texte intégral et bibtex
-
- titre
- Theoretical analysis of AC current supply of doubly salient permanent magnet machine with quasi sinusoidal back-EMF and inductance variations
- auteur
- Hao Chen, Luming Liu, Nadia Aït-Ahmed, Yide Wang, Jean-Frédéric Charpentier, Mohamed Ei-Hadi Zaïm, Mohamed Machmoum, Mohamed Benbouzid
- article
- Electrical Engineering, 2024, ⟨10.1007/s00202-023-02142-5⟩
- Accès au bibtex
-
- titre
- Improvements Proposed to Noisy-OR Derivatives for Multi-Causal Analysis: A Case Study of Simultaneous Electromagnetic Disturbances
- auteur
- Lokesh Devaraj, Qazi Mashaal Khan, Alastair Ruddle, Alistair Duffy, Richard Perdriau, Mohsen Koohestani
- article
- International Journal of Approximate Reasoning, 2024, 164, pp.109068. ⟨10.1016/j.ijar.2023.109068⟩
- Accès au texte intégral et bibtex
-
- titre
- Microwave Speech Recognizer Empowered by a Programmable Metasurface
- auteur
- Hongrui Zhang, Hengxin Ruan, Hanting Zhao, Zhuo Wang, Shengguo Hu, Tie Jun Cui, Philipp del Hougne, Lianlin Li
- article
- Advanced Science, 2024, Advanced Science, pp.2309826. ⟨10.1002/advs.202309826⟩
- Accès au bibtex
-
- titre
- Multi-agent simulation of autonomous industrial vehicle fleets: Towards dynamic task allocation in V2X cooperation mode
- auteur
- Juliette Grosset, Aj Fougères, M Djoko-Kouam, Jean-Marie Bonnin
- article
- Integrated Computer-Aided Engineering, 2024, pp.1-18. ⟨10.3233/ica-240735⟩
- Accès au bibtex
-
- titre
- On the Tacit Linearity Assumption in Common Cascaded Models of RIS-Parametrized Wireless Channels
- auteur
- Antonin Rabault, Luc Le Magoarou, Jérôme Sol, George C Alexandropoulos, Nir Shlezinger, H. Vincent Poor, Philipp del Hougne
- article
- IEEE Transactions on Wireless Communications, 2024, Ieee Transactions On Wireless Communications, ⟨10.1109/twc.2024.3367953⟩
- Accès au texte intégral et bibtex
-
- titre
- When Asymmetry Helps: Joint Power and Blocklength Optimization for Non-Orthogonal Multiple Access in Downlink Communications
- auteur
- Haïfa Farès, Rémi Bonnefoi, Johnny Wakim, Yassine El Lamti
- article
- IEEE Open Journal of the Communications Society, 2024, pp.1-1. ⟨10.1109/ojcoms.2024.3376950⟩
- Accès au texte intégral et bibtex
-
- titre
- Video generalized semantic segmentation via Non-Salient Feature Reasoning and Consistency
- auteur
- Yuhang Zhang, Zhengyu Zhang, Muxin Liao, Shishun Tian, Rong You, Wenbin Zou, Chen Xu
- article
- Knowledge-Based Systems, 2024, Knowledge-Based Systems, 292, pp.111584. ⟨10.1016/j.knosys.2024.111584⟩
- Accès au texte intégral et bibtex
-
- titre
- Linear-frequency conversion with time-varying metasurfaces
- auteur
- Claude Amra, Ali Passian, Philippe Tchamitchian, Mauro Ettorre, Ahmed Alwakil, Juan Antonio Zapien, Paul Rouquette, Yannick Abautret, Myriam Zerrad
- article
- Physical Review Research, 2024, 6, pp.013002. ⟨10.1103/PhysRevResearch.6.013002⟩
- Accès au bibtex
-
- titre
- A note on fixed-and discrete-time estimation via the DREM method
- auteur
- Marina Korotina, Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Jian Wang
- article
- IEEE Transactions on Automatic Control, 2024, pp.1-6. ⟨10.1109/TAC.2024.3355803⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced Analysis of Radar Cross-Section Measurements in Reverberation Environments
- auteur
- Corentin Charlo, Stéphane Méric, François Sarrazin, Elodie Richalot, Jérome Sol, Philippe Besnier
- article
- Progress In Electromagnetics Research B, 2024, 104, pp.51-68. ⟨10.2528/PIERB23062902⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Antenna Wireless Powered Relaying: Low Complexity and Near Optimal Techniques for Generic EH Models
- auteur
- George Ropokis, Petros Bithas
- article
- IEEE Transactions on Green Communications and Networking, 2024, ⟨10.1109/TGCN.2023.3343186⟩
- Accès au bibtex
-
- titre
- A 1296-Cell Reconfigurable Reflect-Array Antenna With 2-Bit Phase Resolution for Ka-Band Applications
- auteur
- Enhao Wang, Guangyao Peng, Kunjing Zhong, Fan Wu, Zhi Hao Jiang, Ronan Sauleau, Wei Hong
- article
- IEEE Transactions on Antennas and Propagation, 2024, Ieee Transactions On Antennas and Propagation, ⟨10.1109/tap.2024.3368220⟩
- Accès au texte intégral et bibtex
-
- titre
- A Deep MIMO Detector Based on MQAM Signal Decomposition and Channel Ordering
- auteur
- Zichun Huang, Yuehua Ding, Biyun Ma, Jie Li, Yide Wang
- article
- IEEE Access, In press, Manuscript ID Access-2024-09295
- Accès au bibtex
-
- titre
- Multi-modal Circuit Model Derivation for Finite Thickness Frequency Selective Surfaces
- auteur
- Ahmed D. Alwakil, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Antennas and Wireless Propagation Letters, 2024, Ieee Antennas and Wireless Propagation Letters, pp.1-5. ⟨10.1109/lawp.2024.3365011⟩
- Accès au bibtex
-
- titre
- Time-Delay Estimation of Ground Penetrating Radar using Co-prime Sampling Strategy via Atomic Norm Minimization
- auteur
- Huimin Pan, Jingjing Pan, Xiaofei Zhang, Yide Wang
- article
- IEEE Transactions on Instrumentation and Measurement, 2024, #TIM-23-06919R1, ⟨10.1109/TIM.2024.3379077⟩
- Accès au bibtex
-
- titre
- Broadband parasitic modeling of diodes in the millimeter-wave band
- auteur
- Mario Pérez-Escribano, Angel Palomares-Caballero, Pablo Padilla, Juan F. Valenzuela-Valdes, Enrique Márquez-Segura
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2024, Aeu-International Journal of Electronics and Communications, 177, pp.155216. ⟨10.1016/j.aeue.2024.155216⟩
- Accès au bibtex
-
- titre
- Quinolinoacridine as High Efficiency Building Unit in Single-Layer Phosphorescent Organic Light-Emitting Diodes
- auteur
- Clement Brouillac, Fabien Lucas, Denis Ari, Denis Tondelier, Jonathan Meot, Marc Malvaux, Cyril Jadaud, Christophe Lebreton, Joëlle Rault-Berthelot, Cassandre Quinton, Emmanuel Jacques, Cyril Poriel
- article
- Advanced Electronic Materials, 2024, Advanced Electronic Materials, 10 (1), pp.2300582. ⟨10.1002/aelm.202300582⟩
- Accès au texte intégral et bibtex
-
- titre
- Transcriptional landscape of human keratinocyte models exposed to 60-GHz millimeter-waves
- auteur
- Catherine Martin, Bertrand Evrard, Frédéric Percevault, Kate Ryder, Thomas Darde, Aurélie Lardenois, Maxim Zhadobov, Ronan Sauleau, Frédéric Chalmel, Yves Le Drean, Denis Habauzit
- article
- Toxicology in Vitro, 2024, 97, pp.105808. ⟨10.1016/j.tiv.2024.105808⟩
- Accès au texte intégral et bibtex
-
- titre
- Wordlength Optimization for Custom Floating-point Systems
- auteur
- Quentin Milot, Mickaël Dardaillon, Justine Bonnot, Daniel Menard
- article
- Proceedings of the 2024 Conference on Design & Architectures for Signal & Image Processing (DASIP), In press
- Accès au texte intégral et bibtex
-
- titre
- Grafting Electron‐Accepting Fragments on [4]cyclo‐2,7‐carbazole Scaffold: Tuning the Structural and Electronic Properties of Nanohoops
- auteur
- Clement Brouillac, Nemo Mcintosh, Benoît Heinrich, Olivier Jeannin, Olivier De Sagazan, Nathalie Coulon, Joëlle Rault-Berthelot, Jérôme Cornil, Emmanuel Jacques, Cassandre Quinton, Cyril Poriel
- article
- Advanced Science, 2024, Advanced Science, pp.e2309115. ⟨10.1002/advs.202309115⟩
- Accès au bibtex
-
- titre
- Constrained-MMSE Combining for Spatial Domain Self-Interference Cancellation in Full-Duplex Massive MIMO Systems
- auteur
- Xuan Chen, Vincent Savaux, Matthieu Crussière, Patrick Savelli, Koffi-Clément Yao
- article
- IEEE Open Journal of the Communications Society, 2024, Ieee Open Journal of the Communications Society, 5, pp.649-663. ⟨10.1109/ojcoms.2024.3349695⟩
- Accès au bibtex
-
- titre
- Extending nonstandard finite difference schemes rules to systems of nonlinear ODEs with constant coefficients
- auteur
- Marc E. Songolo, Brigitte Bidégaray-Fesquet
- article
- Journal of Difference Equations and Applications, 2024, pp.1-26. ⟨10.1080/10236198.2023.2300726⟩
- Accès au texte intégral et bibtex
-
- titre
- High‐gain tapered monopulse antenna based on octuple excitation method in diffusion bonding technology at W‐band
- auteur
- Adham Mahmoud, Takashi Tomura, Mauro Ettorre, D. Gonzalez-Ovejero, Ronan Sauleau, Jiro Hirokawa
- article
- IET Microwaves Antennas and Propagation, 2024, Iet Microwaves Antennas and Propagation, ⟨10.1049/mia2.12456⟩
- Accès au bibtex
-
- titre
- A Comprehensive Analysis of Low-Profile Dual Band Flexible Omnidirectional Wearable Antenna for WBAN Applications
- auteur
- Muhammad Afzaal Khan, Waleed Tariq Sethi, Waqar Ahmad Malik, Abdul Jabbar, Muhammad Ali Khalid, Ali Almuhlafi, Mohamed Himdi
- article
- IEEE Access, 2024, 12 (14), pp.1-1. ⟨10.1109/ACCESS.2024.3380908⟩
- Accès au bibtex
-
Conference papers
- titre
- SiC MOSFET Short-Circuit protection: a faster Soft Shut Down method for gate drivers
- auteur
- Julien Weckbrodt, Thanh Long Le, Nicolas Ginot, Christophe Batard, Louison Gouy
- article
- PCIM Europe, Jun 2024, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Interaction électromagnétique d'une plaque lisse avec une plaque rugueuse : prise en compte de la rugosité par un modèle statistique
- auteur
- Prisca Le Dily, Nicolas Pinel, R. Bocheux, Christophe Bourlier
- article
- 23ème Journées Nationales Microondes, Jun 2024, Antibes Juan-Les-Pins, France. pp.sciencesconf.org:jnm2024:513914
- Accès au bibtex
-
- titre
- Science : Initiation à la Symétrie et aux Groupes
- auteur
- Bruno Bêche
- article
- Journée Immersion Lycen.ne.s - programme BRIO, Bretagne Réussite Information Orientation - SOIE, May 2024, Rennes, France
- Accès au bibtex
-
- titre
- La cordée de la réussite « Pour une Ambition Scolaire Scientifique » de l'Université de Rennes avec le centre Bretagne : témoignage d'une pratique collective réussie, du collège et lycée jusqu'aux établissements du supérieur, institut de recherche et société d'accélération du transfert de technologies
- auteur
- Bruno Bêche, Cyril Le-Corre, Valérie Mesnet, Angelique Simoneau-Le-Sager, Ronan Sauleau, Cecile Lecomte
- article
- Colloque BRIO, Bretagne Réussite Information Orientation, May 2024, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Model-Based Learning for Location-to-Channel Mapping
- auteur
- Baptiste Chatelier, Luc Le Magoarou, Vincent Corlay, Matthieu Crussière
- article
- ICASSP 2024 - 2024 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2024, Seoul, South Korea. ⟨10.1109/icassp48485.2024.10446774⟩
- Accès au texte intégral et bibtex
-
- titre
- Dicetrack: Lightweight Dice Classification on Resource-Constrained Platforms with Optimized Deep Learning Models
- auteur
- Christophe El Zeinaty, Glenn Herrou, Wassim Hamidouche, Daniel Menard
- article
- ICASSP 2024 - 2024 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2024, Seoul, South Korea. ⟨10.1109/icassp48485.2024.10447958⟩
- Accès au bibtex
-
- titre
- RD-cost Regression Speed Up Technique for VVC Intra Block Partitioning
- auteur
- M. E. A. Kherchouche, F Galpin, T. Dumas, Daniel Menard, Lu Zhang
- article
- ICASSP 2024 - 2024 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2024, Seoul, South Korea. ⟨10.1109/icassp48485.2024.10447850⟩
- Accès au bibtex
-
- titre
- Screen-and-Relax for Sparse Support Identification
- auteur
- Théo Guyard, Cédric Herzet, Clément Elvira
- article
- Journées SMAI MODE 2024, Mar 2024, Lyon, France. pp.1-1
- Accès au texte intégral et bibtex
-
- titre
- A Generic Branch-and-Bound for L0-Penalized Problems
- auteur
- Théo Guyard, Cédric Herzet, Clément Elvira, Ayşe N Arslan
- article
- Journées SMAI MODE 2024, Mar 2024, Lyon, France. pp.1-1
- Accès au texte intégral et bibtex
-
- titre
- Optimal microgrid sizing: impact of anticipative (i.e. perfect foresight) operation?
- auteur
- Pierre Haessig, Jean Fructhieu Nikiema, Nabil Sadou, Elsy El Sayegh
- article
- Openmod Grenoble workshop 2024, Mar 2024, Grenoble, France
- Accès au bibtex
-
- titre
- End-to-end VVC encoding for energy-aware HDR rendering
- auteur
- Olivier Le Meur, Franck Aumont, Thomas Guionnet, Frédéric Plissonneau, David Touze, Pierre-Loup Cabarat, Daniel Ménard, Juan Carlos Vargas Rubio, Oussama Hammami, Bill Redmann
- article
- MHV '24: Mile-High Video Conference, Feb 2024, Denver CO USA, United States. ⟨10.1145/3638036.3640800⟩
- Accès au bibtex
-
- titre
- Les femmes en sciences : quelques exemples historiques. Hommage, salles et amphithéâtres du campus Beaulieu portant leurs noms, suivi des formations scientifiques de l'Université de Rennes.
- auteur
- Bruno Bêche
- article
- Journée du Lycée François-René de Chateaubriand, Feb 2024, Combourg, France
- Accès au bibtex
-
- titre
- An Automatic Highly Dynamical Digital Twin Design with YOLOv8 for hydrodynamic studies on living animals
- auteur
- Bastien Lagneaux, Gurvan Jodin, Dixia Fan, James Herbert-Read, Corentin Porcon, Florence Razan
- article
- 2024 International Conference on Artificial Intelligence, Computer, Data Sciences and Applications (ACDSA), Feb 2024, Victoria, Seychelles. ⟨10.1109/acdsa59508.2024.10467329⟩
- Accès au bibtex
-
- titre
- L'offre de formation en Science sur l'Université de Rennes : Les quatre portails scientifiques dont leurs parcours sélectifs, les prépas intégrées et les écoles, les Bachelors Universitaires de Technologies en sciences
- auteur
- Bruno Bêche
- article
- Journée Lycée Simone Veil, Lycée Simone Veil, Jan 2024, Liffré, France
- Accès au bibtex
-
- titre
- Scratchy : A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming Applications
- auteur
- Joseph W Faye, Naouel Haggui, Florent Kermarrec, Kevin J M Martin, Shuvra Bhattacharyya, Jean-François Nezan, Maxime Pelcat
- article
- DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich (Allemagne), Germany
- Accès au texte intégral et bibtex
-
- titre
- An Initial Framework for Prototyping the Radio-Interferometric Imaging Pipelines
- auteur
- Sunrise Wang, Nicolas Gac, Hugo Miomandre, Jean-François Nezan, Karol Desnos, François Orieux
- article
- DASIP 2024 - Workshop on Design and Architectures for Signal and Image Processing, HiPEAC, Jan 2024, Munich, Germany
- Accès au texte intégral et bibtex
-
- titre
- Towards Improving Speech Emotion Recognition Using Synthetic Data Augmentation from Emotion Conversion
- auteur
- Karim M Ibrahim, Antony Perzo, Simon Leglaive
- article
- International Conference on Acoustics, Speech, and Signal Processing, 2024, Seoul, South Korea. ⟨10.1109/icassp48485.2024.10445740⟩
- Accès au texte intégral et bibtex
-
Lectures
- titre
- Unité d'Enseignement LABORATOIRE 2 - 7 Travaux Pratiques : "Ondes / Particules / Gaz
- auteur
- Bruno Bêche, Andrea Simoni
- article
- Licence. LABORATOIRE 2 - 7 Travaux Pratiques : "Ondes / Particules / Gaz", Université de Rennes, France. 2024, pp.26
- Accès au texte intégral et bibtex
-
- titre
- Initiation aux structures et à la symétrie : groupes, tables, matrices et espaces vectoriels
- auteur
- Bruno Bêche
- article
- Licence. Structures mathématiques (Licence 1), Université de Rennes, France. 2024, pp.44
- Accès au texte intégral et bibtex
-
- titre
- Signals and Systems
- auteur
- Bruno Bêche
- article
- Licence. Traitements des signaux et Systèmes, Rennes (Campus de Beaulieu), France. 2024, pp.16
- Accès au texte intégral et bibtex
-
Other publications
- titre
- RESonateurs Optiques en FIbres imPrimées 3D : Topologie adaptable en nœuds et boucles thermoplastiques (RESOFIP 3DT)
- auteur
- Bruno Bêche, Jordan Gastebois, Sylvain Pernon
- article
- Déclaration d'Invention SATT Ouest Valorisation, 2024, pp.1-20
- Accès au bibtex
-
Poster communications
- titre
- Robust DOA Estimation in Co-prime Arrays with Impulsive Noise using EBNC-PFLOM Method
- auteur
- Xudong Dong, Jun Zhao, Jingjing Pan, Meng Sun, Xiaofei Zhang, Yide Wang
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS 2024), Jul 2024, Athenes, Greece. pp.#1597, 2024
- Accès au bibtex
-
- titre
- Off-Grid Time-Delay Estimation for Ground Penetrating Radar: A Nested Sampling Based Block Sparse Representation Method
- auteur
- Huimin Pan, Jingjing Pan, Meng Sun, Xiaofei Zhang, Yide Wang
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS 2024), Jul 2024, Athenes, Greece. pp.#1595, 2024
- Accès au bibtex
-
- titre
- Calcul du champ électrique en champ lointain à partir d’une mesure sectorielle en champ proche dans la zone de Fresnel.
- auteur
- Nolwenn Dreano, Christophe Bourlier, Gildas Kubické, Philippe Pouliguen
- article
- 23ème Journées Nationales Microondes, Jun 2024, Antibes Juan-Les-Pins, France. pp.sciencesconf.org:jnm2024:513904, 2024
- Accès au bibtex
-
- titre
- How to enforce non-anticipativity in microgrid sizing optimization ?
- auteur
- Pierre Haessig, Jean Fructhieu Nikiema, Nabil Sadou, Elsy El Sayegh
- article
- Openmod Grenoble workshop 2024, Mar 2024, Grenoble, France.
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- A computationally efficient reformulation for Data-Enabled Predictive Control
- auteur
- Alexandre Faye-Bedrin, Stanislav Aranovskiy, Paul Chauchat, Romain Bourdais
- article
- 2024
- Accès au texte intégral et bibtex
-
- titre
- Objective and subjective evaluation of speech enhancement methods in the UDASE task of the 7th CHiME challenge
- auteur
- Simon Leglaive, Matthieu Fraticelli, Hend ElGhazaly, Léonie Borne, Mostafa Sadeghi, Scott Wisdom, Manuel Pariente, John R. Hershey, Daniel Pressnitzer, Jon P. Barker
- article
- 2024
- Accès au texte intégral et bibtex
-
2023
Journal articles
- titre
- Design and Characterization of Piezoresistive Sensors for Non-Planar Surfaces and Pressure Mapping: A Case Study on Kayak Paddle
- auteur
- Abdo-Rahmane Anas Laaraibi, Gurvan Jodin, Corentin Depontailler, Nicolas Bideau, Florence Razan
- article
- Sensors, 2023, 24 (1), pp.222. ⟨10.3390/s24010222⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanostructured Zr-Cu metallic glass thin films with tailored electrical and optical properties
- auteur
- Alejandro Borroto, S. Bruyère, S. Migot, C. de Melo, David Horwat, J.F. Pierson
- article
- Journal of Alloys and Compounds, In press, 967, pp.171681. ⟨10.1016/j.jallcom.2023.171681⟩
- Accès au texte intégral et bibtex
-
- titre
- Fault diagnosis for multiple current sensors in grid‐connected inverter based on average modulation voltage
- auteur
- Fan Zhang, Zhiwei Zhang, Guangfeng Jin, Tianzhen Wang, Jingang Han, Hubert Razik, Yide Wang
- article
- IET Power Electronics, 2023, pp.1-13. ⟨10.1049/pel2.12614⟩
- Accès au bibtex
-
- titre
- Flexoelectric and piezoelectric effects in micro- and nanocellulose films
- auteur
- Hanna Trellu, Julien Le Scornec, Nadège Leray, Céline Moreau, Ana Villares, Bernard Cathala, Benoit Guiffard
- article
- Carbohydrate Polymers, 2023, 321, pp.121305. ⟨10.1016/j.carbpol.2023.121305⟩
- Accès au bibtex
-
- titre
- Reconfigurable biconcave lens antenna based on plasma technology
- auteur
- Fatemeh Sadeghikia, Kazem Zafari, Mohammad-Reza Dorbin, Mohamed Himdi, Ali Karami Horestani
- article
- Scientific Reports, 2023, 13 (1), pp.9213. ⟨10.1038/s41598-023-36332-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Practical evaluation of Wi-Fi HaLow performance
- auteur
- Sébastien Maudet, Guillaume Andrieux, Romain Chevillon, Jean-François Diouris
- article
- Internet of Things, 2023, 24, pp.100957. ⟨10.1016/j.iot.2023.100957⟩
- Accès au bibtex
-
- titre
- Backpropagation-free Training of Deep Physical Neural Networks
- auteur
- Ali Momeni, Babak Rahmani, Matthieu Malléjac, Philipp del Hougne, Romain Fleury
- article
- Science, 2023, ⟨10.1126/science.adi8474⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal matrix-based spatio-temporal wave control for virtual perfect absorption, energy deposition and scattering invariant modes in disordered systems
- auteur
- Clément Ferise, Philipp del Hougne, Matthieu Davy
- article
- Physical Review Applied, 2023, 20 (5), pp.054023. ⟨10.1103/PhysRevApplied.20.054023⟩
- Accès au texte intégral et bibtex
-
- titre
- Direction of Arrival Estimation with Nested Arrays in Presence of Impulsive Noise: A Correlation Entropy-Based Infinite Norm Strategy
- auteur
- Jun Zhao, Renzhou Gui, Xudong Dong, Meng Sun, Yide Wang
- article
- Remote Sensing, 2023, 15 (22), pp.5345. ⟨10.3390/rs15225345⟩
- Accès au bibtex
-
- titre
- Bayes in action in deep learning and dictionary learning
- auteur
- Julyan Arbel, Hong-Phuong Dang, Clement Elvira, Cédric Herzet, Zacharie Naulet, Mariia Vladimirova
- article
- ESAIM: Proceedings and Surveys, 2023, 74, pp.90-107. ⟨10.1051/proc/202374090⟩
- Accès au texte intégral et bibtex
-
- titre
- Equireflectionality and customized unbalanced coherent perfect absorption in asymmetric waveguide networks
- auteur
- Malte Röntgen, Olivier Richoux, Georgios Theocharis, Christian V Morfonios, Peter Schmelcher, Philipp del Hougne, Vassos Achilleos
- article
- Physical Review Applied, 2023, 20 (4), pp.044082. ⟨10.1103/PhysRevApplied.20.044082⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Frequency Estimation of Shielding Effectiveness Without Inner Sensor in Reverberation Chambers
- auteur
- Philippe Besnier, Jérôme Sol, Marco Klingler, François Sarrazin
- article
- IEEE Transactions on Electromagnetic Compatibility, In press, ⟨10.1109/TEMC.2023.3324033⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement d’une activité d’autoévaluation pour Moodle et exemple d’utilisation dans un cours de Logique programmable
- auteur
- Eric Tanguy, Christophe Boiteux
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2023, 22, pp.1001. ⟨10.1051/j3ea/20231001⟩
- Accès au bibtex
-
- titre
- Power transfer efficiency for obstructed wireless links using Bessel beams
- auteur
- Ravel Pimenta, Gabriel Soriano, Konstantinos Paschaloudis, Mauro Ettorre, Myriam Zerrad, Claude Amra
- article
- Optics Express, 2023, 31 (22), pp.35493. ⟨10.1364/OE.499123⟩
- Accès au bibtex
-
- titre
- A SISO FMCW radar based on inherently frequency scanning antennas for 2-D indoor tracking of multiple subjects
- auteur
- Giulia Sacco, Marco Mercuri, Rainer Hornung, Huib Visser, Ilde Lorato, Stefano Pisa, Guido Dolmans
- article
- Scientific Reports, 2023, 13 (1), pp.16701. ⟨10.1038/s41598-023-41541-3⟩
- Accès au bibtex
-
- titre
- An innovative wearable sensing system based on flexible piezoresistive sensors to estimate upper body joint angle using a Nonlinear AutoRegressive exogenous Neural Model
- auteur
- Abdo-Rahmane Anas Laaraibi, Corentin Depontailler, Gurvan Jodin, Damien Hoareau, Nicolas Bideau, Florence Razan
- article
- IEEE Sensors Journal, 2023, 23 (21), pp.26539-26550. ⟨10.1109/jsen.2023.3319559⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparison of Imaging Radar Configurations for Roadway Inspection and Characterization
- auteur
- Mengda Wu, Laurent Ferro-Famil, Frederic Boutet, Yide Wang
- article
- Sensors, 2023, 23 (20), pp.8522. ⟨10.3390/s23208522⟩
- Accès au texte intégral et bibtex
-
- titre
- Specific electrochemical sensor for cadmium detection: Comparison between monolayer and multilayer functionalization
- auteur
- Gaël Levanen, Awatef Dali, Yann R. Leroux, Teodora Lupoi, Stéphanie Betelu, Karine Michel, Soraya Ababou-Girard, Philippe Hapiot, Ikram Dahech, Cecilia Cristea, Bogdan Feier, Florence Razan, Florence Geneste
- article
- Electrochimica Acta, 2023, 464, pp.142962. ⟨10.1016/j.electacta.2023.142962⟩
- Accès au texte intégral et bibtex
-
- titre
- Resource Allocation and Pairing Techniques in Multiuser Massive MIMO-NOMA
- auteur
- Eric Pierre Simon, Joumana Farah, Pierre Laly
- article
- IEEE Systems Journal, 2023, 17 (4), pp.6312 - 6321. ⟨10.1109/JSYST.2023.3314789⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-Field Power Density Mapping of Close-to-Body Low-Power mmWave Devices
- auteur
- Massinissa Ziane, Artem Boriskin, Maxim Zhadobov
- article
- IEEE Antennas and Wireless Propagation Letters, 2023, 22 (10), pp.2347-2351. ⟨10.1109/LAWP.2023.3286944⟩
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Learning and Dummy Triplet Loss for Efficient Deepfake Detection
- auteur
- Nicolas Beuve, Wassim Hamidouche, Olivier Déforges
- article
- ACM Transactions on Multimedia Computing, Communications and Applications, 2023, ⟨10.1145/3626101⟩
- Accès au bibtex
-
- titre
- Large Curvature Sensors Based on Flexoelectric Effect in PEDOT:PSS Polymer Films
- auteur
- Julien Le Scornec, Benoit Guiffard
- article
- ACS Materials Letters, 2023, pp.2929-2941. ⟨10.1021/acsmaterialslett.3c00635⟩
- Accès au bibtex
-
- titre
- Inducible CRISPR-targeted “knockdown” of human gut Bacteroides in gnotobiotic mice discloses glycan utilization strategies
- auteur
- Zachary Beller, Darryl Wesener, Timothy Seebeck, Janaki Guruge, Alexandra Byrne, Suzanne Henrissat, Nicolas Terrapon, Bernard Henrissat, Dmitry Rodionov, Andrei Osterman, Chris Suarez, Nikita Bacalzo, Ye Chen, Garret Couture, Carlito Lebrilla, Zhigang Zhang, Erik Eastlund, Caitlin Mccann, Gregory Davis, Jeffrey Gordon
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2023, 120 (39), pp.e2311422120. ⟨10.1073/pnas.2311422120⟩
- Accès au bibtex
-
- titre
- A Seamless Integration Solution for LoRaWAN Into 5G System
- auteur
- Hassan Jradi, Fabienne Nouvel, Abed Ellatif Samhat, Jean-Christophe Prévotet, Mohamad Mroue
- article
- IEEE Internet of Things Journal, 2023, 10 (18), pp.16238-16252. ⟨10.1109/JIOT.2023.3267502⟩
- Accès au texte intégral et bibtex
-
- titre
- A 2 bit Circularly Polarized Reconfigurable Reflectarray Using p-i-n-Diode-Tuned Crossed-Bowtie Patch Elements
- auteur
- Fan Wu, Wu-Guang Zhao, Xiaoyue Xia, Jingxue Wang, Zhi Hao Jiang, Ronan Sauleau, Wei Hong
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (9), pp.7299-7309. ⟨10.1109/TAP.2023.3291773⟩
- Accès au texte intégral et bibtex
-
- titre
- Phaseless Spherical Near-Field Antenna Measurements With Reduced Samplings
- auteur
- Nicolas Mézières, Laurent Le Coq, Benjamin Fuchs
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (9), pp.7447-7456. ⟨10.1109/TAP.2023.3298149⟩
- Accès au texte intégral et bibtex
-
- titre
- PAV-SOD: A New Task towards Panoramic Audiovisual Saliency Detection
- auteur
- Yi Zhang, Fang-Yi Chao, Wassim Hamidouche, Olivier Deforges
- article
- ACM Transactions on Multimedia Computing, Communications and Applications, 2023, 19 (3), pp.1-26. ⟨10.1145/3565267⟩
- Accès au bibtex
-
- titre
- Combining GAN with reverse correlation to construct personalized facial expressions
- auteur
- Sen Yan, Catherine Soladié, Jean-Julien Aucouturier, Renaud Seguier
- article
- PLoS ONE, 2023, Affective Computing and Human-Computer Interactions, 18 (8), pp.e0290612. ⟨10.1371/journal.pone.0290612⟩
- Accès au texte intégral et bibtex
-
- titre
- Resource Allocation of UAV-Assisted IoT Node Secure Communication System
- auteur
- Biyun Ma, Diyuan Xu, Xinyu Ren, Yide Wang, Jiaojiao Liu
- article
- Signals, 2023, 4 (3), pp.591-603. ⟨10.3390/signals4030031⟩
- Accès au bibtex
-
- titre
- Real-Time Fixed Priority Scheduling Synthesis using Affine DataFlow Graphs: from Theory to Practice
- auteur
- Alexandre Honorat, Hai Nam Tran, Thierry Gautier, Loïc Besnard, Shuvra S. Bhattacharyya, Jean-Pierre Talpin
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2023, pp.1-30. ⟨10.1145/3615586⟩
- Accès au texte intégral et bibtex
-
- titre
- Double voltage vector model predictive control for grid-connected cascade H-bridge multilevel inverter with fixed switching frequency
- auteur
- Jingang Han, Liang Liu, Wenqi E., Gang Yao, Yide Wang, Mohamed Benbouzid, Tianhao Tang
- article
- ISA Transactions, In press, ⟨10.1016/j.isatra.2023.08.016⟩
- Accès au bibtex
-
- titre
- Energy efficient selection of spreading factor in LoRaWAN-based WBAN medical systems
- auteur
- Houssein Taleb, Guillaume Andrieux, Abbass Nasser, Nour Charara
- article
- Internet of Things, 2023, 24, pp.100896. ⟨10.1016/j.iot.2023.100896⟩
- Accès au bibtex
-
- titre
- Development of flexible piezoelectric sole with wireless communication for medical application
- auteur
- Samia Adrar, Mohammed El Gibari, Philippe Saillant, Jean-Christophe Thomas, Raynald Seveno
- article
- Biomedical Signal Processing and Control, 2023, 85, pp.104878. ⟨10.1016/j.bspc.2023.104878⟩
- Accès au bibtex
-
- titre
- Doppler robustness of joint communication and radar systems using the Wiener filter
- auteur
- Jean-Yves Baudais, Stéphane Méric, Bochra Benmeziane, Kevin Cinglant
- article
- IEEE Transactions on Communications, 2023, 71 (8), pp.4807-4818. ⟨10.1109/TCOMM.2023.3276021⟩
- Accès au texte intégral et bibtex
-
- titre
- Intelligent indoor metasurface robotics
- auteur
- Hanting Zhao, Shengguo Hu, Hongrui Zhang, Zhuo Wang, Hao Dong, Philipp del Hougne, Tie Jun Cui, Lianlin Li
- article
- National Science Review, 2023, 10 (8), pp.nwac266. ⟨10.1093/nsr/nwac266⟩
- Accès au texte intégral et bibtex
-
- titre
- Variation of Tolerance to Isothiazolinones Among Daphnia pulex Clones
- auteur
- Margot Wagner-Deyriès, Léa Varignier, Marion Revel, Thomas Delhaye, David Rondeau, Marie-Agnès Coutellec, R. J. S. Mccairns
- article
- Environmental Toxicology and Chemistry, 2023, 42 (4), pp.805-814. ⟨10.1002/etc.5564⟩
- Accès au texte intégral et bibtex
-
- titre
- AoI Minimization in Mixed Traffic Full-Duplex Uncoordinated Communication Systems with NOMA
- auteur
- Joseph Doumit, Marie-Josepha Youssef, Charbel Abdel Nour, Joumana Farah, Catherine Douillard
- article
- IEEE Internet of Things Journal, 2023, ⟨10.1109/JIOT.2023.3296437⟩
- Accès au texte intégral et bibtex
-
- titre
- An inovative optical viscometer using a resonant surface signal
- auteur
- Jordan Gastebois, Hervé Lhermite, Hervé Cormerais, Arnaud Saint-Jalmes, Véronique Vié, Lucas Garnier, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2023, Optical Metrology, 12622-47, pp.1-9. ⟨10.1117/12.2672418⟩
- Accès au texte intégral et bibtex
-
- titre
- Shaping and characterization of an integrated optic on polymer by simple 3D printing
- auteur
- Eros Gavini, Sylvain Pernon, Jordan Gastebois, Nathalie Coulon, Christophe Levallois, Alain Moréac, Arnaud Saint-Jalmes, Gautier Querrec, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2023, Optical Metrology, 12622-65, pp.1-7. ⟨10.1117/12.2672419⟩
- Accès au texte intégral et bibtex
-
- titre
- Barium Titanate/Gadolinium Ferrite: A New Material Composite to Store Energy
- auteur
- Clara Baivier, Imen Hammami, Ratiba Benzerga, Manuel P F Graça, L Costa
- article
- Nanomaterials, 2023, 13 (13), pp.1955. ⟨10.3390/nano13131955⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of the Phytochemical Composition, Antioxidant Properties, and In Vitro Anti-Diabetic Efficacy of Gracilaria bursa-pastoris Extracts
- auteur
- Safae Ouahabi, El Hassania Loukili, Nour Elhouda Daoudi, Mohamed Chebaibi, Mohamed Ramdani, Ilyesse Rahhou, Mohamed Bnouham, Marie-Laure Fauconnier, Belkhe Hammouti, Larbi Rhazi, Alicia Ayerdi Gotor, Flore Dépeint, Mohammed Ramdani
- article
- Marine drugs, 2023, 21 (7), pp.372. ⟨10.3390/md21070372⟩
- Accès au texte intégral et bibtex
-
- titre
- Predictive Uncertainty Estimation for Camouflaged Object Detection
- auteur
- Yi Zhang, Jing Zhang, Wassim Hamidouche, Olivier Deforges
- article
- IEEE Transactions on Image Processing, 2023, 32, pp.3580-3591. ⟨10.1109/TIP.2023.3287137⟩
- Accès au texte intégral et bibtex
-
- titre
- Fully Metallic Luneburg Metalens Antenna in Gap Waveguide Technology at V-Band
- auteur
- Dayan Perez-Quintana, Christos Bilitos, Jorge Ruiz-Garcia, Inigo Ederra, Jorge Teniente-Vallinas, David Gonzalez-Ovejero, Miguel Beruete
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (4), pp.2930-2937. ⟨10.1109/TAP.2023.3243277⟩
- Accès au texte intégral et bibtex
-
- titre
- A Patch Antenna with Enhanced Gain and Bandwidth for Sub-6 GHz and Sub-7 GHz 5G Wireless Applications
- auteur
- Shehab Khan Noor, Muzammil Jusoh, Thennarasan Sabapathy, Ali Hanafiah Rambe, Hamsakutty Vettikalladi, Ali M. Albishi, Mohamed Himdi
- article
- Electronics, 2023, 12 (12), pp.2555. ⟨10.3390/electronics12122555⟩
- Accès au bibtex
-
- titre
- Design of Compact and Superdirective Metamaterial-Inspired Two- and Three-Elements Antenna Arrays
- auteur
- Saber Dakhli, Jean Marie Floc’h, M Aseeri, Hatem Rmili
- article
- Journal of Electromagnetic Engineering and Science, 2023
- Accès au bibtex
-
- titre
- MES-loss: Mutually Equidistant Separation Metric Learning Loss Function
- auteur
- Yasser Mohamed Boutaleb, Catherine Soladie, Nam-Duong Duong, Amine Kacete, Jérôme Royan, Renaud Seguier
- article
- Pattern Recognition Letters, 2023, 172, pp.58-64. ⟨10.1016/j.patrec.2023.06.005⟩
- Accès au bibtex
-
- titre
- Low-Cost and Low-Profile Sub-Terahertz Luneburg Lens Beamformer on Polymer
- auteur
- Adham Mahmoud, Jorge Ruiz-Garcia, Olivier de Sagazan, Mauro Ettorre, Ronan Sauleau, David Gonzalez-Ovejero
- article
- IEEE Antennas and Wireless Propagation Letters, 2023, 22 (6), pp.1411-1415. ⟨10.1109/LAWP.2023.3243787⟩
- Accès au texte intégral et bibtex
-
- titre
- A Practical Technique Using Planar Coils to Make the Radiated Immunity of Specific Integrated Circuit Pins Less Dependent From PCB Orientation
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2023, pp.1-9. ⟨10.1109/TEMC.2023.3272020⟩
- Accès au texte intégral et bibtex
-
- titre
- Ridged Meandered Waveguides for 3-D Routing and Phase Delay Control and Its Application to Discrete Lenses
- auteur
- Lisa Berretti, Renaud Loison, Esteban Menargues, Santiago Capdevila, Lucas Polo-López, Giovanni Toso, María García-Vigueras
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (5), pp.4017 - 4027. ⟨10.1109/tap.2023.3255515⟩
- Accès au texte intégral et bibtex
-
- titre
- PPA-Net: Pyramid Pooling Attention Network for Multi-Scale Ship Detection in SAR Images
- auteur
- Gang Tang, Hongren Zhao, Christophe Claramunt, Weidong Zhu, Shiming Wang, Yide Wang, Yuehua Ding
- article
- Remote Sensing, 2023, 15 (11), pp.2855. ⟨10.3390/rs15112855⟩
- Accès au texte intégral et bibtex
-
- titre
- On the detection of nanoparticle cloud migration by a resonant photonic surface signal towards sedimentation velocity measurements
- auteur
- L. Garnier, J. Gastebois, H. Lhermite, V. Vié, Arnaud Saint-Jalmes, H. Cormerais, E. Gaviot, Bruno Bêche
- article
- Results in Optics, 2023, 12, pp.100430.1-13. ⟨10.1016/j.rio.2023.100430⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced DOA estimation with co-prime array in the scenario of impulsive noise: a pseudo snapshot augmentation perspective
- auteur
- Jingjing Pan, Meng Sun, Xudong Dong, Yide Wang, Xiaofei Zhang
- article
- IEEE Transactions on Vehicular Technology, 2023, pp.1-15. ⟨10.1109/TVT.2023.3265426⟩
- Accès au bibtex
-
- titre
- Influence of the intermediate oxidation layer on the characteristics of lead zirconate titanate thin films with aluminium substrate
- auteur
- Julien Le Scornec, Raynald Seveno, Thibault Dufay, Benoit Guiffard
- article
- Thin Solid Films, 2023, 770, pp.139761. ⟨10.1016/j.tsf.2023.139761⟩
- Accès au bibtex
-
- titre
- Silicon nanowires-based biosensors for the electrical detection of Escherichia coli
- auteur
- Yousra Benserhir, Anne-Claire Salaün, Florence Geneste, Nolwenn Oliviero, Laurent Pichon, Anne Jolivet-Gougeon
- article
- Biosensors and Bioelectronics, 2023, 216, pp.114625. ⟨10.1016/j.bios.2022.114625⟩
- Accès au texte intégral et bibtex
-
- titre
- Validation of IC Conducted Emission and Immunity Models Including Aging and Thermal Stress
- auteur
- Qazi Mashaal Khan, Mohsen Koohestani, Jean-Luc Levant, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2023, pp.1-14. ⟨10.1109/TEMC.2023.3253385⟩
- Accès au texte intégral et bibtex
-
- titre
- Available Kinetic Energy Sources on the Human Body during Sports Activities: A Numerical Approach Based on Accelerometers for Cantilevered Piezoelectric Harvesters
- auteur
- Damien Hoareau, Gurvan Jodin, Abdo-Rahmane Anas Laaraibi, Jacques Prioux, Florence Razan
- article
- Energies, 2023, 16 (6), pp.2695. ⟨10.3390/en16062695⟩
- Accès au texte intégral et bibtex
-
- titre
- Learning and controlling the source-filter representation of speech with a variational autoencoder
- auteur
- Samir Sadok, Simon Leglaive, Laurent Girin, Xavier Alameda-Pineda, Renaud Séguier
- article
- Speech Communication, 2023, 148, pp.53-65. ⟨10.1016/j.specom.2023.02.005⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal operation of a grid-connected battery energy storage system over its lifetime
- auteur
- Ioannis Kordonis, Alexandros C. Charalampidis, Pierre Haessig
- article
- Optimal Control Applications and Methods, 2023, 44 (2), pp.739-757. ⟨10.1002/oca.2830⟩
- Accès au bibtex
-
- titre
- Prediction of Time Diversity Gain for Earth-to-Satellite Microwave Link Design Based on Real Time Rain Intensity Measurement
- auteur
- Md. Moktarul Alam, Md. Rafiqul Islam, Mohsen Koohestani, Elfatih Elsheikh
- article
- IEEE Access, 2023, 11, pp.53588-53597. ⟨10.1109/ACCESS.2023.3249962⟩
- Accès au texte intégral et bibtex
-
- titre
- Patch-Based Image Learned Codec using Overlapping
- auteur
- Marwa Tarchouli, Marc Riviere, Thomas Guionnet, Wassim Hamidouche, Meriem Outtas, Olivier Deforges
- article
- Signal & Image Processing : An International Journal, 2023, 14 (1), pp.1-21. ⟨10.5121/sipij.2023.14101⟩
- Accès au bibtex
-
- titre
- Flexible Dynamic Pressure Sensor for Insole Based on Inverse Viscoelastic Model
- auteur
- Abdo-Rahmane Anas Laaraibi, Gurvan Jodin, Damien Hoareau, Nicolas Bideau, Florence Razan
- article
- IEEE Sensors Journal, 2023, 23 (7), pp.7634-7643. ⟨10.1109/JSEN.2023.3245822⟩
- Accès au texte intégral et bibtex
-
- titre
- Virtual Reality-Assisted Awake Craniotomy: A Retrospective Study
- auteur
- Florian Bernard, Anne Clavreul, Morgane Casanova, Jérémy Besnard, Jean-Michel Lemée, Gwénaëlle Soulard, Renaud Séguier, Philippe Menei
- article
- Cancers, 2023, 15 (3), pp.949. ⟨10.3390/cancers15030949⟩
- Accès au texte intégral et bibtex
-
- titre
- Combining Obsolescence and Temperature Stress to Evaluate the Immunity of Voltage Regulators to Direct Power Injection in Long Lifespan Systems
- auteur
- Jaber Al Rashid, Mohsen Koohestani, Richard Perdriau, Laurent Saintis, Mihaela Barreau
- article
- IEEE Letters on Electromagnetic Compatibility Practice and Applications, 2023, 5 (1), pp.27-32. ⟨10.1109/LEMCPA.2023.3240621⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-Earth Orbit User Segment in the Ku and Ka-Band: An Overview of Antennas and RF Front-End Technologies
- auteur
- Giandomenico Amendola, Daniele Cavallo, Tobias Chaloun, Nicolas Defrance, George Goussetis, Marc Margalef-Rovira, Enrica Martini, Oscar Quevedo-Teruel, Vaclav Valenta, Nelson J.G. Fonseca, Mauro Ettorre
- article
- IEEE Microwave Magazine, 2023, 24 (2), pp.32-48. ⟨10.1109/MMM.2022.3217961⟩
- Accès au bibtex
-
- titre
- Design, Hardware Implementation on FPGA and Performance Analysis of Three Chaos-Based Stream Ciphers
- auteur
- Fethi Dridi, Safwan El Assad, Wajih El Hadj Youssef, Mohsen Machhout
- article
- Fractal and Fractional, 2023, 7 (2), pp.197. ⟨10.3390/fractalfract7020197⟩
- Accès au texte intégral et bibtex
-
- titre
- Automatic depth map retrieval from digital holograms using a deep learning approach
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- Optics Express, 2023, 31 (3), pp.4199-4215. ⟨10.1364/oe.480561⟩
- Accès au texte intégral et bibtex
-
- titre
- On the use of impedance detuning for gastrointestinal segment tracking of ingestible capsules
- auteur
- Erdem Cil, Icaro Soares, David Renaudeau, Ronan Lucas, Sema Dumanli, Ronan Sauleau, Denys Nikolayev
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (2), pp.1977-1981. ⟨10.1109/TAP.2023.3234374⟩
- Accès au texte intégral et bibtex
-
- titre
- [4]-Cyclo-2,7-carbazole as host material in high-efficiency phosphorescent OLEDs: A new perspective for nanohoops in organic electronics
- auteur
- Clement Brouillac, Fabien Lucas, Denis Tondelier, Joëlle Rault-Berthelot, Christophe Lebreton, Emmanuel Jacques, Cassandre Quinton, Cyril Poriel
- article
- Advanced Optical Materials, In press, ⟨10.1002/adom.202202191⟩
- Accès au texte intégral et bibtex
-
- titre
- A Fault Tolerance Method for Multiple Current Sensor Offset Faults in Grid-Connected Inverters
- auteur
- Fan Zhang, Guangfeng Jin, Junchao Geng, Tianzhen Wang, Jingang Han, Hubert Razik, Yide Wang
- article
- Machines, 2023, 11 (1), pp.61. ⟨10.3390/machines11010061⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Robust Spectrum Sensing Based on Goodness-of-Fit Test Using Bilateral Hypotheses
- auteur
- Shaoyang Men, Pascal Chargé, Zhe Fu
- article
- Drones, 2023, 7 (1), pp.18. ⟨10.3390/drones7010018⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Slit-Loaded ACS-Fed Monopole Antenna for Bluetooth and UWB Systems With WLAN Band-Stop Capability
- auteur
- Mohsen Koohestani, Nima Azadi-Tinat, Anja Skrivervik
- article
- IEEE Access, 2023, 11, pp.7540-7550. ⟨10.1109/ACCESS.2023.3238577⟩
- Accès au texte intégral et bibtex
-
- titre
- A Broadband Low-Profile Circularly Polarized Radial Line Slot Antenna
- auteur
- Matthieu Bertrand, Mauro Ettorre, Guido Valerio, Matteo Albani, M. Casaletti
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (1), pp.140 - 150. ⟨10.1109/tap.2022.3218761⟩
- Accès au texte intégral et bibtex
-
- titre
- A thrust allocation strategy for intelligent ships based on model prediction control
- auteur
- Wei Zhu, Yucheng Wang, Diju Gao, Weifeng Shi, Wanneng Yu, Yide Wang
- article
- Transactions of the Institute of Measurement and Control, 2023, pp.014233122211463. ⟨10.1177/01423312221146346⟩
- Accès au bibtex
-
- titre
- Enhanced BNC Approach for Non-Circular Signals Direction Finding with Sparse Array in the Scenario of Impulsive Noise
- auteur
- Xudong Dong, Meng Sun, Jun Zhao, Xiaofei Zhang, Yide Wang
- article
- IEEE Transactions on Aerospace and Electronic Systems, 2023, 59 (5), pp.1-13. ⟨10.1109/TAES.2023.3275934⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient Computation of Physics-Compliant Channel Realizations for (Rich-Scattering) RIS-Parametrized Radio Environments
- auteur
- Hugo Prod’homme, Philipp del Hougne
- article
- IEEE Communications Letters, In press, ⟨10.1109/LCOMM.2023.3330527⟩
- Accès au texte intégral et bibtex
-
- titre
- N-Face Semi-Bulk Absorber Boosts Conversion Efficiency of InGaN Solar Cell
- auteur
- Rabeb Belghouthi, Amani Rached, Michel Aillerie, Ramdani Mohammed, Rajat Gujrati, Jean-Paul Salvestrini
- article
- Journal of Electronic Materials, 2023, Journal of Electronic Materials, 52 (11), pp.7566-7575. ⟨10.1007/s11664-023-10662-w⟩
- Accès au bibtex
-
- titre
- An Integrated Dual-Band Dual-Circularly Polarized Shared-Aperture Transmit-Array Antenna for K-/Ka-Band Applications Enabled by Polarization Twisting Elements
- auteur
- Xuanfeng Tong, Zhi Hao Jiang, Yuan Li, Fan Wu, Jianjun Wu, Ronan Sauleau, Wei Hong
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (6), pp.4955-4966. ⟨10.1109/TAP.2023.3263214⟩
- Accès au texte intégral et bibtex
-
- titre
- A Dual-CP Multibeam Transmit-Array Antenna Based on Anisotropic Impedance Surfaces and Hybrid Phase Compensation
- auteur
- Xuanfeng Tong, Zhi Hao Jiang, Hao Chen, Fan Wu, Jingyang Cao, Ronan Sauleau, Wei Hong
- article
- IEEE Antennas and Wireless Propagation Letters, 2023, 22 (5), pp.1144-1148. ⟨10.1109/LAWP.2023.3234699⟩
- Accès au texte intégral et bibtex
-
- titre
- A Literature Survey with the Focus on Magnetically Coupled Wireless Power Transfer Systems Developed for Engineering and Biomedical Applications
- auteur
- Lida Kouhalvandi, Serdar Ozoguz, Mohsen Koohestani
- article
- Micromachines, 2023, 14 (4), pp.786. ⟨10.3390/mi14040786⟩
- Accès au texte intégral et bibtex
-
- titre
- POLARIZATION AND FREQUENCY SELECTIVE CHARACTERISTICS OF A CHIRAL METASURFACE COMPOSED OF PERIODICALLY ARRANGED SQUARE DIELECTRIC HELICES
- auteur
- V. Yachin, T. Zinenko, L. Kochetova, P. Mladyonov, S. Mizrakhy
- article
- Radio physics and radio astronomy, 2023, Radio Physics and Radio Astronomy, 28 (4), pp.287-294. ⟨10.15407/rpra28.04.287⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Gain Tapered Long Slot Array for Satcom Applications in PCB Technology with Folded Corporate Feed Network
- auteur
- Adham Mahmoud, Mauro Ettorre, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2023, pp.1-13. ⟨10.1109/TAP.2023.3325679⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploitation of Floquet analysis for anomalous reflection : experimental validation of a RCS optimisation method
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- Electronics Letters, In press
- Accès au texte intégral et bibtex
-
- titre
- Implant-to-implant wireless networking with metamaterial textiles
- auteur
- Xi Tian, Qihang Zeng, Selman A. Kurt, Renee R. Li, Dat T. Nguyen, Ze Xiong, Zhipeng Li, Xin Yang, Xiao Xiao, Changsheng Wu, Benjamin C. K. Tee, Denys Nikolayev, Christopher J. Charles, John S. Ho
- article
- Nature Communications, 2023, 14 (1), pp.4335. ⟨10.1038/s41467-023-39850-2⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron-enhanced high power impulse magnetron sputtering with a multilevel high power supply: Application to Ar/Cr plasma discharge
- auteur
- J. Zgheib, L. Berthelot, J. Tranchant, N. Ginot, M.-P. Besland, A. Caillard, T. Minea, A. Rhallabi, P.-Y. Jouan
- article
- Journal of Vacuum Science & Technology A, 2023, 41 (6), pp.063003. ⟨10.1116/6.0002857⟩
- Accès au texte intégral et bibtex
-
- titre
- Automatic CNN Model Partitioning for GPU/FPGA-based Embedded Heterogeneous Accelerators using Geometric Programming
- auteur
- Walther Carballo-Hernández, Maxime Pelcat, Maxime Pelcat, François Berry
- article
- Journal of Signal Processing Systems, 2023, 95, pp.1203-1218. ⟨10.1007/s11265-023-01898-0⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy Consumption and Carbon Emissions of Modern Software Video Encoders
- auteur
- Taieb Chachou, Wassim Hamidouche, Sid Ahmed Fezza, Ghalem Belalem
- article
- IEEE consumer electronics magazine, 2023, Ieee Consumer Electronics Magazine, pp.1-16. ⟨10.1109/mce.2023.3347714⟩
- Accès au texte intégral et bibtex
-
- titre
- Self-Adaptive RISs Beyond Free Space: Convergence of Localization, Sensing and Communication under Rich-Scattering Conditions
- auteur
- Chloé Saigre-Tardif, Philipp del Hougne
- article
- IEEE Wireless Communications, 2023, 30 (1), pp.24-30. ⟨10.1109/MWC.001.2200192⟩
- Accès au texte intégral et bibtex
-
- titre
- Reflectionless Programmable Signal Routers
- auteur
- Jérôme Sol, Ali Alhulaymi, A. Douglas Stone, Philipp del Hougne
- article
- Science Advances , 2023, 9 (4), pp.eadf0323. ⟨10.1126/sciadv.adf0323⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep-Based Film Grain Removal and Synthesis
- auteur
- Zoubida Ameur, Wassim Hamidouche, Edouard Francois, Milos Radosavljevic, Daniel Menard, Claire-Hélène Demarty
- article
- IEEE Transactions on Image Processing, 2023, 32, pp.5046-5059. ⟨10.1109/TIP.2023.3308726⟩
- Accès au texte intégral et bibtex
-
- titre
- OpenVVC Decoder Parameterized and Interfaced Synchronous Dataflow (PiSDF) Model: Tile Based Parallelism
- auteur
- Naouel Haggui, Wassim Hamidouche, Fatma Belghith, Nouri Masmoudi, Jean-François Nezan
- article
- Journal of Signal Processing Systems, 2023, 95, pp.895-907. ⟨10.1007/s11265-022-01819-7⟩
- Accès au bibtex
-
- titre
- Tunability of non-plasmon resonances in e-polarized terahertz wave scattering from microsize graphene strip-on-substrate gratings
- auteur
- Fedir O. Yevtushenko, Sergii V. Dukhopelnykov, Yuriy G. Rapoport, Tatiana L. Zinenko, Ronan Sauleau, Alexander I. Nosich
- article
- Optical Materials Express, 2023, 13 (8), pp.2274-2287. ⟨10.1364/OME.496037⟩
- Accès au bibtex
-
- titre
- Reconfigurable Bandstop Filter with Switchable CLLs for Bandwidth Control
- auteur
- Moheddine Smari, Saber Dakhli, Erwan Fourn, Fethi Choubani
- article
- Progress In Electromagnetics Research Letters, 2023, 110, pp.11-19. ⟨10.2528/PIERL23022206⟩
- Accès au bibtex
-
- titre
- UHF-RFID Read Range Characterization Method Using Power Activation Profiles
- auteur
- Hadi El Hajj Chehade, Bernard Uguen, Sylvain Collardey
- article
- Ieee Journal of Radio Frequency Identification, 2023, 7, pp.134-144. ⟨10.1109/JRFID.2023.3277313⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D Metal-Only Phoenix Cell and its Application for Transmit-reflect-array
- auteur
- Zhihang An, Tony Makdissy, M. García-Vigueras, Sébastien Vaudreuil, Raphael Gillard
- article
- IEEE Access, 2023, Ieee Access, 11, pp.137343-137351. ⟨10.1109/access.2023.3338150⟩
- Accès au texte intégral et bibtex
-
- titre
- Traitement d’une barre métallique : un objectif pluridisciplinaire et un apprentissage progressif en BUT 2 GEII
- auteur
- Rémi Saisset, Stéphane Selosse, Laurent Friot, France Le Bihan
- article
- J3eA, 2023, J3ea, 22, pp.1006. ⟨10.1051/j3ea/20231006⟩
- Accès au texte intégral et bibtex
-
- titre
- Fine-grained Self-supervision for Generalizable Semantic Segmentation
- auteur
- Yuhang Zhang, Shishun Tian, Muxin Liao, Zhengyu Zhang, Wenbin Zou, Chen Xu
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2023, 14 (8), pp.1-1. ⟨10.1109/TCSVT.2023.3285091⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrophilic and nucleophilic gas phase reactivity of the Janus cluster-based anions [{Mo 6 Cl 8 }Cl 5 □] − (□ = lacuna)
- auteur
- Antoine Denis, Nina Tymínska, Thomas Delhaye, Yann Molard, Pascal Gerbaux, Julien de Winter, Mohamed Himdi, Xavier Castel, Karine Costuas, Stéphane Cordier, David Rondeau
- article
- Chemical Communications, 2023, 59, pp.6243-6246. ⟨10.1039/D3CC01187A⟩
- Accès au texte intégral et bibtex
-
- titre
- Game of Crowns: Na+ Is Coming! Red NIR-Emissive Hybrid Liquid Crystals Containing Discotic Crown Ethers and Na2Mo6X8 Cl-i(6) (X-i = Cl or Br)
- auteur
- Irene Carrasco, Philipp Ehni, Max Ebert, Noeie Dumait, Gregory Taupier, Maria Amela-Cortes, Claire Roiland, S Cordier, Julius A. Knoeller, Emmanuel Jacques, Sabine Laschat, Yann Molard
- article
- ACS Applied Materials & Interfaces, 2023, pp.39752-39764. ⟨10.1021/acsami.3c08441⟩
- Accès au bibtex
-
- titre
- MF, ZF, and MMSE filters for automotive OFDM radar
- auteur
- Bochra Benmeziane, Jean-Yves Baudais, Stéphane Méric, Kevin Cinglant
- article
- International Journal of Microwave and Wireless Technologies, In press, pp.1-7. ⟨10.1017/S1759078723000740⟩
- Accès au texte intégral et bibtex
-
- titre
- Three-dimensional metal-only phoenix cell and its application for reflectarrays
- auteur
- Zhihang An, Tony Makdissy, Maria Garcia-Vigueras, Sébastien Vaudreuil, Raphael Gillard
- article
- IET Microwaves Antennas and Propagation, 2023, Iet Microwaves Antennas and Propagation, ⟨10.1049/mia2.12431⟩
- Accès au bibtex
-
- titre
- Wideband 3-D-Printed Metal-Only Reflectarray for Controlling Orthogonal Linear Polarizations
- auteur
- Angel Palomares-Caballero, Carlos Molero, Pablo Padilla, Maria Garcia-Vigueras, Raphaël Gillard
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (3), pp.2247-2258. ⟨10.1109/TAP.2023.3240583⟩
- Accès au texte intégral et bibtex
-
- titre
- On preserving-excitation properties of a dynamic regressor extension scheme
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Marina Korotina, Alexey Vedyakov
- article
- IEEE Transactions on Automatic Control, 2023, 68 (2), pp.1296-1302. ⟨10.1109/TAC.2022.3172175⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and Radio Frequency Co-Design and Optimization of Large Deployable Reflectarrays for Space Missions
- auteur
- Andrea Guarriello, Renaud Loison, Daniele Bresciani, Hervé Legay, George Goussetis
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (5), pp.3916-3927. ⟨10.1109/TAP.2023.3247940⟩
- Accès au texte intégral et bibtex
-
- titre
- Special issue on intelligence technology for remote sensing image
- auteur
- Xiangtao Zheng, Benoit Vozel, Danfeng Hong
- article
- CAAI Transactions On Intelligence Technology, 2023, Caai Transactions On Intelligence Technology, 8 (4), pp.1164-1165. ⟨10.1049/cit2.12275⟩
- Accès au bibtex
-
- titre
- PRINCIPAL COMPONENTS VERSUS AUTOENCODERS FOR DIMENSIONALITY REDUCTION: A CASE OF SUPER-RESOLVED OUTPUTS FROM PRISMA HYPERSPECTRAL MISSION DATA
- auteur
- K. Mishra, Benoit Vozel, R. D. Garg
- article
- ISPRS International Archives of the Photogrammetry, Remote Sensing and Spatial Information Sciences, 2023, International Archives of the Photogrammetry, Remote Sensing and Spatial Information Sciences, XLVIII-1/W2-2023, pp.1949-1956. ⟨10.5194/isprs-archives-xlviii-1-w2-2023-1949-2023⟩
- Accès au texte intégral et bibtex
-
- titre
- Triaxial Cell for Determining Shielded Cable Transfer Impedance During Environmental Stress
- auteur
- Oskari Leppäaho, Frederic Lafon, Priscila Fernandez-Lopez, Marine Stojanovic, Tim Claeys, Richard Perdriau, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2023, 65 (2), pp.395 - 405. ⟨10.1109/TEMC.2023.3244061⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Demonstration of a 43-dBi Gain Transmitarray in PCB Technology for Backhauling in the 300-GHz Band
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Mathieu Caillet, Ronan Sauleau, Antonio Clemente
- article
- IEEE Transactions on Terahertz Science and Technology, 2023, 13 (5), pp.485-492. ⟨10.1109/TTHZ.2023.3286658⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic and Charge Transport Properties in Bridged versus Unbridged Nanohoops: The Role of the Nanohoop Size
- auteur
- Fabien Lucas, Clement Brouillac, Nemo Mcintosh, Samuele Giannini, Joëlle Rault-Berthelot, Chirstophe Lebreton, David Beljonne, Jérôme Cornil, Emmanuel Jacques, Cassandre Quinton, Cyril Poriel
- article
- Chemistry - A European Journal, 2023, 27 (41), pp.e202300934. ⟨10.1002/chem.202300934⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-Wave Pulsed Heating in Vitro: Effect of Pulse Duration
- auteur
- Rosa Orlacchio, Yann Le Page, Yves Le Dréan, Maxim Zhadobov
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2023, pp.1-8. ⟨10.1109/JERM.2022.3229738⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Frozen-Function Design for Reed-Muller Codes With Automorphism-Based Decoding
- auteur
- Samet Gelincik, Charles Pillet, Pascal Giard
- article
- IEEE Communications Letters, 2023, 27 (2), pp.497-501. ⟨10.1109/LCOMM.2022.3230202⟩
- Accès au texte intégral et bibtex
-
- titre
- Serially Concatenated Schemes for Single Sideband Continuous Phase Modulation
- auteur
- Abhishek Kumar, Haifa Fares, Yves Louet
- article
- Ieee Open Journal of the Communications Society, 2023, 4, pp.2080-2092. ⟨10.1109/OJCOMS.2023.3310711⟩
- Accès au texte intégral et bibtex
-
- titre
- The Hexa-X project vision on Artificial Intelligence and Machine Learning-driven Communication and Computation co-design for 6G
- auteur
- Mattia Merluzzi, Tamas Borsos, Nandana Rajatheva, Andras Benczur, Hamed Farhadi, Taha Yassine, Markus Dominik Mueck, Sokratis Barmpounakis, Emilio Calvanese Strinati, Dilin Dampahalage, Panagiotis Demestichas, Pietro Ducange, Miltiadis C. Filippou, Leonardo Gomes Baltar, Johan Haraldson, Leyli Karaçay, Dani Korpi, Vasiliki Lamprousi, Francesco Marcelloni, Jafar Mohammadi, Nuwanthika Rajapaksha, Alessandro Renda, Mikko A. Uusitalo
- article
- IEEE Access, 2023, pp.10.1109/ACCESS.2023.3287939
- Accès au texte intégral et bibtex
-
- titre
- The quality monitoring of paracetamol medicament using a noninvasive microwave sensor
- auteur
- Youness Zaarour, Fatimazahrae El Arroud, Hafid Griguer, Rafiq El Alami, Mohammed El Kohen, Wiam Salhi, Abdessamad Faik, M'Hamed Drissi
- article
- Scientific Reports, 2023, 13 (1), pp.17443. ⟨10.1038/s41598-023-43409-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance analysis of optimized versatile video coding software decoders on embedded platforms
- auteur
- Anup Saha, Wassim Hamidouche, Miguel Chavarrias, Fernando Pescador, Ibrahim Farhat
- article
- Journal of Real-Time Image Processing, 2023, Journal of Real-Time Image Processing, 20 (6), pp.120. ⟨10.1007/s11554-023-01376-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Organic and Metallic Sensors on Complex 3-D Object Using an Original Method: Water Transfer Printing
- auteur
- Rafika Selmi, Jean-Charles Fustec, Maxime Harnois, France Le Bihan
- article
- IEEE Sensors Letters, 2023, 7 (9), pp.2001304. ⟨10.1109/LSENS.2023.3301845⟩
- Accès au bibtex
-
- titre
- 2BiVQA: Double Bi-LSTM based Video Quality Assessment of UGC Videos
- auteur
- Ahmed Telili, Sid Ahmed Fezza, Wassim Hamidouche, Hanene F. Z. Brachemi Meftah
- article
- ACM Transactions on Multimedia Computing, Communications and Applications, 2023, Acm Transactions On Multimedia Computing, Communications, and Applications, 20 (4), pp.1-22. ⟨10.1145/3632178⟩
- Accès au bibtex
-
- titre
- Design and Experimental Validation of a Multiband Conformal Patch Antenna for Animal-Ingestible Bolus Applications
- auteur
- Said Benaissa, Denys Nikolayev, Gunter Vermeeren, Kenneth Deprez, Jasper Goethals, Bart Sonck, Frank A.M. Tuyttens, Luc Martens, David Plets, Wout Joseph
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (8), pp.6365-6377. ⟨10.1109/TAP.2023.3279672⟩
- Accès au texte intégral et bibtex
-
- titre
- Blind Perceptual Quality Assessment of LFI Based on Angular-Spatial Effect Modeling
- auteur
- Zhengyu Zhang, Shishun Tian, Yuhang Zhang, Wenbin Zou, Luce Morin, Lu Zhang
- article
- IEEE Transactions on Broadcasting, 2023, ⟨10.1109/TBC.2023.3308329⟩
- Accès au texte intégral et bibtex
-
- titre
- H-Seg: a horizontal reconstruction volume segmentation method for accurate depth estimation in a computer-generated hologram
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- Optics Letters, 2023, 48 (12), pp.3195. ⟨10.1364/OL.487338⟩
- Accès au texte intégral et bibtex
-
- titre
- An EMD-PSO-LSSVM hybrid model for significant wave height prediction
- auteur
- Gang Tang, Jingyu Zhang, Jinman Lei, Haohao Du, Hongxia Luo, Yide Wang, Yuehua Ding
- article
- Journal of Marine Science and Engineering, 2023, 11 (4), pp.866. ⟨10.3390/jmse11040866⟩
- Accès au texte intégral et bibtex
-
- titre
- Meandering Microstrip Leaky-Wave Antenna with Dual-band Linear–Circular Polarization and Suppressed Open Stopband
- auteur
- Pratik Vadher, Giulia Sacco, Denys Nikolayev
- article
- IEEE Transactions on Antennas and Propagation, 2023, Ieee Transactions On Antennas and Propagation, pp.1-1. ⟨10.1109/tap.2023.3328558⟩
- Accès au texte intégral et bibtex
-
- titre
- Bandwidth Limits of Connected Slot Arrays
- auteur
- Christos Monochristou, Shang Xiang, Mark Holm, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2023, 14 (8), pp.1-6. ⟨10.1109/TAP.2023.3326885⟩
- Accès au texte intégral et bibtex
-
- titre
- Flydeling: Streamlined Performance Models for Hardware Acceleration of CNNs through System Identification
- auteur
- Walther Carballo-Hernández, Maxime Pelcat, Shuvra S. Bhattacharyya, Ricardo Carmona-Galán, François Berry
- article
- ACM Transactions on Modeling and Performance Evaluation of Computing Systems, 2023, 8 (3), pp.1-33. ⟨10.1145/3594870⟩
- Accès au bibtex
-
- titre
- Calculated Epithelial/Absorbed Power Density for Exposure From Antennas at 10-90 GHz: Intercomparison Study Using a Planar Skin Model
- auteur
- Kun Li, Sachiko Kodera, Dragan Poljak, Yinliang Diao, Kensuke Sasaki, Anna Šušnjara, Alexander Prokop, Kenji Taguchi, Jingtian Xi, Shuai Zhang, Ming Yao, Giulia Sacco, Maxim Zhadobov, Walid El Hajj, Akimasa Hirata
- article
- IEEE Access, 2023, 11, pp.7420-7435. ⟨10.1109/ACCESS.2023.3238582⟩
- Accès au texte intégral et bibtex
-
- titre
- Novel Technique for In-Body Absorbed Power Density Assessment Based on Free-Space E-Field Measurement
- auteur
- Massinissa Ziane, Artem Boriskin, Cécile Leconte, L. Le Coq, Maxim Zhadobov
- article
- IEEE Transactions on Microwave Theory and Techniques, 2023, pp.1-12. ⟨10.1109/tmtt.2023.3336798⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Beam Luneburg Lens with Reduced Size Patch Antenna
- auteur
- Norsaidah Muhamad Nadzir, Mohamed Himdi, Mohamad Kamal A Rahim, Noor Asniza Murad, Osman Ayop, Olivier Lafond
- article
- Electronics, 2023, 12 (14), pp.3028. ⟨10.3390/electronics12143028⟩
- Accès au texte intégral et bibtex
-
- titre
- Radiation Beam Width and Beam Direction Electronic Control of Transparent and Compact Vivaldi Antennas
- auteur
- Amani Cherif, Mohamed Himdi, Xavier Castel, Quentin Simon, Saber Dakhli, Fethi Choubani
- article
- Applied Sciences, 2023, 13 (13), pp.7878. ⟨10.3390/app13137878⟩
- Accès au bibtex
-
- titre
- Clothing Effect on Multilayered Skin Model Exposure From 20 GHz to 100 GHz
- auteur
- Kun Li, Kensuke Sasaki, Giulia Sacco, Maxim Zhadobov
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2023, ⟨10.1109/JERM.2023.3309935⟩
- Accès au texte intégral et bibtex
-
- titre
- A Global Optimization Method for Wideband and Small Supergain Arrays Design Using Artificial Neural Network
- auteur
- Abdellah Touhami, Sylvain Collardey, Ala Sharaiha
- article
- Ieee Open Journal of Antennas and Propagation, 2023, Ieee Open Journal of Antennas and Propagation, 4, pp.1016-1028. ⟨10.1109/OJAP.2023.3321932⟩
- Accès au bibtex
-
- titre
- Wireless Powering Efficiency of Deep-Body Implantable Devices
- auteur
- Icaro Soares, Mingxiang Gao, Erdem Cil, Zvonimir Sipus, Anja Skrivervik, John Ho, Denys Nikolayev
- article
- IEEE Transactions on Microwave Theory and Techniques, 2023, pp.1-13. ⟨10.1109/TMTT.2022.3231492⟩
- Accès au texte intégral et bibtex
-
- titre
- Cylindrical conformation and miniaturization of cavity-backed magnetoelectric antenna with an outer Γ-shaped probe
- auteur
- Alexandre Causse, Loic Bernard, Sylvain Collardey, Ala Sharaiha
- article
- International Journal of Microwave and Wireless Technologies, 2023, International Journal of Microwave and Wireless Technologies, pp.1-9. ⟨10.1017/s1759078723001265⟩
- Accès au bibtex
-
- titre
- Dual-Band, Aperture-Shared Transmitarray for Vehicular SatCom Applications
- auteur
- Reda Madi, Antonio Clemente, Ronan Sauleau
- article
- IEEE Access, 2023, 11, pp.71088-71096. ⟨10.1109/ACCESS.2023.3293655⟩
- Accès au bibtex
-
- titre
- Area-Averaged Transmitted and Absorbed Power Density on a Realistic Ear Model
- auteur
- Ante Lojic Kapetanovic, Giulia Sacco, Dragan Poljak, Maxim Zhadobov
- article
- Ieee Journal of Electromagnetics Rf and Microwaves in Medicine and Biology, 2023, ⟨10.1109/JERM.2022.3225380⟩
- Accès au texte intégral et bibtex
-
- titre
- A Compact 2.4 GHz L-Shaped Microstrip Patch Antenna for ISM-Band Internet of Things (IoT) Applications
- auteur
- Muhammad Fitra Zambak, Samir Salem Al-Bawri, Muzammil Jusoh, Ali Hanafiah Rambe, Hamsakutty Vettikalladi, Ali M Albishi, Mohamed Himdi
- article
- Electronics, 2023, 12 (9), pp.2149. ⟨10.3390/electronics12092149⟩
- Accès au texte intégral et bibtex
-
- titre
- Metastable and field-induced ferroelectric response in antiferroelectric lead zirconate thin film studied by the hyperbolic law and third harmonic response
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Micka Bah, Stephane Ginestar, Hartmut W Gundel
- article
- Journal of Applied Physics, 2023, 133 (17), pp.174102. ⟨10.1063/5.0143659⟩
- Accès au texte intégral et bibtex
-
- titre
- Integro-Differential Analysis of Resonant Magnetic Metasurfaces With Equivalent Medium Approximation
- auteur
- I.V. Soares, F.M. Freitas, S.T.M. Gonçalves, U.C. Resende
- article
- IEEE Transactions on Magnetics, 2023, 59 (5), pp.7000704. ⟨10.1109/TMAG.2023.3237163⟩
- Accès au texte intégral et bibtex
-
- titre
- BPG-Based Lossy Compression of Three-Channel Noisy Images with Prediction of Optimal Operation Existence and Its Parameters
- auteur
- Bogdan Kovalenko, Vladimir Lukin, Benoit Vozel
- article
- Remote Sensing, 2023, 15 (6), pp.1669. ⟨10.3390/rs15061669⟩
- Accès au texte intégral et bibtex
-
- titre
- A Semi-Elliptical UWB Folded Dipole Antenna
- auteur
- Romain Greard, Mohamed Himdi, Dominique Lemur, Gwenal Le Dem, Pierre Thaly, Cyrille Le Meins
- article
- Electronics, 2023, 12 (13), pp.2788. ⟨10.3390/electronics12132788⟩
- Accès au texte intégral et bibtex
-
- titre
- First-Principles Analysis of Energy Exchange in Time-Varying Capacitors for Energy Trapping Applications
- auteur
- Kristy Hecht, David Gonzalez-Ovejero, Dimitrios L. Sounas, Mario Junior Mencagli
- article
- IEEE Access, 2023, 11, pp.71494-71502. ⟨10.1109/ACCESS.2023.3286036⟩
- Accès au bibtex
-
- titre
- Benefits from Using Very Thin Channel Layer for TFTs
- auteur
- Mamadou Lamine Samb, Emmanuel Jacques, Amadou Seidou Maiga, Tayeb Mohammed-Brahim
- article
- Electronics, 2023, 12 (12), pp.2694. ⟨10.3390/electronics12122694⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-Static Approximation Error of Electric Field Analysis for Transcranial Current Stimulation
- auteur
- Gabriel Gaugain, Lorette Quéguiner, Marom Bikson, Ronan Sauleau, Maxim Zhadobov, Julien Modolo, Denys Nikolayev
- article
- Journal of Neural Engineering, 2023, 20, pp.016027. ⟨10.1088/1741-2552/acb14d⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-Wave Induced Heating of Cutaneous Nerves and Capillaries
- auteur
- Zain Haider, Julien Modolo, Micaela Liberti, Francesca Apollonio, Maxim Zhadobov
- article
- IEEE Journal of Microwaves, 2023, 3 (1), pp.170-180. ⟨10.1109/JMW.2022.3199989⟩
- Accès au texte intégral et bibtex
-
- titre
- Acceleration of the Primary Basic Functions Calculation from the EFIE-characteristic Basis Function Method (CBFM) Combined with a New Physical Optics Approximation
- auteur
- Christophe Bourlier
- article
- Progress In Electromagnetics Research B, 2023, 99, pp.179-195. ⟨10.2528/PIERB23011901⟩
- Accès au texte intégral et bibtex
-
- titre
- DOA Estimation of Coherent Signals based on EPUMA Method with Frequency Beam Scanning Leaky-Wave Antennas
- auteur
- Diyuan Xu, Yide Wang, Julien Sarrazin, Biyun Ma, Qingqing Zhu
- article
- IEEE Access, 2023, 11, pp.88378-88387. ⟨10.1109/ACCESS.2023.3306406⟩
- Accès au texte intégral et bibtex
-
- titre
- EDDMF: An Efficient Deep Discrepancy Measuring Framework For Full-Reference Light Field Image Quality Assessment
- auteur
- Zhengyu Zhang, Shishun Tian, Wenbin Zou, Luce Morin, Lu Zhang
- article
- IEEE Transactions on Image Processing, 2023, Ieee Transactions On Image Processing, 32, pp.6426-6440. ⟨10.1109/tip.2023.3329663⟩
- Accès au texte intégral et bibtex
-
- titre
- Automatic depth map retrieval from digital holograms using a depth-from-focus approach
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- Applied optics, 2023, 62 (10), pp.D77-D89. ⟨10.1364/ao.478634⟩
- Accès au texte intégral et bibtex
-
- titre
- A Modified δ-Generalized Labeled Multi-Bernoulli Filtering for Multi-Source DOA Tracking with Coprime Array
- auteur
- Xudong Dong, Jun Zhao, Meng Sun, Xiaofei Zhang, Yide Wang
- article
- IEEE Transactions on Wireless Communications, In press, pp.1-1. ⟨10.1109/TWC.2023.3270622⟩
- Accès au texte intégral et bibtex
-
- titre
- Interpretation of Hopping Transport Based on Pentacene Thin-Film Transistors
- auteur
- Peng Zhang, Emmanuel Jacques, Régis Rogel, Laurent Pichon, Olivier Bonnaud
- article
- IEEE Transactions on Electron Devices, 2023, 70 (12), pp.6364-6368. ⟨10.1109/TED.2023.3326785⟩
- Accès au texte intégral et bibtex
-
- titre
- A cooperative approach to avoiding obstacles and collisions between autonomous industrial vehicles in a simulation platform
- auteur
- J. Grosset, A. Ndao, A-J Fougeres, M. Djoko-Kouam, C. Couturier, J-M Bonnin
- article
- Integrated Computer-Aided Engineering, 2023, 30 (1), pp.19-40. ⟨10.3233/ICA-220694⟩
- Accès au bibtex
-
- titre
- In-phase and quadrature filter shape index modulation
- auteur
- Majed Saad, Ali Chamas Al Ghouwayel, Hussein Hijazi, Faouzi Bader, Jacques Palicot
- article
- EURASIP Journal on Wireless Communications and Networking, 2023, 2023 (1), pp.33. ⟨10.1186/s13638-023-02232-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Safe rules for the identification of zeros in the solution of the SLOPE problem
- auteur
- Clément Elvira, Cédric Herzet
- article
- SIAM Journal on Mathematics of Data Science, 2023, 5 (1), pp.147-173. ⟨10.1137/21M1457631⟩
- Accès au texte intégral et bibtex
-
- titre
- Covert Scattering Control in Metamaterials with Non‐Locally Encoded Hidden Symmetry
- auteur
- Jérôme Sol, Malte Röntgen, Philipp del Hougne
- article
- Advanced Materials, In press, ⟨10.1002/adma.202303891⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploring Tropical Forests With GEDI and 3-D SAR Tomography
- auteur
- Yen-Nhi Ngo, Dinh Ho Tong Minh, Nicolas Baghdadi, Ibrahim Fayad, Laurent Ferro-Famil, Yue Huang
- article
- IEEE Geoscience and Remote Sensing Letters, 2023, 20, pp.2503605. ⟨10.1109/LGRS.2023.3298142⟩
- Accès au bibtex
-
- titre
- Numerical Study on the Orthogonality of the Fields Radiated by an Aperture
- auteur
- Lucas Polo-López, Juan Corcoles, Jorge A. Ruiz-Cruz, Jose R. Montejo-Garai, Jesus M. Rebollar
- article
- Mathematics , 2023, 11 (5), pp.1198. ⟨10.3390/math11051198⟩
- Accès au texte intégral et bibtex
-
- titre
- Autonomous method for selection or validation of training samples for large size hyperspectral images
- auteur
- Jihan Alameddine, Kacem Chehdi, Claude Cariou
- article
- Journal of applied remote sensing, 2023, 17 (3), pp.038501. ⟨10.1117/1.JRS.17.038501⟩
- Accès au bibtex
-
- titre
- Complexity assessment of the intra prediction in Versatile Video Coding
- auteur
- Naima Zouidi, Amina Kessentini, Wassim Hamidouche, Nouri Masmoudi, Daniel Menard
- article
- Multimedia Tools and Applications, 2023, 82, pp.27751-27770. ⟨10.1007/s11042-023-14442-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced Topics and Smart Systems for Wireless Communications and Networks
- auteur
- Ali Mansour, Hadi Aggoune, Christophe Moy, Abbass Nasser, Muhammad Ayaz, Koffi Clément Yao
- article
- Sensors, 2023, 23 (15), pp.6876. ⟨10.3390/s23156876⟩
- Accès au texte intégral et bibtex
-
- titre
- PSDFH: A Phase-Space-Based Depth from Hologram Extraction Method
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- Applied Sciences, 2023, 13 (4), pp.2463. ⟨10.3390/app13042463⟩
- Accès au texte intégral et bibtex
-
- titre
- A Reflector Antenna with Integrated Frequency-Selective Metal-Only Reflectarray for Dual-Band Operation
- auteur
- Zhihang An, Tony Makdissy, Maria Garcia-Vigueras, Sébastien Vaudreuil, Raphael Gillard
- article
- IEEE Access, 2023, IEEE Access, 11, pp.127098-127106. ⟨10.1109/ACCESS.2023.3331744⟩
- Accès au bibtex
-
- titre
- On the effectiveness of handcrafted features for deepfake video detection
- auteur
- Bachir Kaddar, Sid Ahmed Fezza, Wassim Hamidouche, Zahid Akhtar, Abdenour Hadid
- article
- Journal of Electronic Imaging, 2023, Journal of Electronic Imaging, 32 (5), pp.053033. ⟨10.1117/1.JEI.32.5.053033⟩
- Accès au bibtex
-
- titre
- Local Temporal Pattern and Data Augmentation for Micro-Expression Spotting
- auteur
- Jingting Li, Catherine Soladie, Renaud Seguier
- article
- IEEE Transactions on Affective Computing, 2023, 14 (1), pp.811 - 822. ⟨10.1109/TAFFC.2020.3023821⟩
- Accès au bibtex
-
- titre
- From electrospray ionization to cold-spray ionization: How to evaluate the cooling effect on the gaseous ions?
- auteur
- Emilie Bertrand, David Rondeau, Thomas Delhaye, Xavier Castel, Mohamed Himdi
- article
- Journal of Mass Spectrometry, 2023, Journal of Mass Spectrometry, 58 (11), pp.e4977. ⟨10.1002/jms.4977⟩
- Accès au bibtex
-
- titre
- Compact Additively Manufactured Conformal Slotted Waveguide Antenna Array
- auteur
- Charalampos Stoumpos, Thierry Le Gouguec, Rozenn Allanic, María García-Vigueras, Anne-Charlotte Amiaud
- article
- IEEE Antennas and Wireless Propagation Letters, 2023, pp.1-5. ⟨10.1109/LAWP.2023.3266376⟩
- Accès au texte intégral et bibtex
-
- titre
- RCS prediction and optimization for anomalous reflection metasurfaces using Floquet analysis
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- International Journal of Microwave and Wireless Technologies, 2023, ⟨10.1017/S1759078722001398⟩
- Accès au texte intégral et bibtex
-
- titre
- A Circularly Polarized Parallel Plate Waveguide Lens-Like Multiple-Beam Linear Array Antenna for Satcom Applications
- auteur
- Nicola Bartolomei, Darwin Blanco, François Doucet, Etienne Girard, Herve Legay, Nelson J. G. Fonseca, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Access, 2023, 11, pp.4602-4614. ⟨10.1109/ACCESS.2023.3235822⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvement of the Gerchberg-Saxton Algorithm Convergence in Phaseless Antenna Measurements via Spherical-Wave Filtering
- auteur
- Nicolas Mezieres, Laurent Le Coq
- article
- IEEE Transactions on Antennas and Propagation, 2023, 71 (5), pp.4540-4545. ⟨10.1109/TAP.2023.3249355⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning Based Efficient QT-MTT Partitioning Scheme for VVC Intra Encoders
- auteur
- Alexandre Tissier, Wassim Hamidouche, Souhaiel Belhadj Dit Mdalsi, Jarno Vanne, Franck Galpin, Daniel Menard
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2023, 33 (8), pp.4279-4293. ⟨10.1109/TCSVT.2022.3232385⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced 4x4 MIMO RoF architecture for 5G mmWave indoor applications at 60 GHz unlicensed band
- auteur
- Hachim Azzahhafi, Moussa El Yahyaoui, Ali El Moussati, Ghais El Zein, Ana Garcia Armada
- article
- Optics Communications, 2023, 533, pp.129266. ⟨10.1016/j.optcom.2023.129266⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of the long time relaxation of the weak ferroelectricity in PbZrO 3 antiferroelectric thin film using Positive Up Negative Down and First Order Reversal Curves measurements
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Micka Bah, Stephane Ginestar, Hartmut Gundel
- article
- Thin Solid Films, 2023, 773, pp.139817. ⟨10.1016/j.tsf.2023.139817⟩
- Accès au texte intégral et bibtex
-
- titre
- OMCBIR: Offline mobile content-based image retrieval with lightweight CNN optimization
- auteur
- X. Zhang, C. Bai, K. Kpalma
- article
- Displays, 2023, 76, pp.102355. ⟨10.1016/j.displa.2022.102355⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning Based Fast QTMTT Partitioning Strategy for VVenC Encoder in Intra Coding
- auteur
- Ibrahim Taabane, Daniel Menard, Anass Mansouri, Ali Ahaitouf
- article
- Electronics, 2023, 12 (6), pp.1338. ⟨10.3390/electronics12061338⟩
- Accès au bibtex
-
- titre
- A multi-objective optimisation for compact wideband and efficient superdirective antenna arrays design using network characteristic modes
- auteur
- Abdellah Touhami, Sylvain Collardey, Ala Sharaiha
- article
- IET Microwaves Antennas and Propagation, 2023, 17 (3), pp.223-236. ⟨10.1049/mia2.12327⟩
- Accès au bibtex
-
- titre
- Photovoltaic Arrays’ Dynamic Model Parameter Estimation
- auteur
- Alexey Bobtsov, Fernando Mancilla–david, Stanislav Aranovskiy, Romeo Ortega
- article
- IFAC-PapersOnLine, 2023, Ifac-Papersonline, 56 (2), pp.6845-6850. ⟨10.1016/j.ifacol.2023.10.474⟩
- Accès au bibtex
-
- titre
- PVBLiF: A Pseudo Video-Based Blind Quality Assessment Metric for Light Field Image
- auteur
- Zhengyu Zhang, Shishun Tian, Wenbin Zou, Luce Morin, Lu Zhang
- article
- IEEE Journal of Selected Topics in Signal Processing, 2023, pp.1-16. ⟨10.1109/JSTSP.2023.3278452⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance of RIS-aided near-field localization under beams approximation from real hardware characterization
- auteur
- Moustafa Rahal, Benoît Denis, Kamran Keykhosravi, Musa Furkan Keskin, Bernard Uguen, George C Alexandropoulos, Henk Wymeersch
- article
- EURASIP Journal on Wireless Communications and Networking, 2023, 2023 (1), pp.86. ⟨10.1186/s13638-023-02294-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Circularly polarized global navigation satellite systems metasurface antennas in sub-wavelength metallic cavities
- auteur
- Laura García-Gámez, Loic Bernard, Ronan Sauleau, Sylvain Collardey, Kouroch Mahdjoubi, Philippe Pouliguen, Patrick Potier
- article
- International Journal of Microwave and Wireless Technologies, 2023, ⟨10.1017/S1759078723000089⟩
- Accès au bibtex
-
- titre
- PhysFad: Physics-Based End-to-End Channel Modeling of RIS-Parametrized Environments with Adjustable Fading
- auteur
- Rashid Faqiri, Chloé Saigre-Tardif, George C Alexandropoulos, Nir Shlezinger, Mohammadreza F Imani, Philipp del Hougne
- article
- IEEE Transactions on Wireless Communications, 2023, 22 (1), pp.580-595. ⟨10.1109/TWC.2022.3196834⟩
- Accès au texte intégral et bibtex
-
- titre
- Objective Video Quality Assessment and Ground Truth Coordinates for Automatic License Plate Recognition
- auteur
- Mikołaj Leszczuk, Lucjan Janowski, Jakub Nawala, Jingwen Zhu, Yuding Wang, Atanas Boev
- article
- Electronics, 2023, Electronics, 12 (23), pp.4721. ⟨10.3390/electronics12234721⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Level Power Estimation Techniques in Embedded Systems Hardware: an Overview
- auteur
- Majdi Richa, Jean-Christophe Prévotet, Mickaël Dardaillon, Mohamad Mroué, Abed Ellatif Samhat
- article
- Journal of Supercomputing, 2023, 79, pp.3771-3790. ⟨10.1007/s11227-022-04798-5⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Maintaining a relevant dataset for data-driven MPC using Willems' fundamental lemma extensions
- auteur
- Alexandre Faye-Bédrin, Stanislav Aranovskiy, Paul Chauchat, Romain Bourdais
- article
- 2023 62nd IEEE Conference on Decision and Control (CDC), Dec 2023, Singapore, Singapore. pp.2584-2589, ⟨10.1109/CDC49753.2023.10383545⟩
- Accès au texte intégral et bibtex
-
- titre
- On DREM regularization and unexcited linear regression estimation
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov
- article
- 62nd IEEE Conference on Decision and Control, Dec 2023, SINGAPORE, Singapore
- Accès au texte intégral et bibtex
-
- titre
- High-Efficiency Low Profile Full-Metal CTS array for SATCOM
- auteur
- Ravikanth Thanikonda, D. Gonzalez-Ovejero, Giovanni Toso, Enrica Martini, Stefano Maci
- article
- 2023 IEEE Microwaves, Antennas, and Propagation Conference (MAPCON), Dec 2023, Ahmedabad, India. ⟨10.1109/mapcon58678.2023.10463905⟩
- Accès au bibtex
-
- titre
- Leçon sur l’Optique pour le suivi dynamique de la Matière Molle dans le cadre et l’expérience d'une cordée de la réussite en centre Bretagne : Pour une Ambition Scolaire Scientifique (PASS)
- auteur
- Bruno Bêche, Valérie Guillaume, Cecile Lecomte
- article
- Journée Amphi Lycéens - Université de Rennes, Dec 2023, Rennes, France
- Accès au bibtex
-
- titre
- Influence of the Self-Interference Channel Model on the Performance of a Full-Duplex MIMO System
- auteur
- Xuan Chen, Vincent Savaux, Matthieu Crussière, Patrick Savelli, Kofi-Clément Yao
- article
- 2023 IEEE Global Communications Conference (GLOBECOM 2023), IEEE, Dec 2023, Kuala Lumpur, Malaysia
- Accès au texte intégral et bibtex
-
- titre
- Les puces électroniques , une technologie incontournable aujourd’hui
- auteur
- Jean Marie Floc’h
- article
- Les puces électroniques une technologie incontournable aujourd’hui, Dec 2023, St Lunaire, France
- Accès au bibtex
-
- titre
- Projet AMBRA : un radar multistatique coopératif pour la détection de drones avec des signaux DVB-T2
- auteur
- Stéphane Méric, Sylvain Azarian, Stéphane Lethimonier, Jean-Yves Baudais, Pierre-Yves Jézéquel, Pierre Kasser, Pierre Leba, Saber Dakhli, Thierry Schott
- article
- Journées d'étude propagation radioélectrique, INSA de Rennes, Nov 2023, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Radar Cross Section from the Characteristic Basic Function Method combined with the Physical Optics to accelerate the EFIE solver
- auteur
- Christophe Bourlier
- article
- IEEE Conference on Antenna Measurements and Applications, Nov 2023, Genoa, Italy. paper #1570933337
- Accès au bibtex
-
- titre
- Motion-DVAE: Unsupervised learning for fast human motion denoising
- auteur
- Guénolé Fiche, Simon Leglaive, Xavier Alameda-Pineda, Renaud Séguier
- article
- ACM SIGGRAPH Conference on Motion, Interaction and Games (ACM MIG), Nov 2023, Rennes, France. ⟨10.1145/3623264.3624454⟩
- Accès au bibtex
-
- titre
- A Dual-Band Single-Feed SWA by Cascading Waveguides for Unidirectional Radiation Patterns
- auteur
- Aritra Roy, Rozenn Allanic, Erwan Fourn, Anne-Charlotte Amiaud, Hervé Legay
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352734⟩
- Accès au bibtex
-
- titre
- Hollow Cylinder Radar Cross-Section Pattern Measurement in a Reverberation Chamber
- auteur
- C. Charlo, Stéphane Méric, François Sarrazin, J. Sol, P Pouliguen, Elodie Richalot, P. Besnier
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Gênes, Italy. ⟨10.1109/cama57522.2023.10352900⟩
- Accès au bibtex
-
- titre
- OTOPO : une activité d'autoévaluation pour les étudiants sur Moodle
- auteur
- Eric Tanguy
- article
- Les technologies au service de la pédagogie (AUPTIC), Université de caen, Nov 2023, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Comparing Self-Healing Properties of Polarized Weakly-Diffracting Beams
- auteur
- Ravel C. M. Pimenta, Gabriel Soriano, Konstantinos D Paschaloudis, Mauro Ettorre, Myriam Zerrad, Claude Amra
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA 2023), Nov 2023, Gênes, Italy. ⟨10.1109/cama57522.2023.10352856⟩
- Accès au texte intégral et bibtex
-
- titre
- Small and low-profile dielectric resonator antenna using new low loss and high permittivity ceramics
- auteur
- Ratiba Benzerga, Claire Le Paven, Matthew Julian, Mohamad Haydoura, Laurent Le Gendre, Ala Sharaiha
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352901⟩
- Accès au bibtex
-
- titre
- Attacking at non-harmonic frequencies in screaming-channel attacks
- auteur
- Jeremy Guillaume, Maxime Pelcat, Amor Nafkha, Ruben Salvador
- article
- 22nd Smart Card Research and Advanced Application Conference (CARDIS 2023), Nov 2023, Amsterdam, Netherlands. pp.1--20
- Accès au texte intégral et bibtex
-
- titre
- Design of a V-band Slotted Antenna Array in Substrate Integrated Waveguide Technology
- auteur
- Antonella Maria Loconsole, Adham Mahmoud, Francesco Anelli, Mauro Ettorre, Francesco Prudenzano
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352742⟩
- Accès au bibtex
-
- titre
- Improvement of Fresnel and Fraunhofer distances from the Stratton and Chu radiation integral
- auteur
- Nolwenn Dreano, Christophe Bourlier, Gildas Kubické, Philippe Pouliguen
- article
- IEEE Conference on Antenna Measurements and Applications, Nov 2023, Genoa, Italy. paper #1570929983
- Accès au bibtex
-
- titre
- Flexible and lightweight organic metamaterial for broadband microwave absorbing application
- auteur
- Ratiba Benzerga, Hanadi Breiss, Ala Sharaiha, Aicha El Assal, Ali Harmouch, Akil Jrad
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352661⟩
- Accès au bibtex
-
- titre
- Tunable feeding network for beam steering applications based on chalcogenide material
- auteur
- Clément Raguénès, Erwan Fourn, Cédric Quendo, Rozenn Allanic, Denis Le Berre
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352778⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterization of the propagation channel for urban UAV applications
- auteur
- Marwan El Hajj, Gheorghe Zaharia, Ghais El Zein, Nathalie Banoun
- article
- 2023 IEEE Conference on Antenna Measurements and Applications (CAMA), Nov 2023, Genoa, Italy. ⟨10.1109/cama57522.2023.10352898⟩
- Accès au texte intégral et bibtex
-
- titre
- SwimXYZ: A large-scale dataset of synthetic swimming motions and videos
- auteur
- Fiche Guénolé, Sevestre Vincent, Gonzalez-Barral Camila, Leglaive Simon, Séguier Renaud
- article
- ACM SIGGRAPH Conference on Motion, Interaction and Games (ACM MIG), Nov 2023, Rennes, France. ⟨10.1145/3623264.3624440⟩
- Accès au bibtex
-
- titre
- Experimentally realized physical-model-based wave control in massively parametrized complex media
- auteur
- Jérôme Sol, Hugo Prod’homme, Luc Le Magoarou, Philipp del Hougne
- article
- Photonics Online Meetup 2023, Nov 2023, Online, France
- Accès au bibtex
-
- titre
- Simulation du déploiement de flottes de véhicules industriels autonomes à base d'agents flous
- auteur
- J Grosset, A.-J Fougères, M Djoko-Kouam, Jean-Marie Bonnin
- article
- LFA 2023 - rencontres francophones sur la logique floue et ses applications, Nov 2023, Bourges, France
- Accès au texte intégral et bibtex
-
- titre
- New active and reconfigurable butler matrix for 5G application
- auteur
- Benaouf Taleb Mohamed, Olivier Lafond, Abdelaziz Hamdoun, Hassan Ammor, Mohamed Himdi
- article
- 2023 IEEE International Symposium On Antennas And Propagation (ISAP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/isap57493.2023.10388536⟩
- Accès au bibtex
-
- titre
- A New Design of a Spiral UHF RFID Tag Dipole Antenna Mounted on Metallic Objects
- auteur
- Errachidi Zakaria, Zbitou Jamal, Mohamed Latrach, Lakhssassi Ahmed, Oukaira Aziz, Chahboun Noha
- article
- 2023 22nd Mediterranean Microwave Symposium (MMS), Oct 2023, Sousse, Tunisia. ⟨10.1109/mms59938.2023.10421228⟩
- Accès au bibtex
-
- titre
- Microstrip Patch Antenna with Luneburg Lens for 5G Applications
- auteur
- Norsaidah Muhamad Nadzir, Noor Asniza Murad, Mohamad Kamal A Rahim, Osman Ayop, Mohamed Himdi, Farid Zubir
- article
- 2023 IEEE International Symposium On Antennas And Propagation (ISAP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/isap57493.2023.10388544⟩
- Accès au bibtex
-
- titre
- Design of a Superdirective Small and Wideband Yagi-like Antenna
- auteur
- Abdellah Touhami, Ala Sharaiha, Sylvain Collardey
- article
- 2023 IEEE International Symposium On Antennas And Propagation (ISAP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/isap57493.2023.10388972⟩
- Accès au bibtex
-
- titre
- Broadband flexible metamaterial absorber using Carbon fiber loaded silicone foam
- auteur
- Hanadi Breiss, Ala Sharaiha, Aicha El Assal, Ali Harmouch, Ratiba Benzerga, Akil Jrad
- article
- 2023 IEEE International Symposium On Antennas And Propagation (ISAP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/isap57493.2023.10388593⟩
- Accès au bibtex
-
- titre
- Biological Sensor Based on Silicon Nanowires for Electrical Detection of Staphylococcus Aureus Bacteria
- auteur
- Anne-Claire Salaün, Laurent Pichon, Y. Benserhir, A. Jolivet-Gougeon, Nolwenn Oliviero, Florence Geneste, R. Selmi
- article
- 2023 IEEE SENSORS, Oct 2023, Vienna, Austria. ⟨10.1109/sensors56945.2023.10325011⟩
- Accès au bibtex
-
- titre
- Spatial-angular Quality-aware Representation Learning for Blind Light Field Image Quality Assessment
- auteur
- Jianjun Xiang, Yuanjie Dang, Peng Chen, Ronghua Liang, Ruohong Huan, Zhengyu Zhang
- article
- MM '23: The 31st ACM International Conference on Multimedia, Oct 2023, Ottawa, Canada. ⟨10.1145/3581783.3611927⟩
- Accès au bibtex
-
- titre
- La lumière peut-elle peser la matière ou l'expérience d'une cordée de la réussite en centre Bretagne : Pour une Ambition Scolaire Scientifique (PASS)
- auteur
- Bruno Bêche, Cyril Le-Corre, Valérie Mesnet, Angelique Simoneau-Le-Sager, Cecile Lecomte
- article
- Fête de la Science, Oct 2023, La Mézière, France
- Accès au bibtex
-
- titre
- Flexible Leaky Wave Antennas for Wearable Radars
- auteur
- Giulia Sacco, Pratik Vadher, Denys Nikolayev
- article
- ICEAA-IEEE APWC 2023, Oct 2023, Venice, France
- Accès au texte intégral et bibtex
-
- titre
- A UTD Elliptic Cylinder Model for Studying Body Orientation Influence on Human Blockage
- auteur
- Eric Plouhinec, Bernard Uguen
- article
- 2023 IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications (APWC), Oct 2023, Venice, Italy. ⟨10.1109/apwc57320.2023.10297474⟩
- Accès au bibtex
-
- titre
- Design Approaches for Additive Manufacturing of Waveguide Filters
- auteur
- Esteban Menargues, M. García-Vigueras, Stefano Sirci, Lucas Polo-López
- article
- 2023 International Conference on Electromagnetics in Advanced Applications (ICEAA), Oct 2023, Venice, Italy. ⟨10.1109/iceaa57318.2023.10297807⟩
- Accès au bibtex
-
- titre
- Near-field Focusing with Transmitarrays: Impact of Phase Quantization
- auteur
- Marie Defives, Ronan Sauleau, Manuel Arrebola, Antonio Clemente
- article
- 2023 International Conference on Electromagnetics in Advanced Applications (ICEAA), Oct 2023, Venice, Italy. ⟨10.1109/iceaa57318.2023.10297664⟩
- Accès au bibtex
-
- titre
- Efficient Per-Shot Transformer-Based Bitrate Ladder Prediction for Adaptive Video Streaming
- auteur
- Ahmed Telili, Wassim Hamidouche, Sid Ahmed Fezza, Luce Morin
- article
- 2023 IEEE International Conference on Image Processing (ICIP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/icip49359.2023.10222094⟩
- Accès au bibtex
-
- titre
- Blind Quality Assessment of Light Field Image Based on Spatio-Angular Textural Variation
- auteur
- Zhengyu Zhang, Shishun Tian, Wenbin Zou, Yuhang Zhang, Luce Morin, Lu Zhang
- article
- 2023 IEEE International Conference on Image Processing (ICIP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/icip49359.2023.10222216⟩
- Accès au bibtex
-
- titre
- AICT: An Adaptive Image Compression Transformer
- auteur
- Ahmed Ghorbel, Wassim Hamidouche, Luce Morin
- article
- 2023 IEEE International Conference on Image Processing (ICIP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/icip49359.2023.10222799⟩
- Accès au bibtex
-
- titre
- TRG-DQA: Texture Residual-Guided Dehazed Image Quality Assessment
- auteur
- Tiantian Zeng, Lu Zhang, Wenbin Zou, Xia Li, Shishun Tian
- article
- 2023 IEEE International Conference on Image Processing (ICIP), Oct 2023, Kuala Lumpur, Malaysia. ⟨10.1109/icip49359.2023.10222233⟩
- Accès au bibtex
-
- titre
- Self-Supervised Focus Measure Fusing for Depth Estimation from Computer-Generated Holograms
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- 2023 IEEE International Conference on Image Processing (ICIP 2023), Oct 2023, Kuala Lumpur, Malaysia. pp.2285-2289, ⟨10.1109/ICIP49359.2023.10221949⟩
- Accès au texte intégral et bibtex
-
- titre
- Waterlo: Protect images from deepfakes using localized semi-fragile watermark
- auteur
- Nicolas Beuve, Wassim Hamidouche, Olivier Deforges
- article
- IEEE/CVF International Conference on Computer Vision (ICCV), Oct 2023, Paris, France
- Accès au bibtex
-
- titre
- Energy Consumption and Carbon Footprint of Modern Video Decoding Software
- auteur
- Taieb Chachou, Wassim Hamidouche, Sid Ahmed Fezza, Ghalem Belalem
- article
- 2023 IEEE 25th International Workshop on Multimedia Signal Processing (MMSP), Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337654⟩
- Accès au bibtex
-
- titre
- Physical Insights on Wireless Powering of Deep-Body Ultraminiature Bioelectronics
- auteur
- Icaro V. Soares, Erdem Cil, Denys Nikolayev
- article
- 24th International Conference on Applied Electromagnetics and Communications (ICECOM 2023), Sep 2023, Dubrovik, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Energy-Aware HDR Content End-to-End VVC Encoding
- auteur
- Olivier Le Meur, Franck Aumont, Juan Carlos Vargas Rubio, Pierre-Loup Cabarat, Daniel Menard, Oussama Hammami, Thomas Guionnet
- article
- 2023 IEEE 25th International Workshop on Multimedia Signal Processing (MMSP), Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337641⟩
- Accès au bibtex
-
- titre
- Selective Secret Sharing Scheme for Privacy of Image and Video Compressed in MPEG-Like Formats
- auteur
- Cyril Bergeron, Catherine Lamy-Bergot, Wassim Hamidouche, William Puech
- article
- MMSP 2023 - IEEE 25th International Workshop on Multimedia Signal Processing, Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337672⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards Machine Perception Aware Image Quality Assessment
- auteur
- Alban Marie, Karol Desnos, Chen Fu, Jinjia Zhou, Luce Morin, Lu Zhang
- article
- IEEE 25th International Workshop on MultiMedia Signal Processing (MMSP 2023), Sep 2023, Poitiers, France. pp.1-6, ⟨10.1109/MMSP59012.2023.10337677⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy Efficient VVC Decoding on Mobile Platform
- auteur
- Ibrahim Farhat, Pierre-Loup Cabarat, Daniel Menard, Wassim Hamidouche, Olivier Déforges
- article
- 2023 IEEE 25th International Workshop on Multimedia Signal Processing (MMSP), Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337668⟩
- Accès au bibtex
-
- titre
- Impact of the Near-Surface Body Model on the User Exposure in mmWave 5G Bands
- auteur
- Giulia Sacco, Maxim Zhadobov
- article
- ICECOM 2023, Sep 2023, Dubrovnik, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Decoding Time Prediction for Versatile Video Coding
- auteur
- Hafssa Boujida, Pierre-Loup Cabarat, Daniel Menard
- article
- 2023 IEEE 25th International Workshop on Multimedia Signal Processing (MMSP), Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337687⟩
- Accès au bibtex
-
- titre
- Low-Complexity Overfitted Neural Image Codec
- auteur
- Thomas Leguay, Théo Ladune, Pierrick Philippe, Gordon Clare, Félix Henry, Olivier Déforges
- article
- 2023 IEEE 25th International Workshop on Multimedia Signal Processing (MMSP), Sep 2023, Poitiers, France. ⟨10.1109/mmsp59012.2023.10337636⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving Precision of Computational Electromagnetics for Transcranial Stimulation
- auteur
- Gabriel Gaugain, Julien Modolo, Denys Nikolayev
- article
- ICECOM 2023, Sep 2023, Dubrovnik, Croatia, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Design and direct optimization of spatially fed metasurfaces: software defined highly shaped coverage Reflectarray antenna
- auteur
- Andrea Guarriello, Daniele Bresciani, Renaud Loison, Hervé Legay
- article
- 41st ESA Antenna Workshop on Large Deployable Antennas, European Space Agency, Sep 2023, Noordwijk, Netherlands. pp.1-9
- Accès au texte intégral et bibtex
-
- titre
- RIS-aided Positioning Experiments based on mmWave Indoor Channel Measurements
- auteur
- Moustafa Rahal, Benoît Denis, Taghrid Mazloum, Frédéric Munoz, Raffaele D’errico
- article
- 2023 13th International Conference on Indoor Positioning and Indoor Navigation (IPIN), Sep 2023, Nuremberg, Germany. ⟨10.1109/ipin57070.2023.10332516⟩
- Accès au bibtex
-
- titre
- Design of Circular Dual-Band Six-Elements Dipole Array for Omnidirectional Radiation Pattern
- auteur
- Saber Dakhli, Jean Marie Floc’h, Hatem Rmili
- article
- SoftCOM 2023, Sep 2023, SPLIT, Croatia
- Accès au bibtex
-
- titre
- Design of Circular Dual-Band Six-Elements Dipole Array for Omnidirectional Radiation Pattern
- auteur
- Saber Dakhli, Jean Marie Floc’h, Hatem Rmili
- article
- 31st International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2023, Sep 2023, Split, Croatia. ⟨10.23919/SoftCOM58365.2023.10271642⟩
- Accès au bibtex
-
- titre
- Predicting personalized saliency map for people with autism spectrum disorder
- auteur
- Qiong Wang, Meriem Outtas, Julie Fournier, Elise Etchamendy, Myriam Cherel, Lu Zhang
- article
- CBMI 2023: 20th International Conference on Content-based Multimedia Indexing, Sep 2023, Orléans, France. ⟨10.1145/3617233.3617277⟩
- Accès au bibtex
-
- titre
- HOMARDS: an airborne Ka-band radar sensor for supporting future satellite missions
- auteur
- Erwan Rahault, Stéphane Méric, Maria Garcia-Vigueras, Stéphane Avrillon, Éric Pottier, Jordi Chinaud, Alain Mallet
- article
- 20th European Radar Conference, EuRAD 2023, Sep 2023, Berlin, Germany. pp.189-192, ⟨10.23919/EuRAD58043.2023.10289383⟩
- Accès au bibtex
-
- titre
- The impact of the affinity on ASD people visual engagement
- auteur
- Julie Fournier, Elise Etchamendy, Myriam Cherel, Meriem Outtas, Lu Zhang
- article
- CBMI 2023: 20th International Conference on Content-based Multimedia Indexing, Sep 2023, Orléans, France. ⟨10.1145/3617233.3617236⟩
- Accès au bibtex
-
- titre
- Radar Cross-Section Pattern Measurement of a Complex Target in Reverberation Chamber
- auteur
- C. Charlo, S. Méric, F. Sarrazin, J. Sol, P Pouliguen, E. Richalot, P. Besnier
- article
- 20th European Radar Conference, EuRAD 2023, Sep 2023, Berlin, Germany. pp.22-25, ⟨10.23919/EuRAD58043.2023.10289341⟩
- Accès au bibtex
-
- titre
- Toward THz RIS-Parametrized Wireless Networks-on-Chip
- auteur
- Jean Tapie, Mohammadreza F Imani, Philipp del Hougne
- article
- 10th ACM International Conference on Nanoscale Computing and Communication, NANOCOM 2023, Sep 2023, Coventry, United Kingdom. pp.142-143, ⟨10.1145/3576781.3608739⟩
- Accès au bibtex
-
- titre
- Diffraction Radiation Analysis of Finite Graphene-Covered Nanowire Grating Excited by Electron Beam
- auteur
- Dariia O. Herasymova
- article
- 53rd European Microwave Conference (EuMC), Sep 2023, Berlin, Germany
- Accès au bibtex
-
- titre
- Cylindrical Luneburg Lens Equipped with Conformal Graphene Strip as Efficient THz Absorber
- auteur
- Iryna O. Mikhailikova, Sergii V. Dukhopelnykov
- article
- 53rd European Microwave Conference, EuMC 2023, Sep 2023, Berlin, Germany. pp.484-487, ⟨10.23919/EuMC58039.2023.10290336⟩
- Accès au bibtex
-
- titre
- Resonant Response in Tunable Metasurface Based on Crossed All-Dielectric Grating
- auteur
- Vladimir V. Yachin, Vyacheslav V. Khardikov, Liudmyla A. Kochetova, Sergiy L. Prosvirnin
- article
- 53rd European Microwave Conference, EuMC 2023, Sep 2023, Berlin, Germany. pp.315-318, ⟨10.23919/EuMC58039.2023.10290706⟩
- Accès au bibtex
-
- titre
- Low-profile and High-gain Dual-Linearly Polarized Offset Reflector Antenna at W-band
- auteur
- Thi Kim Ngan Nguyen, David Gonzalez-Ovejero, Ronan Sauleau
- article
- 53rd European Microwave Conference, EuMC 2023, Sep 2023, Berlin, Germany. pp.963-966, ⟨10.23919/EuMC58039.2023.10290275⟩
- Accès au bibtex
-
- titre
- A compact wide-scanning connected-slot array in a standard PCB for Ku/K/Ka-band applications
- auteur
- Syrine Hidri, Francesco Foglia Manzillo
- article
- EuMC 2023 - European Microwave Week, Sep 2023, Berlin, Germany. ⟨10.23919/EuMC58039.2023.10290191⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexoelectric energy harvester based on soft semi-conducting polymer films
- auteur
- Julien Le Scornec, Benoit Guiffard
- article
- EMRS (European Materials Research Society ) 2023 Fall Meeting, Sep 2023, Warsaw, Poland
- Accès au bibtex
-
- titre
- Reverberation-Induced Non-Locality: Implications for RIS-Based Communications and Opportunities for Scattering Singularity Control
- auteur
- Philipp del Hougne
- article
- 2023 Seventeenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials), Sep 2023, Chania, Greece. pp.X-096-X-098, ⟨10.1109/Metamaterials58257.2023.10289526⟩
- Accès au bibtex
-
- titre
- Available Kinetic Energy Sources on the Human Body during Sports Activities: An Optimization Investigation Using Cantilevered Piezoelectric Harvester Model
- auteur
- Damien Hoareau, Gurvan Jodin, Abdo-Rahmane Anas Laaraibi, Jacques Prioux, Florence Razan
- article
- Eurosensors 2023, Sep 2023, Lecce, Italy. ⟨10.3390/proceedings2024097016⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Role of Noise in Integrated Wave-Based Sensing and Computing with Dynamic Metasurface Antennas
- auteur
- Chenqi Qian, Philipp Del Hougne
- article
- 2023 Seventeenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials), Sep 2023, Chania, Greece. pp.X-270-X-272, ⟨10.1109/Metamaterials58257.2023.10289454⟩
- Accès au bibtex
-
- titre
- ConvNeXt-ChARM: ConvNeXt-based Transform for Efficient Neural Image Compression
- auteur
- Ahmed Ghorbel, Wassim Hamidouche, Luce Morin
- article
- 2023 11th European Workshop on Visual Information Processing (EUVIP), Sep 2023, Gjovik, Norway. ⟨10.1109/euvip58404.2023.10323063⟩
- Accès au bibtex
-
- titre
- A low cost, self-powered, plantar pressure distribution sensing insole
- auteur
- Abdo-Rahmane Anas Laaraibi, Gurvan Jodin, Mario Costanza, Damien Hoareau, Samuel Margueron, Nicolas Bideau, Florence Razan
- article
- XXXV EUROSENSORS Conference 2023, Sep 2023, Leece, Italy. pp.29, ⟨10.3390/proceedings2024097029⟩
- Accès au texte intégral et bibtex
-
- titre
- Tangled Program Graph for Radio-Frequency Fingerprint Identification
- auteur
- Alice Chillet, Baptiste Boyer, Robin Gerzaguet, Karol Desnos, Matthieu Gautier
- article
- 2023 Annual IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (IEEE PIMRC 2023), Sep 2023, Toronto ( CA ), France
- Accès au texte intégral et bibtex
-
- titre
- Bus Electrocardiogram: Vulnerability of SoC-FPGA Internal AXI Buses to Electromagnetic Side-Channel Analysis
- auteur
- May Myat Thu, Maria Mendez Real, Maxime Pelcat, Philippe Besnier
- article
- International Symposium and Exhibition on Electromagnetic Compatibility (EMC Europe 2023), Sep 2023, Kraków, Poland. Paper ID: 249
- Accès au texte intégral et bibtex
-
- titre
- Bus Electrocardiogram: Vulnerability of SoC-FPGA Internal AXI Bus to Electromagnetic Side-Channel Analysis
- auteur
- May Myat Thu, Maria Mendez Real, Maxime Pelcat, Philippe Besnier
- article
- 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, EMC Europe 2023, Sep 2023, Krakow, Poland. ⟨10.1109/EMCEurope57790.2023.10274170⟩
- Accès au bibtex
-
- titre
- High Temperature Accelerated Ageing Influence on the Conducted Immunity Modelling of the Commonly Used Voltage Regulator ICs
- auteur
- Jaber Al Rashid, Mohsen Koohestani, Laurent Saintis, M. Barreau
- article
- 2023 International Symposium on Electromagnetic Compatibility – EMC Europe, Sep 2023, Cracovie, Poland. pp.1-7, ⟨10.1109/EMCEurope57790.2023.10274315⟩
- Accès au texte intégral et bibtex
-
- titre
- Smart Input Space Sampling Combined with Kriging-Partial Least Square Regression for EMC Risk Analysis at PCB Level with Many Variables
- auteur
- A. Plot, P. Besnier, B. Goral
- article
- 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, EMC Europe 2023, Sep 2023, Krakow, Poland. ⟨10.1109/EMCEurope57790.2023.10274313⟩
- Accès au bibtex
-
- titre
- Uncoordinated Transmissions in Uplink IoT Cell-Free Massive MIMO Systems based on NOMA
- auteur
- Joumana Farah, Cybele Ghanem, Eric Pierre Simon
- article
- EUSIPCO 2023, Sep 2023, Helsinki, Finland
- Accès au texte intégral et bibtex
-
- titre
- Asymptotic and non-Asymptotic Rate-Loss Bounds for Linear Regression with Side Information
- auteur
- Jiahui Wei, Elsa Dupraz, Philippe Mary
- article
- EUSIPCO 2023: 31st European Signal Processing Conference, Sep 2023, Helsinki, Finland. pp.1275, ⟨10.23919/EUSIPCO58844.2023.10289952⟩
- Accès au texte intégral et bibtex
-
- titre
- Safe peeling for L0-regularized least-squares
- auteur
- Théo Guyard, Gilles Monnoyer, Clément Elvira, Cédric Herzet
- article
- EUSIPCO 2023 - 31st European Signal Processing Conference, Sep 2023, Helsinki, Finland. pp.1-5, ⟨10.23919/EUSIPCO58844.2023.10290041⟩
- Accès au texte intégral et bibtex
-
- titre
- Environment Propagation Scanning using Identical UHF RFID tags configuration
- auteur
- Hadi El Hajj Chehade, Bernard Uguen, Sylvain Collardey
- article
- 2023 IEEE International Conference on RFID Technology and Applications (RFID-TA), Sep 2023, Aveiro, Portugal. ⟨10.1109/rfid-ta58140.2023.10290500⟩
- Accès au bibtex
-
- titre
- Automated Clustering and Pipelining of Dataflow Actors for Controlled Scheduling Complexity
- auteur
- Ophélie Renaud, Naouel Haggui, Karol Desnos, Jean-François Nezan
- article
- EUSIPCO, EURASIP, Sep 2023, Helsiinki, Finland
- Accès au texte intégral et bibtex
-
- titre
- Robust M-Type Error-State Kalman Filters for Attitude Estimation
- auteur
- Andrea Belles, Daniel Medina-Cano, Paul Chauchat, Samy Labsir, Jordi Vilà-Valls
- article
- 2023 31st European Signal Processing Conference (EUSIPCO), Sep 2023, Helsinki, Finland. ⟨10.23919/eusipco58844.2023.10289871⟩
- Accès au bibtex
-
- titre
- MUSE: A Multi-view Synthesis Enhancer
- auteur
- Nour Hobloss, Joshua Maraval, Jérôme Fournier, Nicolas Ramin, Lu Zhang
- article
- 2023 31st European Signal Processing Conference (EUSIPCO), Sep 2023, Helsinki, Finland. ⟨10.23919/eusipco58844.2023.10289903⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a Compact Line-of-Sight Rectenna for Wireless Power Transfer Systems
- auteur
- Ursula Do Carmo Resende, William A. Oliveira, Tulio C. O. Carvalho, Fagner F. S. Ramalho, Icaro V. Soares
- article
- 24th International Conference on Applied Electromagnetics and Communications (ICECOM), Sep 2023, Dubrovik, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Tiny Exploration-based Neural Image Coder
- auteur
- Thomas Leguay, Théo Ladune, Pierrick Philippe, Gordon Clare, Félix Henry, Olivier Deforges
- article
- MMSP 23, Sep 2023, Poitiers, France
- Accès au bibtex
-
- titre
- Deep Learning Models for Low Dose CT Simulation
- auteur
- Lumi Xia, Meriem Outtas, Lu Zhang, Eric Frampas, Olivier Deforges
- article
- 11th European Workshop on Visual Information Processing (Euvip), Sep 2023, Gjovik, Norway
- Accès au bibtex
-
- titre
- Evaluating the vulnerability of deep learning-based image quality assessment methods to adversarial attacks
- auteur
- Hanene Brachemi, Wassim Hamidouche, Sid Ahmed Fezza, Olivier Deforges
- article
- 11th European Workshop on Visual Information Processing (Euvip), Sep 2023, Gjovik, Norway
- Accès au bibtex
-
- titre
- You only Get One-Shot: Eavesdropping Input Images to Neural Network by Spying SoC-FPGA Internal Bus
- auteur
- May Myat Thu, Maria Mendez Real, Maxime Pelcat, Philippe Besnier
- article
- 18th International Conference on Availability, Reliability and Security, ARES 2023, Aug 2023, Benevento, Italy. pp.31, ⟨10.1145/3600160.3600189⟩
- Accès au texte intégral et bibtex
-
- titre
- The Five Priority Topics of Microelectronics Training to Meet Future Societal Challenges
- auteur
- Olivier Bonnaud
- article
- 37th Symposium on Microelectronics Technology and Devices (SBMicro), Aug 2023, Rio de Janeiro, Brazil. ⟨10.1109/SBMicro60499.2023.10302583⟩
- Accès au bibtex
-
- titre
- Comparative Analysis of LTE-M and NB-IoT Node Battery Life in Different Coverage Environments
- auteur
- Nassim Labdaoui, Fabienne Nouvel, Stéphane Dutertre
- article
- GRETSI 2023 - XXIXème Colloque Francophone de Traitement du Signal et des Images, Aug 2023, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Étude sur l'inversion de StyleGAN dans un contexte de détection d'hypertrucages
- auteur
- Matthieu Delmas, Amine Kacete, Stephane Paquelet, Simon Leglaive, Renaud Seguier
- article
- XXIXe Colloque GRETSI, GRETSI - Groupe de Recherche en Traitement du Signal et des Images, Aug 2023, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Graphes programmables intriqués pour l'identification d'empreintes Radio-Fréquence
- auteur
- Alice Chillet, Baptiste Boyer, Robin Gerzaguet, Karol Desnos, Matthieu Gautier
- article
- GRETSI 2023 – 29ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Aug 2023, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Additive Processed ZnO Transparent Vertical Field Effect Transistor
- auteur
- Sajid Hussain, Fawad Saeed, Lei Wei, Tayeb Mohammed-Brahim
- article
- 2023 37th Symposium on Microelectronics Technology and Devices (SBMicro), Aug 2023, Rio de Janeiro, Brazil. ⟨10.1109/sbmicro60499.2023.10302488⟩
- Accès au bibtex
-
- titre
- Régions atteignables pour la régression linéaire sur données compressées avec information adjacente
- auteur
- Jiahui Wei, Elsa Dupraz, Philippe Mary
- article
- GRETSI 2023 : XXIXème Colloque Francophone de Traitement du Signal et des Images, Aug 2023, Grenoble, France. pp.185-188
- Accès au texte intégral et bibtex
-
- titre
- The CHiME-7 UDASE task: Unsupervised domain adaptation for conversational speech enhancement
- auteur
- Simon Leglaive, Léonie Borne, Efthymios Tzinis, Mostafa Sadeghi, Matthieu Fraticelli, Scott Wisdom, Manuel Pariente, Daniel Pressnitzer, John R. Hershey
- article
- 7th International Workshop on Speech Processing in Everyday Environments (CHiME), Aug 2023, Dublin, Ireland. ⟨10.21437/CHiME.2023-2⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised speech enhancement with deep dynamical generative speech and noise models
- auteur
- Xiaoyu Lin, Simon Leglaive, Laurent Girin, Xavier Alameda-Pineda
- article
- Interspeech 2023 - 24th Annual Conference of the International Speech Communication Association, ISCA, Aug 2023, Dublin, Ireland. pp.1-5
- Accès au bibtex
-
- titre
- Analytical Channel Model for On-Body Antenna at 60 GHz
- auteur
- Kun Li, Giulia Sacco, Bernard Uguen, Maxim Zhadobov
- article
- 35th General Assembly and Scientific Symposium of the International Union of Radio Science, URSI GASS 2023, Aug 2023, Sapporo, Japan. ⟨10.23919/URSIGASS57860.2023.10265567⟩
- Accès au bibtex
-
- titre
- A MAP Detector for a Novel SSB FSK Continuous Phase Modulation
- auteur
- Abhishek Kumar, Haifa Fares, Yves Louet
- article
- 35th General Assembly and Scientific Symposium of the International Union of Radio Science, URSI GASS 2023, Aug 2023, Sapporo, Japan. ⟨10.23919/URSIGASS57860.2023.10265639⟩
- Accès au bibtex
-
- titre
- Near field Exposure Assessment of Complex Anatomical Structures in 5G Bands
- auteur
- Giulia Sacco, Ante Lojic Kapetanovic, Dragan Poljak, Maxim Zhadobov
- article
- 2023 XXXVth General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2023, Sapporo, Japan. ⟨10.23919/URSIGASS57860.2023.10265446⟩
- Accès au texte intégral et bibtex
-
- titre
- Continuous Phase Modulations for Sub-THz Wireless Communications
- auteur
- Paul Desombre, Jérôme Taillieu, Charikleia Tzimoragka, Laurent Bramerie, Mathilde Gay, D. Gonzalez-Ovejero, Mehdi Alouini, Haïfa Farès, Yves Louët
- article
- 2023 XXXVth General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2023, Sapporo, Japan. ⟨10.23919/ursigass57860.2023.10265666⟩
- Accès au bibtex
-
- titre
- An Elliptical Folded Dipole With Directive Radiation for UWB Applications
- auteur
- Romain Greard, Mohamed Himdi, Dominique Lemur, Gwenal Le Dem, Pierre Thaly, Cyrille Le Meins
- article
- 2023 International Conference on Smart Applications, Communications and Networking, SmartNets 2023, Jul 2023, Istanbul, Turkey. ⟨10.1109/SmartNets58706.2023.10216045⟩
- Accès au bibtex
-
- titre
- Metasurface-Based Low-Dispersive Leaky-Wave Antenna
- auteur
- Adham Mahmoud, Mauro Ettorre, Jorge Ruiz Garcia, Oscar Quevedo-Teruel, Anthony Grbic
- article
- 2023 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, AP-S/URSI 2023, Jul 2023, Portland, United States. pp.1855-1856, ⟨10.1109/USNC-URSI52151.2023.10237453⟩
- Accès au bibtex
-
- titre
- High Gain Antenna for SatCom Applications in Hybrid CNC-PCB Technologies at K/Ka Band
- auteur
- Adham Mahmoud, Ronan Sauleau, Mauro Ettorre
- article
- 2023 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, AP-S/URSI 2023, Jul 2023, Portland, United States. pp.1573-1574, ⟨10.1109/USNC-URSI52151.2023.10238106⟩
- Accès au bibtex
-
- titre
- Multifunctional electronically reconfigurable electromagnetic surfaces for Ka-band antennas
- auteur
- Francesco Foglia Manzillo, Reda Madi, Samara Gharbieh, Ronan Sauleau, Antonio Clemente
- article
- IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2023, Portland, United States
- Accès au texte intégral et bibtex
-
- titre
- Modeling and Design of a Folded Transmitarray Antenna on Quartz for Radiometric Applications
- auteur
- Tomas Thuroczy, Orestis Koutsos, Ronan Sauleau, David Gonzalez-Ovejero
- article
- 2023 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, AP-S/URSI 2023, Jul 2023, Portland, United States. pp.1577-1578, ⟨10.1109/USNC-URSI52151.2023.10237729⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Array and Substrate Configurations on Transparent Mosaic Frequency Selective Surface
- auteur
- Nur Biha Mohamed Nafis, Mohamed Himdi, Mohamad Kamal A Rahim
- article
- 2023 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, AP-S/URSI 2023, Jul 2023, Portland, United States. pp.1901-1902, ⟨10.1109/USNC-URSI52151.2023.10237547⟩
- Accès au bibtex
-
- titre
- Modulated metasurface antennas and arrays for millimeter wave and sub-terahertz applications
- auteur
- D. Gonzalez-Ovejero, J. Taillieu, C. Bilitos, R Sauleau, O. de Sagazan
- article
- 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, META 2023, Jul 2023, Paris, France. pp.858-859
- Accès au texte intégral et bibtex
-
- titre
- High-Fidelity Reprogrammable Signal Processors Built Off the Anti-Laser
- auteur
- Philipp del Hougne
- article
- The 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, Jul 2023, Paris, France
- Accès au bibtex
-
- titre
- Reflectionless Scattering in Disordered Media: exceptional points and anti-reflection structures
- auteur
- Matthieu Davy, Clément Ferise, Michael Horodynski, Matthias Kühmayer, Stefan Rotter, Simon Félix, Vincent Pagneux
- article
- 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, META 2023, Jul 2023, Paris, France. pp.960-961
- Accès au bibtex
-
- titre
- Digital Twins for Generic Radio Environments Parametrized by Reconfigurable Intelligent Surfaces: Physics-Based vs. Physics-Agnostic Surrogate Models
- auteur
- Hugo Prod’homme, Philipp del Hougne
- article
- 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, META 2023, Jul 2023, Paris, France
- Accès au bibtex
-
- titre
- Beyond CPA: A General Theory of Reflectionless Scattering
- auteur
- A. Douglas Stone, W.R. Sweeney, C.-W. Hsu, A. Alhulaymi, P. del Hougne
- article
- 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, META 2023, Jul 2023, Paris, France. pp.649-650
- Accès au bibtex
-
- titre
- Emulating Fast-Fading Rician Wireless Environments with Electronically Adjustable K-Factors in a Programmable-Metasurface-Stirred Reverberation Chamber
- auteur
- Ismail Ahmed, Matthieu Davy, Philippe Besnier, Philipp del Hougne
- article
- 13th International Conference on Metamaterials, Photonic Crystals and Plasmonics, META 2023, Jul 2023, Paris, France. pp.853-854
- Accès au bibtex
-
- titre
- Partitioning of Hyperspectral Images in Main Categories and Fine Classes
- auteur
- Jihan Alameddine, Kacem Chehdi, Claude Cariou
- article
- IGARSS 2023 - 2023 IEEE International Geoscience and Remote Sensing Symposium, Jul 2023, Pasadena, United States. ⟨10.1109/igarss52108.2023.10283104⟩
- Accès au bibtex
-
- titre
- Data Stream Unsupervised Partitioning Based on Optimized Fuzzy C-Means
- auteur
- Yuding Wang, Kacem Chehdi, Claude Cariou, Benoit Vozel
- article
- IGARSS 2023 - 2023 IEEE International Geoscience and Remote Sensing Symposium, Jul 2023, Pasadena, United States. ⟨10.1109/igarss52108.2023.10282864⟩
- Accès au bibtex
-
- titre
- Blind Hyperspectral Image Restoration
- auteur
- Fabio El Samrani, Kacem Chehdi
- article
- IGARSS 2023 - 2023 IEEE International Geoscience and Remote Sensing Symposium, Jul 2023, Pasadena, United States. ⟨10.1109/igarss52108.2023.10282161⟩
- Accès au bibtex
-
- titre
- Overview of Ground-Based Radar Measurements of Snow-Covered Sea-Ice Led During the 2022 CIRFA Arctic Cruise
- auteur
- Laurent Ferro-Famil, F. Boutet, S. Avrillon, W. Dierking, T. Eltoft, P. Itkin, M. Johansson, J. Landy, J. Lohse
- article
- IGARSS 2023 - 2023 IEEE International Geoscience and Remote Sensing Symposium, Jul 2023, Pasadena, United States. ⟨10.1109/igarss52108.2023.10282153⟩
- Accès au bibtex
-
- titre
- Fixed-time parameter estimation via the discrete-time DREM method
- auteur
- Marina Korotina, Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Jian Wang
- article
- 22nd IFAC World Congress, IFAC, Jul 2023, YOKOHAMA, Japan. pp.4013-4018, ⟨10.1016/j.ifacol.2023.10.1382⟩
- Accès au texte intégral et bibtex
-
- titre
- K3BO: Keypoint-Based Bounding Box Optimization for Radiance Field Reconstruction from Multi-View Images
- auteur
- Maraval Joshua, Nicolas Ramin, Lu Zhang
- article
- 2023 IEEE International Conference on Multimedia and Expo Workshops (ICMEW), Jul 2023, Brisbane, France. pp.134-139, ⟨10.1109/icmew59549.2023.00030⟩
- Accès au bibtex
-
- titre
- Challenges for Control Engineering Curricula Motivating 800 Students via Current Trends in Industry and Research
- auteur
- Cristina Stoica Maniu, Antoine Chaillet, Didier Dumur, Emmanuel Godoy, S. Font, Hugo Lhachemi, Sorin Olaru, Pedro Rodriguez-Ayerbe, Guillaume Sandou, Houria Siguerdidjane, Sihem Tebbani, Cristina Vlad, Romain Bourdais
- article
- 22nd World Congress of the International Federation of Automatic Control (IFAC 2023), Jul 2023, Yokohama, Japan. ⟨10.1016/j.ifacol.2023.10.987⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-efficient IoT Communications: A Comparative Study of Long-Term Evolution for Machines (LTE-M) and Narrowband Internet of Things (NB-IoT) Technologies
- auteur
- Nassim Labdaoui, Fabienne Nouvel, Stéphane Dutertre
- article
- 2023 IEEE Symposium on Computers and Communications (ISCC), Jul 2023, Gammarth, Tunisia. pp.823-830, ⟨10.1109/ISCC58397.2023.10218061⟩
- Accès au bibtex
-
- titre
- Coût énergétique de la qualité de l'air dans les bâtiments
- auteur
- Alexis Wagner, Marie Ruellan, Romain Bourdais, H. Ben Ahmed
- article
- Symposium de Génie Electrique (SGE2023), Jul 2023, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Simulation of GPR scattering from an object buried inside a layered medium with the GPILE method
- auteur
- Nicolas Pinel, Christophe Bourlier, Marc Songolo
- article
- International Workshop on Advanced Ground Penetrating Radar, Jul 2023, Lisbonne, Portugal. paper #20977
- Accès au bibtex
-
- titre
- Optimization of DeepFake Video Detection Using Image Preprocessing
- auteur
- Ali Berjawi, Khouloud Samrouth, Olivier Deforges
- article
- 2023 Fifth International Conference on Advances in Computational Tools for Engineering Applications (ACTEA), Jul 2023, Zouk Mosbeh, Lebanon. pp.59-63, ⟨10.1109/ACTEA58025.2023.10193954⟩
- Accès au bibtex
-
- titre
- Modélisation des microréseaux : quelles architectures de code pour quelles fonctions ?
- auteur
- Pierre Haessig, Elsy El Sayegh, Evelise De Godoy Antunes, Nabil Sadou
- article
- Symposium de Génie Électrique (SGE) 2023, L2EP, Jul 2023, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Système de mesure de la tension Drain-Source à l'état passant: application aux modules SiC forte tension
- auteur
- Antoine Laspeyres, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot
- article
- Symposium de Génie Electrique - SGE 2023, Jul 2023, Lille, France. pp.sciencesconf.org:sge2023:443123
- Accès au bibtex
-
- titre
- Formal Verification of Divider Circuits by Hardware Reduction
- auteur
- Atif Yasin, Tiankai Su, Sébastien Pillement, Maciej Ciesielski
- article
- International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD 2023), Jul 2023, Funchal - Madeira Island, Portugal. paper id 5112
- Accès au bibtex
-
- titre
- On the Additive Manufacturing of Conformal Slotted Waveguide Antennas
- auteur
- Charalampos Stoumpos, Thierry Le Gouguec, Rozenn Allanic, María García-Vigueras, Sarra Abedrabba, Erwan Fourn, Thomas Merlet, Anne-Charlotte Amiaud
- article
- 2023 12th International Conference on Modern Circuits and Systems Technologies (MOCAST), Jun 2023, Athens, Greece. pp.1-4, ⟨10.1109/MOCAST57943.2023.10176730⟩
- Accès au bibtex
-
- titre
- Steering Stable Light Fields Through Dynamic Scattering Media
- auteur
- Christina Sharp, Chaitanya Mididoddi, Philipp del Hougne, Simon Horsley, David Phillips
- article
- 2023 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Jun 2023, Munich, Germany. pp.1-1, ⟨10.1109/CLEO/Europe-EQEC57999.2023.10232664⟩
- Accès au bibtex
-
- titre
- Stochastic Dynamic Programming for Energy Management of an Overplanted Offshore Wind Farm with Dynamic Thermal Rating and Storage
- auteur
- Alexandre Faye-Bédrin, Anne Blavette, Pierre Haessig, Salvy Bourguet, Ildar Daminov
- article
- PowerTech, Jun 2023, Belgrade, Serbia. pp.01-06, ⟨10.1109/PowerTech55446.2023.10202975⟩
- Accès au texte intégral et bibtex
-
- titre
- Revealing Spectrum Allocation Scheme and Temporal Transmission Behavior of IoT Devices using Passive Packet Sniffing
- auteur
- Ahmed Abdelghany, Bernard Uguen, Christophe Moy, Jérôme Le Masson, Francois Marie
- article
- IEEE 97th Vehicular Technology Conference (VTC2023-Spring), Jun 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Open access dataset of holographic videos for codec analysis and machine learning applications
- auteur
- Antonin Gilles, Patrick Gioia, Nabil Madali, Anas El Rhammad, Luce Morin
- article
- 2023 15th International Conference on Quality of Multimedia Experience (QoMEX), Jun 2023, Ghent, Belgium. pp.258-263, ⟨10.1109/QoMEX58391.2023.10178637⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Image Quality Assessment Metrics for Semantic Segmentation in a Machine-to-Machine Communication Scenario
- auteur
- Alban Marie, Karol Desnos, Luce Morin, Lu Zhang
- article
- 15th International Conference on Quality of Multimedia Experience (QoMEX), Jun 2023, Ghent, Belgium. pp.1-6, ⟨10.1109/QoMEX58391.2023.10178503⟩
- Accès au texte intégral et bibtex
-
- titre
- Aggregation of Contiguous Packets in an Actual LoRaWAN Passive Packet Sniffer
- auteur
- Ahmed Abdelghany, Bernard Uguen, Christophe Moy, Jérôme Le Masson
- article
- IEEE 97th Vehicular Technology Conference: VTC2023-Spring, Jun 2023, Florence, Italy. pp.1-6, ⟨10.1109/VTC2023-Spring57618.2023.10201023⟩
- Accès au texte intégral et bibtex
-
- titre
- Denoised CT Images Quality Assessment Through COVID-19 Pneumonia Detection Task
- auteur
- Lumi Xia, Houda Jebbari, Lucie Lévêque, Olivier Deforges, Meriem Outtas, Lu Zhang
- article
- International Conference on Quality of Multimedia Experience (QoMEX), Jun 2023, Ghent, Belgium. ⟨10.1109/QoMEX58391.2023.10178603⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement d'une activité d'autoévaluation pour Moodle et exemple d'utilisation dans un cours de Logique programmable
- auteur
- Eric Tanguy, Christophe Boiteux
- article
- CETSIS 2023 (Colloque consacré à l'Enseignement des Technologies et des Sciences de l'Information et des Systèmes), Jun 2023, Reims, France
- Accès au texte intégral et bibtex
-
- titre
- Effect of Variability of Tissue Dielectric Properties on Transcranial Alternating Current Stimulation Induced Electric Field
- auteur
- Gabriel Gaugain, Noémie Monchy, Joan Duprez, Julien Modolo, Denys Nikolayev
- article
- BioEM2023, Jun 2023, Oxford, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Why microelectronic education becomes a global priority ?
- auteur
- Olivier Bonnaud
- article
- 32nd Annual Conference of the European-Association-for-Education-in-Electrical-and-Information-Engineering (EAEEIE), Jun 2023, Eindhoven, Netherlands. ⟨10.23919/EAEEIE55804.2023.10181526⟩
- Accès au bibtex
-
- titre
- Assessing the Impact of Uncertainties on the Techno-Economic Performance of Microgrids
- auteur
- Elsy El Sayegh, Pierre Haessig, Nabil Sadou, Julien Bruschi, Benoît Jacquet, Sarah Nasr, Hervé Guéguen
- article
- 27th International Conference on Electricity Distribution (CIRED), Jun 2023, Roma, Italia, Italy. Paper n° 10762
- Accès au bibtex
-
- titre
- NB-IoT Power Consumption: A Comparison of SFR and Objenious Network Operators
- auteur
- Nassim Labdaoui, Fabienne Nouvel, Stéphane Dutertre
- article
- 17ème Colloque du GDR SoC2, Jun 2023, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Locking phenomenon on ring oscillators used in True Random Number Generators
- auteur
- Eloïse Delolme, Florent Bernard, Viktor Fischer, David Lubicz, Maxime Pelcat
- article
- Cryptographic architectures embedded in logic devices, Jun 2023, Castro Urdiales, Spain
- Accès au bibtex
-
- titre
- Near and Far Field Characteristics of Two in Line Graphene Coated Dielectric Nanowires Excited by Modulated Electron Beam
- auteur
- Dariia O. Herasymova
- article
- 2023 IEEE/MTT-S International Microwave Symposium - IMS 2023, Jun 2023, San Diego, United States. ⟨10.1109/ims37964.2023.10187918⟩
- Accès au bibtex
-
- titre
- Maintaining a relevant dataset for data-driven MPC
- auteur
- Alexandre Faye-Bédrin, Stanislav Aranovskiy, Paul Chauchat, Romain Bourdais
- article
- Premier congrès annuel de SAGIP( Société d’Automatique, de Génie Industriel et de Productique), SAGIP, Jun 2023, Marseille, France
- Accès au texte intégral et bibtex
-
- titre
- Vers une classification du parc de bâtiments en potentiel de gain énergétique par pilotage avancé
- auteur
- Alexis Wagner, Matthias Heinrich, Marie Ruellan, Romain Bourdais
- article
- 1er congrès annuel de la SAGIP, Jun 2023, Marseille, France
- Accès au texte intégral et bibtex
-
- titre
- Open-Source Toolkit for Live End-To-End 4K VVC Intra Coding
- auteur
- Marko Viitanen, Joose Sainio, Alexandre Mercat, Guillaume Gautier, Jarno Vanne, Ibrahim Farhat, Pierre-Loup Cabarat, Wassim Hamidouche, Daniel Menard
- article
- 14th ACM Multimedia Systems Conference, MMSys 2023, Jun 2023, Vancouver, Canada. pp.312-317, ⟨10.1145/3587819.3593938⟩
- Accès au bibtex
-
- titre
- La nature de l'homme : avoir conscience de ses compétences
- auteur
- Eric Tanguy, Aude Pichon, Christophe Boiteux, Delphine Bouan, François Llorca
- article
- QPES Questions de Pédagogie dans l'Enseignement supérieur, Jun 2023, Lausanne, Suisse
- Accès au texte intégral et bibtex
-
- titre
- Speech Modeling with a Hierarchical Transformer Dynamical VAE
- auteur
- Xiaoyu Lin, Xiaoyu Bie, Simon Leglaive, Laurent Girin, Xavier Alameda-Pineda
- article
- ICASSP 2023 - IEEE International Conference on Acoustics, Speech and Signal Processing, Jun 2023, Rhodes, Greece. pp.1-5, ⟨10.1109/ICASSP49357.2023.10096751⟩
- Accès au bibtex
-
- titre
- A vector quantized masked autoencoder for speech emotion recognition
- auteur
- Samir Sadok, Simon Leglaive, Renaud Séguier
- article
- IEEE ICASSP 2023 Workshop on Self-Supervision in Audio, Speech and Beyond (SASB), Jun 2023, Rhodes, Greece
- Accès au texte intégral et bibtex
-
- titre
- Radar pulse deinterleaving with domain knowledge based clustering
- auteur
- Guillaume Martin, Bouzid Salah Eddine, Jean-Luc Alanic, Pascal Chargé
- article
- Workshop IALA 2023, International Association of Marine Aids to Navigation and Lighthouse Authorities, May 2023, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- Efficient Deep Unfolding for SISO-OFDM Channel Estimation
- auteur
- Baptiste Chatelier, Luc Le Magoarou, Getachew Redieteab
- article
- IEEE international conference on communications (ICC), May 2023, Roma, Italy
- Accès au texte intégral et bibtex
-
- titre
- Model-Driven End-to-End Learning for Integrated Sensing and Communication
- auteur
- José Miguel Mateos-Ramos, Christian Häger, Musa Furkan Keskin, Luc Le Magoarou, Henk Wymeersch
- article
- IEEE international conference on communications (ICC 2023), May 2023, Rome, Italy
- Accès au texte intégral et bibtex
-
- titre
- Covert Scattering Control in Quantum-Graph Metamaterials with Non-Locally Encoded Hidden Symmetry
- auteur
- Philipp del Hougne
- article
- 11th Workshop on Quantum Chaos and Localisation Phenomena, May 2023, Warsaw, Poland
- Accès au bibtex
-
- titre
- Toward Remote Physical-Model-Based Fault Localization in Transmission-Line Networks
- auteur
- Philipp del Hougne
- article
- 11th Workshop on Quantum Chaos and Localisation Phenomena, May 2023, Warsaw, Poland. pp.441-446, ⟨10.12693/aphyspola.144.441⟩
- Accès au bibtex
-
- titre
- Integrated photonic devices for sensing application
- auteur
- Jordan Gastebois, Hervé Lhermite, Hervé Cormerais, Arnaud Saint-Jalmes, Véronique Vié, Lucas Garnier, Bruno Bêche
- article
- Journée Scientifique SFP – SCF, May 2023, Rennes (FRA), France
- Accès au bibtex
-
- titre
- Pixel Level Depth Estimation in Computer Generated Holograms
- auteur
- Nabil Madali, Antonin Gilles, Patrick Gioia, Luce Morin
- article
- ORASIS 2023, Laboratoire LIS, UMR 7020, May 2023, Carqueiranne, France
- Accès au texte intégral et bibtex
-
- titre
- Video Coding for Machines: Large-Scale Evaluation of Deep Neural Networks Robustness to Compression Artifacts for Semantic Segmentation
- auteur
- Alban Marie, Karol Desnos, Luce Morin, Lu Zhang
- article
- ORASIS 2023, Laboratoire LIS, UMR 7020, May 2023, Carqueiranne, France
- Accès au texte intégral et bibtex
-
- titre
- Live and low energy VVC Video Decoding powered by the OpenVVC Decoder on ARM Platform
- auteur
- Ibrahim Farhat, Pierre-Loup Cabarat, Wassim Hamidouche, Patrice Angot, Philipe Gonon, Daniel Menard
- article
- 56th IEEE International Symposium on Circuits and Systems (ISCAS), May 2023, Monterey, United States. ⟨10.1109/ISCAS46773.2023.10181412⟩
- Accès au bibtex
-
- titre
- Electrically Small VHF Monopole Antenna for Mobile Handset Application using Magneto-Dielectric Material
- auteur
- Thomas Finet, Ala Sharaiha, Anne-Claude Tarot, Hanadi Breiss, Patrick Pottier, Jean-Luc Mattei, Cyrille Le Meins, Philippe Pouliguen
- article
- International Workshop on Antenna Technology (iWAT), May 2023, Aalborg, Denmark. ⟨10.1109/IWAT57058.2023.10171666⟩
- Accès au bibtex
-
- titre
- Multi-objective optimization for efficient end-fire superdirective array design
- auteur
- Abdellah Touhami, Sylvain Collardey, Ala Sharaiha
- article
- International Workshop on Antenna Technology (iWAT), May 2023, Aalborg, Denmark. ⟨10.1109/IWAT57058.2023.10171658⟩
- Accès au bibtex
-
- titre
- High Speed and Resilient Wireless Interconnects in the Near Field
- auteur
- Mauro Ettorre, David Gonzalez-Ovejero
- article
- International Workshop on Antenna Technology (iWAT), May 2023, Aalborg, Denmark. ⟨10.1109/IWAT57058.2023.10171697⟩
- Accès au texte intégral et bibtex
-
- titre
- Human Skull Implantable Wireless Power Transfer System
- auteur
- Úrsula C. Resende, Mauricio D. Almeida, Ícaro V. Soares
- article
- 2023 IEEE International Magnetic Conference - Short Papers (INTERMAG Short Papers), May 2023, Sendai, Japan. ⟨10.1109/intermagshortpapers58606.2023.10228519⟩
- Accès au bibtex
-
- titre
- Machine Learning Techniques for Defining Routing Rules for PCB Design
- auteur
- Alexandre Plot, Benoit Goral, Philippe Besnier
- article
- 2023 IEEE 27th Workshop on Signal and Power Integrity (SPI), May 2023, Aveiro, Portugal. pp.1-4, ⟨10.1109/SPI57109.2023.10145545⟩
- Accès au texte intégral et bibtex
-
- titre
- Sustainable TV Distribution by Delivering Universal DVB-I TV Services
- auteur
- Christophe Burdinat, Raulet Mickaël, Pascal Perrot, Julien Lemotheux, Patrice Angot, Richard Lhermitte, Pierre-Loup Cabarat, Benoit Bui Do
- article
- MHV '23: 2nd Mile-High Video Conference, May 2023, Denver, United States. ⟨10.1145/3588444.3591023⟩
- Accès au bibtex
-
- titre
- Reflectionless Scattering in Disordered Media
- auteur
- Matthieu Davy, Clément Ferise, Michael Horodynski, Matthias Kühmayer, Stefan Rotter, Simon Félix, Vincent Pagneux
- article
- 2023 Conference on Lasers and Electro-Optics, CLEO 2023, May 2023, San Jose, United States. pp.FW4D.2, ⟨10.1364/CLEO_FS.2023.FW4D.2⟩
- Accès au bibtex
-
- titre
- AI-BASED LIGHT PARALLEL VIDEO ENCODER
- auteur
- Marwa Tarchouli, Marc Rivière, Thomas Guionnet, Mickael Raulet, Meriem Outtas, Olivier Deforges, Wassim Hamidouche
- article
- MHV '23: 2nd Mile-High Video Conference, May 2023, Denver CO USA, France. pp.78-84, ⟨10.1145/3588444.3591008⟩
- Accès au bibtex
-
- titre
- Characteristic Mode Analysis of Fashion Brands Conductive Logos as Potential Radiators
- auteur
- Naveen Kumar Singh, Mohsen Koohestani, Rajesh Khanna
- article
- 2023 IEEE Radio and Antenna Days of the Indian Ocean (RADIO), May 2023, Balaclava, Mauritius. ⟨10.1109/radio58424.2023.10146095⟩
- Accès au bibtex
-
- titre
- Securing a RISC-V architecture: A dynamic approach
- auteur
- Sébastien Pillement, Maria Mendez Real, Pottier Juliette, Nieddu T., Sébastien Faucou, Jean-Luc Béchennec, Mikaël Briday, S. Girbal, J. Le Rhun, O. Gilles, D. Gracia Pérez, A. Sintzoff, J.R. Coulon
- article
- DATE Conference 2023, Apr 2023, Antwerp, Belgium
- Accès au bibtex
-
- titre
- Recherche et développement d'une optoélectronique résonante pour le suivi dynamique en temps réel de substances biochimiques : réalisation de microstructures résonateurs sur organiques et silicium, applications aux mesures de viscosités
- auteur
- Jordan Gastebois, Hervé Lhermite, Nathalie Coulon, Hervé Cormerais, Arnaud Saint-Jalmes, Véronique Vié, Christophe Levallois, Alain Moréac, Laurent Le Brizoual, France Le Bihan, Lucas Garnier, Bruno Bêche
- article
- Journée printemps nationale du Club MicroCapteurs Chimiques - CMC2, ISCR CNRS Rennes, Apr 2023, Rennes, France
- Accès au bibtex
-
- titre
- Exploitation de l'analyse de Floquet pour la réflexion anormale : validation expérimentale d'une méthode d'optimisation de SER
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- JCMM 2023 - 17èmes Journées de Caractérisation Microondes et Matériaux, Apr 2023, Tours, France
- Accès au texte intégral et bibtex
-
- titre
- Dual-Band Metal-Only Antenna Combining Reflectarray and Reflector Functionalities
- auteur
- Zhihang An, Tony Makdissy, María Garcia Vigueras, Raphaël Gillard
- article
- EUCAP2023 : 17th European Conference on Antennas and Propagation, Mar 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Conformal In-Body Bolus Antenna for Precision Goat Farming
- auteur
- Jasper Goethals, Denys Nikolayev, Gunter Vermeeren, Wout Joseph, Matthew Philpott, Eli Clement, Margot Deruyck
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133459⟩
- Accès au bibtex
-
- titre
- Orthomode Transducers in Additive Manufacturing for Broadband and High-Power Applications
- auteur
- C. Stoumpos, J-A Duran-Venegas, T Pierré, M. García-Vigueras
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10132846⟩
- Accès au bibtex
-
- titre
- User Exposure in mmW Bands: Impact of Age, Textile and Body Curvature
- auteur
- Giulia Sacco, Maxim Zhadobov
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133160⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband Wide Scan Unit-Cell of a Connected Slot Array for SatCom Applications
- auteur
- Mattia Maggi, Rémi Fragnier, Romain Contreres, Ronan Sauleau, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133754⟩
- Accès au bibtex
-
- titre
- Design of Additive Manufacturing Oriented Top-/Narrow-Wall Couplers and 4x4 Butler Matrices
- auteur
- C. Stoumpos
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133243⟩
- Accès au bibtex
-
- titre
- Infrared Diffraction Radiation from Two in-Line Dielectric Rods Covered with Graphene
- auteur
- Dariia Herasymova
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133240⟩
- Accès au bibtex
-
- titre
- MAR Algorithm for Cylindrical Luneburg Lens Equipped with Conformal Graphene Strip
- auteur
- Iryna O. Mikhailikova, Sergii V. Dukhopelnykov
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10132974⟩
- Accès au bibtex
-
- titre
- Study of an ingestible antenna detuning in a porcine model ex vivo: methodology and experimental demonstration
- auteur
- Erdem Cil, Icaro Soares, David Renaudeau, Ronan Sauleau, Denys Nikolayev
- article
- 17. European cConference on antennas and propagation (EuCAP), Mar 2023, Florence, Italy. pp.1-4, ⟨10.23919/EuCAP48036.2020.9136060⟩
- Accès au bibtex
-
- titre
- Continuous Rectangular Phoenix Cells Mapping for Direct Copolar and Crosspolar Optimization of Quasi-Periodic Reflective Surfaces
- auteur
- Andrea Guarriello, Renaud Loison, Daniele Bresciani, Hervé Legay, George Goussetis
- article
- 2023 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. pp.1-5, ⟨10.23919/EuCAP57121.2023.10133691⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency Reconfigurable Millimeter Wave Antenna Integrating Ferroelectric Interdigitated Capacitors
- auteur
- V. Muzzupapa, Aurelian Crunteanu, D. Passerieux, C. Borderon, R. Renoud, H. Gundel, L. Huitema
- article
- 2023 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. pp.1-4, ⟨10.23919/EuCAP57121.2023.10133761⟩
- Accès au bibtex
-
- titre
- Connected Arrays of Slots with Reduced Number of Active Controls: Efficiency, Matching and Radiation Pattern Agility
- auteur
- Christos Monochristou, Shang Xiang, Mark Holm, Ronan Sauleau, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133142⟩
- Accès au bibtex
-
- titre
- Metal-Only Reflect-Transmit-Array Unit Cell with Polarization-Dependent Performance
- auteur
- Angel Palomares-Caballero, Carlos Molero, Juan F. Valenzuela-Valdes, Pablo Padilla, Maria Garcia-Vigueras, Raphaël Gillard
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133394⟩
- Accès au bibtex
-
- titre
- Wideband transmitarrays based on anisotropic unit-cells for next generation sub-THz applications
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Antonio Clemente, Ronan Sauleau
- article
- EUCAP 2023 - European Conference of Antennas and Propagation, Mar 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- The role of Additive Manufacturing in Space-borne Active Antenna
- auteur
- Lisa Berretti, Lucas Polo-López, Esteban Menargues, Renaud Loison, Giovanni Toso, Maria Garcia-Vigueras
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133152⟩
- Accès au bibtex
-
- titre
- Higher Spatial Harmonic Leaky Wave Antenna Design Based on Meandering Microstrips
- auteur
- Pratik Vadher, Denys Nikolayev, Giulia Sacco
- article
- Proc. 17th Eur. Conf Antennas and Propagation (EuCAP 2023), Mar 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Optically Transparent and Reconfigurable printed Vivaldi Antenna
- auteur
- Amani Cherif, Mohamed Himdi, Xavier Castel, Quentin Simon, Edgar Chaslin, Saber Dakhli, Fethi Choubani
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133584⟩
- Accès au bibtex
-
- titre
- X-Band Bandpass Frequency Selective Surface Based on a New Biosourced Substrate of Natural Flax Fiber For Radome Application
- auteur
- Adel Sennouni, Adnane Labdouni, J. -M. Floc'H, S. Gueret, F. Callebert, Anne-Claude Tarot
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133629⟩
- Accès au bibtex
-
- titre
- Reduction of Sampling Sizes in Spherical Phaseless Antenna Measurements using Filters
- auteur
- Nicolas Mezieres, Laurent Le Coq
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133436⟩
- Accès au bibtex
-
- titre
- Near-Field Links with Obstructed Line of Sight via Bessel Beams
- auteur
- Konstantinos D. Paschaloudis, Ravel C. M. Pimenta, Gabriel Soriano, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133247⟩
- Accès au bibtex
-
- titre
- Single-Prism Risley Scanner at Ka-Band
- auteur
- Matthieu Bertrand, Jorge Ruiz-Garcia, Thomas Potelon, Jean-Francois Allaeysl, David Gonzalez-Ovejero, Thi Quynh Van Hoang, Brigitte Loiseaux, Ronan Sauleau, Romain Czarny, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133036⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-Optical Excitation of a Modulated Dual-Polarized Leaky-Wave Antenna
- auteur
- Kamil Yavuz Kapusuz, Adham Mahmoud, Sam Lemey, Hendrik Rogier, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10132929⟩
- Accès au bibtex
-
- titre
- Multi-Mirror Quasi-Optical Beamformer for Wide Scanning Linear Apertures
- auteur
- Leonin Lassauce, Jean -Philippe Fraysse, Ségolène Tubau, Hervé Legay, Mauro Ettorre
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133789⟩
- Accès au bibtex
-
- titre
- Self-Healing Analysis of Distorted Weakly-Diffracting Vector Beams
- auteur
- Ravel C. M. Pimenta, Gabriel Soriano, Konstantinos D Paschaloudis, Mauro Ettorre, Myriam Zerrad, Claude Amra
- article
- 17th European Conference on Antennas and Propagation (EuCAP 2023), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133425⟩
- Accès au texte intégral et bibtex
-
- titre
- Noise-Adaptive and Task-Specific Coherent Illuminations with a Programmable-Metasurface Imager
- auteur
- Chenqi Qian, Philipp del Hougne
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133280⟩
- Accès au bibtex
-
- titre
- Do linear cascaded models of RIS-parametrized wireless channels violate wave physics?
- auteur
- Antonin Rabault, Philipp del Hougne
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133065⟩
- Accès au bibtex
-
- titre
- Measurement of the V2I Channel in Cell-free Vehicular Networks with the Distributed MaMIMOSA Channel Sounder
- auteur
- Eric Pierre Simon, Pierre Laly, Joumana Farah, Emmeric Tanghe, Wout Joseph, Davy Gaillot
- article
- 17th European Conference on Antennas and Propagation (EUCAP 2023), Mar 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Modulated metasurface array for photonic beam steering at W band
- auteur
- Jérôme Taillieu, Ronan Sauleau, Mehdi Alouini, David Gonzalez-Ovejero
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133650⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and Optimization of a Double Circular Polarization Large Deployable Reflectarray for Direct Broadcast Satellite in S-band
- auteur
- Andrea Guarriello, Daniele Bresciani, Renaud Loison, Juan-Antonio Duran-Venegas, Eric Labiole, Céline Leclerc, Renaud Chiniard, Olivier Bardel
- article
- 2023 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. pp.1-5, ⟨10.23919/EuCAP57121.2023.10133380⟩
- Accès au texte intégral et bibtex
-
- titre
- Eco-friendly based substrates for telemetry antennas in UHF- and S-bands
- auteur
- L. Bernard, C. Campo, E. Roussel
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133806⟩
- Accès au bibtex
-
- titre
- Application of FSS-cells Stacking to the Conception of Compact Inline Waveguide Polarizers
- auteur
- Lucas Polo-López, Lisa Berretti, Carlos Molero, Esteban Menargues, Romain Contreres, Juan Corcoles, Jorge A. Ruiz-Cruz, Maria Garcia-Vigueras
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133311⟩
- Accès au bibtex
-
- titre
- Dispersion Characteristics of Additive-Manufactured Metasurfaces
- auteur
- Kristy Hecht, David Gonzalez-Ovejero, Mario Junior Mencagli
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133597⟩
- Accès au bibtex
-
- titre
- Reconfigurable transmitarrays at Ka-Band with beam-forming and polarization agility
- auteur
- Antonio Clemente, Reda Madi, Francesco Foglia Manzillo, Maciej Smierzchalski, Jacques Reverdy, Ronan Sauleau
- article
- EuCAP 2023 - European Conference of Antennas and Propagation, Mar 2023, Florence, Italy. ⟨10.23919/EuCAP57121.2023.10133517⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal Down-sampling based Video Coding with Frame-Recurrent Enhancement
- auteur
- Keren He, Chen Fu, Chi Do-Kim Pham, Lu Zhang, Jinjia Zhou
- article
- Data Compression Conference (DCC), Mar 2023, Snowbird, United States. ⟨10.1109/DCC55655.2023.00068⟩
- Accès au bibtex
-
- titre
- Active Clamp Circuit for Online ON-State Voltage Measurement of High Voltage SiC MOSFETs Power Module
- auteur
- Antoine Laspeyres, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot, Long Thanh Le, Stéphane Azzopardi
- article
- IEEE Applied Power Electronics Conference, Mar 2023, ORLANDO, United States. paper ID 1061
- Accès au bibtex
-
- titre
- Couches minces ferroélectriques de Ba 1-x Sr x TiO 3 accordables pour une antenne patch millimétrique reconfigurable en fréquence
- auteur
- Caroline Borderon, Stéphane Ginestar, Raphaël Renoud, Hartmut Gundel, Vincent Muzzupapa, Laure Huitema, Aurelian Crunteanu
- article
- GDR Oxyfun+, GDR Oxyfun, Mar 2023, en ligne, France
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Non-Canonical Body-Conformal Arrays with Polarization Decomposition
- auteur
- Icaro V. Soares, Pratik Vadher, Anja K. Skrivervik, Giulia Sacco, Denys Nikolayev
- article
- 17th European Conference on Antennas and Propagation (EuCAP), Mar 2023, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Présentation du portail Physique-Chimie, Sciences de la Terre et Mécanique, de ses parcours sélectifs défi et L.AS au sein de l'Université de Rennes, sur le campus Beaulieu et parmi les quatre portails scientifiques (non santé) existants
- auteur
- Bruno Bêche
- article
- Journée Porte Ouverte, Université de Rennes, Feb 2023, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Fast Yet Accurate Timing and Power Prediction of Artificial Neural Networks Deployed on Clock-Gated Multi-Core Platforms
- auteur
- Quentin Dariol, Sébastien Le Nours, Domenik Helms, Ralf Stemmer, Sébastien Pillement, Kim Grüttner
- article
- Workshop on System En- gineering for constrained embedded systems (RAPIDO 2023), Jan 2023, Toulouse, France. 8 p., ⟨10.1145/3579170.3579263⟩
- Accès au bibtex
-
- titre
- High-Level Online Power Monitoring of FPGA IP Based on Machine Learning
- auteur
- Majdi Richa, Jean-Christophe Prévotet, Mickaël Dardaillon, Mohamad Mroué, Samhat Abed Ellatif
- article
- Workshop on Design and Architectures for Signal and Image Processing, Jan 2023, Toulouse, France
- Accès au bibtex
-
- titre
- SCAPE: HW-Aware Clustering of Dataflow Actors for Tunable Scheduling Complexity
- auteur
- Ophélie Renaud, Dylan Gageot, Karol Desnos, Jean-François Nezan
- article
- DASIP 2023 - Workshop on Design and Architectures for Signal and Image Processing, HiPEAC, Jan 2023, Toulouse, France. pp.3-14, ⟨10.1007/978-3-031-29970-4_1⟩
- Accès au texte intégral et bibtex
-
- titre
- Assessment of Multi-Plenoptic 2.0 Camera Depth Maps for DIBR
- auteur
- Hamed Razavi Khosroshahi, Jaime Sancho, Gonzalo Rosa, Ruben Salvador, Eduardo Juarez, Gauthier Lafruit, Mehrdad Teratani
- article
- International Workshop on Advanced Imaging Technology (IWAIT), Jan 2023, Jeju, South Korea. ⟨10.1117/12.2666761⟩
- Accès au bibtex
-
- titre
- Exploring Mental Prototypes by an Efficient Interdisciplinary Approach: Interactive Microbial Genetic Algorithm
- auteur
- Sen Yan, Catherine Soladie, Renaud Seguier
- article
- 2023 IEEE 17th International Conference on Automatic Face and Gesture Recognition (FG), Jan 2023, Waikoloa Beach, United States. pp.1-8, ⟨10.1109/FG57933.2023.10042515⟩
- Accès au texte intégral et bibtex
-
Book sections
- titre
- Secure and Resilient Authenticated Encryption Approach Based on Chaotic Neural Networks and Duplex Construction
- auteur
- Nabil Abdoun, Safwan El Assad, Thang Manh Hoang, Olivier Deforges, Rima Assaf, Mohamad Khalil
- article
- Advances and Challenges in Science and Technology Vol. 6, B P International (a part of SCIENCEDOMAIN International), pp.146-191, 2023, 978-81-19761-51-7. ⟨10.9734/bpi/acst/v6/5040E⟩
- Accès au bibtex
-
- titre
- Metal 3D-Printing of Waveguide Components and Antennas: Guidelines and New Perspectives
- auteur
- María García-Vigueras, Lucas Polo-Lopez, Charalampos Stoumpos, Aurélie Dorlé, Carlos Molero, Raphaël Gillard
- article
- Hybrid Planar - 3D Waveguiding Technologies, IntechOpen, pp.133, 2023, 978-1-80356-149-3. ⟨10.5772/intechopen.106690⟩
- Accès au bibtex
-
- titre
- Prototypage rapide d'un supercalculateur dédié à la radioastronomie
- auteur
- Nicolas Gac, Jean-François Nezan, André Ferrari, Chiara Ferrari, Martin Quinson, Cedric Dumez-Viou
- article
- L'Interdisciplinarité. Voyages au-delà des disciplines, CNRS Edition, 2023, 978-2-271-13983-2
- Accès au texte intégral et bibtex
-
- titre
- RIS-Parametrized Rich-Scattering Environments: Physics-Compliant Models, Channel Estimation, and Optimization
- auteur
- Philipp del Hougne
- article
- Reconfigurable Metasurfaces for Wireless Communications: Architectures, Modeling, and Optimization, In press
- Accès au texte intégral et bibtex
-
- titre
- Agriculture and wetland applications
- auteur
- J.M. Lopez-Sanchez, J.B. Ballester-Berman, F. Vicente-Guijalba, S.R. Cloude, Heather Mcnairn, Jian Shang, H. Skriver, T Jagdhuber, I. Hajnsek, E. Pottier, Cécile C. Marechal, L. Hubert Moy, Samuel S. Corgne, Sh. Wdowinski, R. Touzi, Gilbert Gosselin, Robin Brooks, Yoshiyuki y Yamaguchi, Singh G.
- article
- I. Hajnsek, Y.-L. Desnos (eds.), Polarimetric Synthetic Aperture Radar, Remote Sensing and Digital Image Processing 25,https://doi.org/10.1007/978-3-030-56504-6_3, 2023
- Accès au bibtex
-
- titre
- Dataflow Models of Computation for Programming Heterogeneous Multicores
- auteur
- Jeronimo Castrillon, Karol Desnos, Andrés Goens, Christian Menard
- article
- Handbook of Computer Architecture, pp.1-40, 2023, ⟨10.1007/978-981-15-6401-7_45-2⟩
- Accès au bibtex
-
- titre
- Blind Evaluation of Noise Characteristics in Multichannel Images
- auteur
- Victoriya Abramova, Sergey Abramov, Klavdiy Abramov, Benoit Vozel
- article
- Information Technologies in the Design of Aerospace Engineering, pp.209-229, 2023, ⟨10.1007/978-3-031-43579-9_4⟩
- Accès au bibtex
-
Poster communications
- titre
- An Autonomous Approach to Deinterleave and Recover Radar Pulse Sequences in an Unknown Maritime Environment
- auteur
- Guillaume Martin, Salah Eddine Bouzid, Jean-Luc Alanic, Pascal Charge
- article
- International Radar Conference, Nov 2023, Sydney, Australia. Paper ID: 279, 2023
- Accès au texte intégral et bibtex
-
- titre
- Which optimization “flavor” for sizing Microgrid energy systems?
- auteur
- Pierre Haessig, Nabil Sadou, Elsy El Sayegh, Evelise De Godoy Antunes
- article
- Julia and Optimization Days 2023, Oct 2023, Paris, France.
- Accès au texte intégral et bibtex
-
- titre
- High curvature sensors based on flexoelectric effect in soft semi-conducting polymer films
- auteur
- Julien Le Scornec, Benoit Guiffard
- article
- EMRS (European Materials Research Society ) 2023 Fall Meeting, Sep 2023, Warsaw, Poland
- Accès au texte intégral et bibtex
-
- titre
- Développement d'un code pour le traitement des signaux issus d'un spectromètre optique : mesures et caractérisations de résonances
- auteur
- Claver Niyigaba, Jordan Gastebois, Hervé Cormerais, Bruno Bêche
- article
- Journée Masters ISTIC, Sep 2023, Rennes (FR), France
- Accès au bibtex
-
- titre
- Proposition of optimization strategies in collision energies on QTOF and Orbitrap instruments to perform comparable CID-MS-MS spectra in metabolomics
- auteur
- David Rondeau, Thomas Delhaye, Erell Froger, Yves Gimbert, Axel Raux, Yann Guitton, Pierre Le Pogam-Alluard, Myriam Le Roch, Marylene Chollet-Krugler
- article
- 39ème Journées Françaises de Spectrométrie de Masse (SFSM 2023 ), Sep 2023, Marseille, France. 2023
- Accès au texte intégral et bibtex
-
- titre
- Early Performance and Energy Prediction of Neural Networks Deployed on Multi-Core Platforms
- auteur
- Quentin Dariol, Sébastien Le Nours, Sébastien Pillement, Ralf Stemmer, Domenik Helms, Kim Grüttner
- article
- GRETSI 2023 XXIXème Colloque Francophone de Traitement du Signal et des Images, Aug 2023, GRENOBLE, France. 2023-08, pp.ID PAPER 1144
- Accès au texte intégral et bibtex
-
- titre
- Data traffic management in a reconfigurable Network-on-Chip for Dynamic Neural Networks
- auteur
- Mohamed Amine Zhiri, Hana Krichene, Chiara Sandionigi, Sébastien Pillement
- article
- Journée Nationale GDR SoC2 - 17ème Colloque du GDR SoC2, Jun 2023, Lyon, France. , 2023
- Accès au texte intégral et bibtex
-
- titre
- First analysis and protection of the micro-architecture of a RISC-V core
- auteur
- Juliette Pottier, Maria Mendez Real, Sébastien Pillement
- article
- Journée Nationale GDR SoC2, Jun 2023, Lyon, France.
- Accès au texte intégral et bibtex
-
- titre
- Exploitation de l’analyse de Floquet pour la réflexion anormale. Validation expérimentale d’une méthode d’optimisation de SER.
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- 17e Journées de Caractérisation Microondes et Matériaux, Apr 2023, Tours, France
- Accès au texte intégral et bibtex
-
Reports
- titre
- Compte-rendu de fin du projet ANR-18-CE22-0020 Amélioration de la Connaissance de milieux complexes composés de fissures et Inversion MultiParamètres
- auteur
- David Guilbert, Vincent Baltazart, Christophe Bourlier, Xavier Dérobert, Laurent Ferro-Famil, Nicolas Pinel
- article
- ANR-FORM-090601-01-01, Institut d'Électronique et des Technologies du numéRique; Institut Supérieur de l'Aéronautique et de l'Espace; Institut Catholique d'Arts et Métiers; Centre d'Etudes et d'Expertise sur les Risques, l'Environnement, la Mobilité et l'Aménagement; Université Gustave Eiffel. 2023, pp.1-18
- Accès au texte intégral et bibtex
-
- titre
- ANR-POSEIDON Deliverable D1.1: Scenario description KPIs and PHY requirements
- auteur
- Jean-Baptiste Doré, David Demmer, Rafik Zayani, Mabrouk Asma, Didier Le Ruyet, Hmaied Shaiek, Pascal Chevalier, Amor Nafkha, Haïfa Farès, Yoann Corre, Aymen Jaziri
- article
- D1.1, CEA LETI; CNAM, 292 rue Saint-Martin, 75003 Paris; IETR; SIRADEL. 2023
- Accès au texte intégral et bibtex
-
- titre
- System-level design for the assessment of shared resources effects on multiprocessor systems security
- auteur
- Farah Khazaal, Ulysse Couturier, Sébastien Le Nours, Maria Mendez Real
- article
- Rapport Stage Ingénieur ETN4 Polytech Nantes, Nantes université. 2023
- Accès au texte intégral et bibtex
-
- titre
- Chaine d'émission DVB-T2 : FEF multicanaux
- auteur
- Stéphane Lethimonier, Stéphane Méric, Jean-Yves Baudais
- article
- RAPID AMBRA. 2023
- Accès au bibtex
-
- titre
- Forme d'onde AMBRA
- auteur
- Pierre Leba, Jean-Yves Baudais, Stéphane Méric, Pierre Yves Jezequel
- article
- RAPID AMBRA. 2023
- Accès au bibtex
-
- titre
- GHG emissions of semiconductor manufacturing in 2021
- auteur
- Maxime Pelcat
- article
- Univ Rennes, INSA Rennes, CNRS, IETR – UMR 6164, F-35000 Rennes. 2023
- Accès au texte intégral et bibtex
-
Theses
- titre
- Partitioning of large hyperspectral image data streams
- auteur
- Yuding Wang
- article
- Signal and Image processing. Université de Rennes, 2023. English. ⟨NNT : 2023URENS071⟩
- Accès au texte intégral et bibtex
-
- titre
- Radar imaging techniques for the characterization and diagnosis of roadways
- auteur
- Mengda Wu
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes, 2023. English. ⟨NNT : 2023URENS089⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive blind restoration for monochrome and hyperspectral images
- auteur
- Fabio El Samrani
- article
- Other. Université de Rennes, 2023. English. ⟨NNT : 2023URENS065⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution to over-the-air characterization of UHF RFID tags : application to livestock RFID
- auteur
- Hadi El Hajj Chehade
- article
- Electronics. Université de Rennes, 2023. English. ⟨NNT : 2023URENS057⟩
- Accès au texte intégral et bibtex
-
- titre
- Early Timing and Energy Prediction and Optimization of Artificial Neural Networks on Multi-Core Platforms
- auteur
- Quentin Dariol
- article
- Electronics. Nantes Université, 2023. English. ⟨NNT : 2023NANU4033⟩
- Accès au texte intégral et bibtex
-
- titre
- De l'étude des solvants eutectiques profonds par spectrométrie de masse à leur utilisation dans des antennes-capteurs bio-inspirées
- auteur
- Émilie Bertrand
- article
- Electronique. Université de Rennes, 2023. Français. ⟨NNT : 2023URENS063⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation du désordre pour le contrôle des ondes en milieux complexes
- auteur
- Clément Ferise
- article
- Réseaux et télécommunications [cs.NI]. Université de Rennes, 2023. Français. ⟨NNT : 2023URENS080⟩
- Accès au texte intégral et bibtex
-
- titre
- Techniques de haute résolution pour l’imagerie GPR en champ proche et à large bande
- auteur
- Pamela Manase
- article
- Other. Université de Rennes, 2023. English. ⟨NNT : 2023URENS047⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable antennas and circuits based on controllable artificial materials
- auteur
- David René-Loxq
- article
- Electronics. Université de Rennes, 2023. English. ⟨NNT : 2023URENS027⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D-Printed Metal-only High-gain Antennas made of Quasi-periodic Arrangements of Waveguide-type Phoenix Cells
- auteur
- Zhihang An
- article
- Electronics. INSA de Rennes, 2023. English. ⟨NNT : 2023ISAR0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Études et développements de procédés de "transfer printing" pour l'intégration de jauges de contrainte au sein et à la surface de matériaux complexes
- auteur
- Gaëtan Herry
- article
- Electronique. Université de Rennes, 2023. Français. ⟨NNT : 2023URENS010⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution à l'utilisation des modèles physiques en Modelica à des fins de commande de systèmes multi-énergies
- auteur
- Joy El Feghali
- article
- Energie électrique. Université Paris-Saclay, 2023. Français. ⟨NNT : 2023UPAST033⟩
- Accès au texte intégral et bibtex
-
- titre
- Gestion Optimale des Flux d’Énergie dans un Micro Réseau Hybride
- auteur
- Anis Boulal
- article
- Electronique. INSA de Rennes, 2023. Français. ⟨NNT : 2023ISAR0004⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- ONE TO BEAT THEM ALL: "RYU" - A UNIFYING FRAMEWORK FOR THE CONSTRUCTION OF SAFE BALLS
- auteur
- Thu-Le Tran, Clément Elvira, Hong-Phuong Dang, Cédric Herzet
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Model-based Deep Learning for Beam Prediction based on a Channel Chart
- auteur
- Taha Yassine, Baptiste Chatelier, Vincent Corlay, Matthieu Crussière, Stephane Paquelet, Olav Tirkkonen, Luc Le Magoarou
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Threading light through dynamic complex media
- auteur
- Chaitanya K Mididoddi, Christina Sharp, Philipp del Hougne, Simon A. R. Horsley, David B Phillips
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Systematic Physics-Compliant Analysis of Over-the-Air Channel Equalization in RIS-Parametrized Wireless Networks-on-Chip
- auteur
- Jean Tapie, Hugo Prod'homme, Mohammadreza F Imani, Philipp del Hougne
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Semi-Supervised End-to-End Learning for Integrated Sensing and Communications
- auteur
- José Miguel Mateos-Ramos, Baptiste Chatelier, Christian Häger, Musa Furkan Keskin, Luc Le Magoarou, Henk Wymeersch
- article
- 2023
- Accès au bibtex
-
- titre
- Optimizing Multicarrier Multiantenna Systems for LoS Channel Charting
- auteur
- Taha Yassine, Luc Le Magoarou, Matthieu Crussière, Stephane Paquelet
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Model-based Deep Learning for High-Dimensional Periodic Structures
- auteur
- Lucas Polo-López, Luc Le Magoarou, Romain Contreres, María García-Vigueras
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- MODEL-BASED LEARNING FOR LOCATION-TO-CHANNEL MAPPING
- auteur
- Baptiste Chatelier, Luc Le Magoarou, Vincent Corlay, Matthieu Crussière
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Cartographie du canal par réduction de dimension et réseaux triplets
- auteur
- Taha Yassine, Luc Le Magoarou, Baptiste Chatelier, Stéphane Paquelet, Matthieu Crussière
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Toward Remote Model-Based Fault Localization in Transmission-Line Networks
- auteur
- Philipp del Hougne
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Experimentally realized physical-model-based wave control in metasurface-programmable complex media
- auteur
- Jérôme Sol, Hugo Prod'Homme, Luc Le Magoarou, Philipp del Hougne
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- On the Tacit Linearity Assumption in Common Cascaded Models of RIS-Parametrized Wireless Channels
- auteur
- Antonin Rabault, Luc Le Magoarou, Jérôme Sol, George C. Alexandropoulos, Nir Shlezinger, H. Vincent Poor, Philipp del Hougne
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Model-Based End-to-End Learning for Multi-Target Integrated Sensing and Communication
- auteur
- José Miguel Mateos-Ramos, Christian Häger, Musa Furkan Keskin, Luc Le Magoarou, Henk Wymeersch
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Placement et exécution de tâches sous contraintes temporelles
- auteur
- Jean-Yves Baudais, Mohamad Oussayran, Jean-Christophe Prévotet, Ali Maiga
- article
- 2023
- Accès au texte intégral et bibtex
-
- titre
- Safe Peeling for L0-Regularized Least-Squares with supplementary material
- auteur
- Théo Guyard, Gilles Monnoyer, Cédric Herzet, Clément Elvira
- article
- 2023
- Accès au bibtex
-
- titre
- Economic consistency of salvage value definitions
- auteur
- Pierre Haessig
- article
- 2023
- Accès au texte intégral et bibtex
-
2022
Journal articles
- titre
- Numerical Evaluation of Circuit Model for Fast Computational Analysis of Resonant Wireless Power Transfer System
- auteur
- F. Freitas, I. Soares, L. Krahenbuhl, Arnaud Bréard, C. Vollaire, S. Goncalves, U. Resende
- article
- IEEE Transactions on Magnetics, 2022, pp.1-1. ⟨10.1109/TMAG.2022.3231366⟩
- Accès au texte intégral et bibtex
-
- titre
- The ETNA mission concept: Assessing the habitability of an active ocean world
- auteur
- Ariel N Deutsch, Paolo Panicucci, Laura I Tenelanda-Osorio, Victoria da Poian, Yun H Cho, Chandrakanth Venigalla, Thasshwin Mathanlal, Emiliano Castillo Specia, Graciela González Peytaví, Andrea Guarriello, Onalli Gunasekara, Lewis Jones, Mariya Krasteva, Jennifer Pouplin, Nicole Villanueva, Sam Zaref
- article
- Frontiers in Astronomy and Space Sciences, 2022, 9, ⟨10.3389/fspas.2022.1028357⟩
- Accès au texte intégral et bibtex
-
- titre
- Elucidation of electric characteristics for P and N type polycrystalline silicon vertical thin film transistors
- auteur
- Peng Zhang, Emmanuel Jacques, Regis Rogel, Laurent Pichon, Olivier Bonnaud
- article
- Journal of Physics D: Applied Physics, 2022, 55 (49), pp.495109. ⟨10.1088/1361-6463/ac9a58⟩
- Accès au texte intégral et bibtex
-
- titre
- Luminance and Brightness: Application to Lanthanide-Based Coordination Polymers
- auteur
- Chloé Blais, Guillaume Calvez, Yan Suffren, Carole Daiguebonne, Cyril Paranthoen, Eric Bazin, Stéphane Freslon, Kevin Bernot, Olivier Guillou
- article
- Inorganic Chemistry, 2022, 61 (48), pp.19588-19596. ⟨10.1021/acs.inorgchem.2c03500⟩
- Accès au bibtex
-
- titre
- Energy Modeling Based on Power Profiling of Wireless Sensor Device
- auteur
- Rivo Randriatsiferana, Frederic Alicalapa, Richard Lorion, Lala Rajaoarisoa, Blaise Ravelo, Christophe Moy
- article
- IEEE Sensors Journal, 2022, 22 (23), pp.22754 - 22769. ⟨10.1109/jsen.2022.3212933⟩
- Accès au texte intégral et bibtex
-
- titre
- User-centric non-full interference cellular networks: BS cooperation and bandwidth partitioning
- auteur
- Mohammadreza Mardani, Philippe Mary, Jean-Yves Baudais
- article
- EURASIP Journal on Wireless Communications and Networking, 2022, 2022 (1), pp.108. ⟨10.1186/s13638-022-02189-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Monopole Antenna Miniaturization with Magneto-Dielectric Material Loading Combined with Metal Parasitic Element
- auteur
- Thomas Finet, Ala Sharaiha, Anne-Claude Tarot, Philippe Pouliguen, Patrick Potier, Cyrille Le Meins
- article
- Journal of Magnetism and Magnetic Materials, 2022, 2 (4), pp.368-379. ⟨10.3390/magnetism2040026⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep multi-task learning for image/video distortions identification
- auteur
- Zoubida Ameur, Sid Ahmed Fezza, Wassim Hamidouche
- article
- Neural Computing and Applications, 2022, 34 (24), pp.21607-21623. ⟨10.1007/s00521-021-06576-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Detect and defense against adversarial examples in deep learning using natural scene statistics and adaptive denoising
- auteur
- Anouar Kherchouche, Sid Ahmed Fezza, Wassim Hamidouche
- article
- Neural Computing and Applications, 2022, 34 (24), pp.21567-21582. ⟨10.1007/s00521-021-06330-x⟩
- Accès au bibtex
-
- titre
- Flexible Patch Antenna Array Operating at Microwaves Based on Thin Composite Material
- auteur
- H. Elmobarak, Mohamed Himdi, X. Castel, S. Rahim, K.-G. Tan
- article
- IEEE Access, 2022, 10, pp.115663-115672. ⟨10.1109/ACCESS.2022.3218342⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable half-mode SIW antenna using uniaxial field programmable microwave substrate structure
- auteur
- David Rene-Loxq, Olivier Lafond, Mohamed Himdi, Langis Roy, Farhan Ghaffar
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (11), pp.11103 - 11108. ⟨10.1109/TAP.2022.3195559⟩
- Accès au texte intégral et bibtex
-
- titre
- Prediction about the vessel’s heave motion under different sea states based on hybrid PSO_ARMA model
- auteur
- Gang Tang, Xiaoqiang Yao, Furong Li, Yide Wang, Xiong Hu
- article
- Ocean Engineering, 2022, 263, pp.112247. ⟨10.1016/j.oceaneng.2022.112247⟩
- Accès au bibtex
-
- titre
- Coupling simulation and accelerated degradation model for reliability estimation: Application to a voltage regulator
- auteur
- Jaber Al Rashid, Laurent Saintis, Mohsen Koohestani, Mihaela Barreau
- article
- Microelectronics Reliability, 2022, Microelectronics Reliability, 138, pp.114682. ⟨10.1016/j.microrel.2022.114682⟩
- Accès au texte intégral et bibtex
-
- titre
- Achievable Energy Efficiency in Massive MIMO: Impact of DAC Resolution and PAPR Reduction for Practical Network Topologies at mm-Waves
- auteur
- C.A. Schmidt, J. F. Schmidt, J. L. Figueroa, M. Crussiere
- article
- IEEE Communications Letters, 2022, 26 (11), pp.2784-2788. ⟨10.1109/LCOMM.2022.3198016⟩
- Accès au texte intégral et bibtex
-
- titre
- A new least squares parameter estimator for nonlinear regression equations with relaxed excitation conditions and forgetting factor
- auteur
- Romeo Ortega, Jose Guadalupe Romero, Stanislav Aranovskiy
- article
- Systems and Control Letters, 2022, 169, pp.105377. ⟨10.1016/j.sysconle.2022.105377⟩
- Accès au texte intégral et bibtex
-
- titre
- Vertically Printable Evanescent Mode Filters
- auteur
- Lucas Polo-López, Stefano Sirci, Antoine Calleau, Santiago Capdevila, Giovanni Toso, Esteban Menargues, Maria Garcia-Vigueras
- article
- IEEE Microwave and Wireless Components Letters, 2022, 32 (11), pp.1299-1302. ⟨10.1109/LMWC.2022.3187781⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Planar Beamformer Using Multiple Continuous Parallel-Plate Waveguide Delay Lenses
- auteur
- François Doucet, Nelson J. G. Fonseca, Etienne Girard, Xavier Morvan, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2022, 21 (11), pp.2229-2233. ⟨10.1109/LAWP.2022.3184395⟩
- Accès au texte intégral et bibtex
-
- titre
- Application of Probabilistic Models for Multitone Electromagnetic Immunity Analysis
- auteur
- Lokesh Devaraj, Qazi Mashaal Khan, Alastair Ruddle, Alistair Duffy, Richard Perdriau, Mohsen Koohestani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2022, 64 (6), pp.2067-2079. ⟨10.1109/TEMC.2022.3211458⟩
- Accès au bibtex
-
- titre
- Multiplicative Multiresolution Decomposition for Lossless Volumetric Medical Images Compression
- auteur
- Leila Belhadef, Meriem Outtas, Zoulikha Mekkakia Maaza, Olivier Déforges, Amina Serir
- article
- Periodica Polytechnica Electrical Engineering and Computer Science, 2022, 66 (4), pp.361-369. ⟨10.3311/PPee.20256⟩
- Accès au texte intégral et bibtex
-
- titre
- An organic ambipolar charge trapping non-volatile memory device based on double heterojunctions
- auteur
- Peng Zhang, Emmanuel Jacques, Laurent Pichon, Olivier Bonnaud
- article
- Thin Solid Films, 2022, 759, pp.139458. ⟨10.1016/j.tsf.2022.139458⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of weak ferroelectricity dielectric response in PbZrO3 antiferroelectric thin films
- auteur
- Mamadou Coulibaly, Caroline Borderon, Raphaël Renoud, Hartmut Gundel
- article
- Journal of Materials Science: Materials in Electronics, 2022, 33 (28), pp.22580-22587. ⟨10.1007/s10854-022-09036-5⟩
- Accès au bibtex
-
- titre
- Wave Engineering meets Information Science: How to Fully Reap the Potential of Artificial Intelligence for Programmable Metamaterials
- auteur
- Philipp del Hougne
- article
- La Revue de l'électricité et de l'électronique, 2022
- Accès au texte intégral et bibtex
-
- titre
- Accelerated convergence with improved robustness for discrete-time parameter estimation
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Jian Wang
- article
- Systems and Control Letters, 2022, 55 (12), pp.324-329. ⟨10.1016/j.sysconle.2022.105344⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling of High-Current Polycrystalline Silicon Thin Film Transistors by Incorporating Buried Electrode
- auteur
- Peng Zhang, Emmanuel Jacques, Regis Rogel, Laurent Pichon, Olivier Bonnaud
- article
- Journal of Electronic Materials, 2022, 51 (10), pp.5635-5643. ⟨10.1007/s11664-022-09818-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband Dual-Circularly-Polarized Reflect-Arrays Based on Dual-Functional-Layer Cells With Berry-Phase Compensation at X-Band
- auteur
- Yuan Li, Zhi Hao Jiang, Xuanfeng Tong, Fan Wu, Nan Shen, Ronan Sauleau, Wei Hong
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (10), pp.9924-9929. ⟨10.1109/TAP.2022.3184536⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface-based Filters for High Data Rate THz Wireless Communications: Experimental Validation of a 14 Gbps OOK and 104 Gbps QAM-16 Wireless Link in the 300 GHz band
- auteur
- D. Pirrone, A. Ferraro, D. Zografopoulos, W. Fuscaldo, P. Szriftgiser, Guillaume Ducournau, R. Beccherelli
- article
- IEEE Transactions on Wireless Communications, 2022, 21 (10), pp.8688-8697. ⟨10.1109/TWC.2022.3168399⟩
- Accès au bibtex
-
- titre
- Noise-Adaptive Intelligent Programmable Meta-Imager
- auteur
- Chenqi Qian, Philipp del Hougne
- article
- Intelligent Computing, 2022
- Accès au texte intégral et bibtex
-
- titre
- Learning-Enhanced Adaptive Robust GNSS Navigation in Challenging Environments
- auteur
- Yi Ding, Paul Chauchat, Gaël Pages, Philippe Asseman
- article
- IEEE Robotics and Automation Letters, 2022, 7 (4), pp.9905-9912. ⟨10.1109/LRA.2022.3192889⟩
- Accès au bibtex
-
- titre
- Grating Lobes Reduction in an Array Antenna Using Curved Disc Monopoles
- auteur
- Asmae Hachi, Hassan Lebbar, Mohamed Himdi
- article
- Elektrika , 2022
- Accès au bibtex
-
- titre
- Unsupervised Speech Enhancement using Dynamical Variational Autoencoders
- auteur
- Xiaoyu Bie, Simon Leglaive, Xavier Alameda-Pineda, Laurent Girin
- article
- IEEE/ACM Transactions on Audio, Speech and Language Processing, 2022, 30, pp.2993 - 3007. ⟨10.1109/TASLP.2022.3207349⟩
- Accès au texte intégral et bibtex
-
- titre
- Design, Implementation, and Analysis of a Block Cipher Based on a Secure Chaotic Generator
- auteur
- Fethi Dridi, Safwan El Assad, Wajih El Hadj Youssef, Mohsen Machhout, René Lozi
- article
- Applied Sciences, 2022, 12 (19), pp.9252. ⟨10.3390/app12199952⟩
- Accès au texte intégral et bibtex
-
- titre
- Exposure Levels Induced in Curved Body Parts at mmWaves
- auteur
- Giulia Sacco, Zain Haider, Maxim Zhadobov
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2022, 6 (3), pp.413-419. ⟨10.1109/JERM.2022.3178604⟩
- Accès au texte intégral et bibtex
-
- titre
- Methodology for Improving Scanning Performance Loading an Array Element with a 3D All-Metal WAIM
- auteur
- Diego Bermúdez-Martín, Raphaël Gillard, Carlos Molero, Hervé Legay, María García-Vigueras
- article
- Electronics, 2022, 11 (18), pp.2848. ⟨10.3390/electronics11182848⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Compressing PAPR-Reduced OFDM IQ Samples for Cloud Radio Access Network
- auteur
- A. Shehata, Philippe Mary, M. Crussiere
- article
- IEEE Transactions on Broadcasting, 2022, 68 (3), pp.765-779. ⟨10.1109/TBC.2022.3176198⟩
- Accès au texte intégral et bibtex
-
- titre
- Equivalent Circuit Model of a Pulse Planar Transformer and Endurance to Abrupt Dv/dt
- auteur
- Loreine Makki, Antoine Laspeyres, Corentin Darbas, Anne-Sophie Descamps, Marc-Anthony Mannah, Christophe Batard, Nicolas Ginot
- article
- IEEE Transactions on Power Electronics, 2022, 37 (9), pp.10585-10593. ⟨10.1109/TPEL.2022.3160278⟩
- Accès au texte intégral et bibtex
-
- titre
- Full Wave Modeling of Electromagnetic Scattering by an Object Buried between Two Rough Surfaces: Application to GPR
- auteur
- Marc Songolo, Nicolas Pinel, Christophe Bourlier
- article
- Progress In Electromagnetics Research B, 2022, 96, pp.133-152. ⟨10.2528/PIERB22020807⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface‐Programmable Wireless Network‐On‐Chip (Adv. Sci. 26/2022)
- auteur
- Mohammadreza F. Imani, Sergi Abadal, Philipp del Hougne
- article
- Advanced Science, 2022, 9 (26), pp.2270167. ⟨10.1002/advs.202270167⟩
- Accès au texte intégral et bibtex
-
- titre
- Solving Sub-Wavelength Lattice Reduction in Full-Metal Front-Ends for Dual-Polarized Active Antennas
- auteur
- Lucas Polo-López, Esteban Menargues, Santiago Capdevila, Giovanni Toso, Maria Garcia-Vigueras
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (9), pp.7413-7426. ⟨10.1109/TAP.2022.3198512⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrically Small Wideband Monopole Antenna Partially Loaded with Low Loss Magneto-Dielectric Material
- auteur
- Aladdin Kabalan, Ala Sharaiha, Anne-Claude Tarot
- article
- Journal of Magnetism and Magnetic Materials, 2022, 2 (3), pp.229-238. ⟨10.3390/magnetism2030017⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface-Programmable Wireless Network-On-Chip
- auteur
- Mohammadreza F. Imani, Sergi Abadal, Philipp del Hougne
- article
- Advanced Science, 2022, 9 (26), pp.2201458. ⟨10.1002/advs.202201458⟩
- Accès au bibtex
-
- titre
- S-matrix-based bandpass negative group delay innovative model of inverted parallel arm distributed topology
- auteur
- Samuel Ngoho, Mariam Deme Camara, Yuandan Dong, Alexandre Douyère, Nour Mohammad Murad, Preeti Thakur, Atul Thakur, Rivo Randriatsiferana, Blaise Ravelo
- article
- Journal of Electromagnetic Waves and Applications, 2022, 36 (12), pp.1745-1759. ⟨10.1080/09205071.2022.2043191⟩
- Accès au texte intégral et bibtex
-
- titre
- Simplified green-emitting single-layer phosphorescent organic light-emitting diodes with an external quantum efficiency > 22%
- auteur
- Fabien Lucas, Clément Brouillac, Sadiara Fall, Nicolas Zimmerman, Denis Tondelier, Bernard Geffroy, Nicolas Leclerc, Thomas Heiser, Christophe Lebreton, Emmanuel Jacques, Cassandre Quinton, Joëlle Rault-Berthelot, Cyril Poriel
- article
- Chemistry of Materials, 2022, 34 (18), pp.8345-8355. ⟨10.1021/acs.chemmater.2c01909⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Characterization of Multitone EM Immunity of Integrated Oscillators Under Thermal Stress
- auteur
- Qazi Mashaal Khan, Lokesh Devaraj, Richard Perdriau, Alastair Ruddle, Tim Claeys, Mohamed Ramdani, Mohsen Koohestani
- article
- IEEE Access, 2022, 10, pp.83898-83915. ⟨10.1109/ACCESS.2022.3197659⟩
- Accès au texte intégral et bibtex
-
- titre
- Adversarial example detection for DNN models: a review and experimental comparison
- auteur
- Ahmed Aldahdooh, Wassim Hamidouche, Sid Ahmed Fezza, Olivier Déforges
- article
- Artificial Intelligence Review, 2022, 9 (6), pp.161269-161282. ⟨10.1007/s10462-021-10125-w⟩
- Accès au bibtex
-
- titre
- Image encryption based on fractional chaotic pseudo-random number generator and DNA encryption method
- auteur
- Chunxiao Yang, Ina Taralova, Safwan El Assad, Jean-Jacques Loiseau
- article
- Nonlinear Dynamics, 2022, 109 (3), pp.2103-2127. ⟨10.1007/s11071-022-07534-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Anti-reflection structure for perfect transmission through complex media
- auteur
- Michael Horodynski, Matthias Kühmayer, Clément Ferise, Stefan Rotter, Matthieu Davy
- article
- Nature, 2022, 607 (7918), pp.281-286. ⟨10.1038/s41586-022-04843-6⟩
- Accès au bibtex
-
- titre
- Multiple Transform Selection Concept Modeling and Implementation Using Dynamic and Parameterized Dataflow Graphs
- auteur
- Naouel Haggui, Fatma Belghith, Wassim Hamidouche, Nouri Masmoudi, Jean-François Nezan
- article
- Journal of Signal Processing Systems, 2022, 94 (7), pp.709-720. ⟨10.1007/s11265-021-01725-4⟩
- Accès au texte intégral et bibtex
-
- titre
- One-bit quantization is good for programmable coding metasurfaces
- auteur
- Ya Shuang, Hanting Zhao, Menglin Wei, Qiang Cheng, Shi Jin, Tiejun Cui, Philipp del Hougne, Lianlin Li
- article
- Science China Information Sciences, 2022, 65 (7), pp.172301. ⟨10.1007/s11432-022-3471-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Time-delay estimation by enhanced orthogonal matching pursuit method for thin asphalt pavement with similar permittivity
- auteur
- Meng Sun, Jingjing Pan, Yide Wang, Xiaofei Zhang, Xiaoting Xiao, Cyrille Fauchard, Cédric Le Bastard
- article
- IEEE Transactions on Intelligent Transportation Systems, 2022, 23 (7), pp.8940 - 8948. ⟨10.1109/TITS.2021.3088312⟩
- Accès au texte intégral et bibtex
-
- titre
- A Dynamic Obstacle Avoidance Method for Unmanned Surface Vehicle under the International Regulations for Preventing Collisions at Sea
- auteur
- Diju Gao, Peng Zhou, Weifeng Shi, Tianzhen Wang, Yide Wang
- article
- Journal of Marine Science and Engineering, 2022, 10 (7), pp.901. ⟨10.3390/jmse10070901⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Wideband Dual-Circularly-Polarized Shared-Aperture Reflectarrays with a Single Functional Substrate for K-/Ka-Band Applications
- auteur
- X. Tong, Z Jiang, Y. Li, F. Wu, R Sauleau, W. Hong
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (7), pp.5404-5417. ⟨10.1109/TAP.2022.3145484⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis, rigorous design and characterization of a three-layer anisotropic transmitarray at 300 GHz
- auteur
- Orestis Koutsos, Francesco Manzillo, Antonio Clemente, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (7), pp.5437-5446. ⟨10.1109/TAP.2022.3145506⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning-Based Matching Medium Design for Implant Communications
- auteur
- E. Cil, C. Cadir, O.A. Kati, H. Birkan Yilmaz, S. Dumanli
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (7), pp.5199-5208. ⟨10.1109/TAP.2022.3140497⟩
- Accès au texte intégral et bibtex
-
- titre
- Biased Estimation of Antenna Radiation Efficiency Within Reverberation Chambers Due to Unstirred Field: Role of Antenna Stirring
- auteur
- Wafa Krouka, Francois Sarrazin, Jérôme Sol, Philippe Besnier, Elodie Richalot
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (10), pp.9742-9751. ⟨10.1109/TAP.2022.3177445⟩
- Accès au texte intégral et bibtex
-
- titre
- Self-identification algorithm for zeolite-based thermal capacity gas sensor
- auteur
- M. Pouliquen, M. Denoual, C. Jorel, C. Radu, D. Robbes, J. Grand, H. Awala, S. Mintova, Maxime Harnois, Olivier de Sagazan, S. Inoue, E. Lebrasseur, K. Yamada, Y. Okamoto, A. Mita-Tixier, Y. Mita
- article
- Microsystem Technologies, 2022, 28 (6), pp.1313-1319. ⟨10.1007/s00542-018-3883-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparative study of the performances of opaque and transparent patch antennas
- auteur
- Abdoulaye Sissoko, Anne Chousseaud, Tchanguiz Razban, Marc Brunet, S. Ginestar, Badié Diourté
- article
- Open Journal of Antennas and Propagation, 2022, 10 (2), pp.17-28. ⟨10.4236/ojapr.2022.102002⟩
- Accès au bibtex
-
- titre
- On-line estimation of the parameters of the windmill power coefficient
- auteur
- Alexey Bobtsov, Romeo Ortega, Stanislav Aranovskiy, Rafael Cisneros
- article
- Systems and Control Letters, 2022, 164, pp.105242. ⟨10.1016/j.sysconle.2022.105242⟩
- Accès au bibtex
-
- titre
- Dynamic Control of the Shielding Effectiveness of Optically Transparent Screens
- auteur
- Quentin Tricas, Xavier Castel, Philippe Besnier, Claire Le Paven, Patrice Foutrel
- article
- IEEE Transactions on Electromagnetic Compatibility, 2022, 64 (3), pp.702-709. ⟨10.1109/TEMC.2022.3146245⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised cross-database micro-expression recognition based on distribution adaptation
- auteur
- Bing Li, Ying Zhou, Ruixue Xiao, Jianchao Wang, Xianye Ben, Kidiyo Kpalma, Hongchao Zhou
- article
- Multimedia Systems, 2022, 28 (3), pp.1099-1116. ⟨10.1007/s00530-022-00896-9⟩
- Accès au bibtex
-
- titre
- Integrated symmetrical organic/semiconductor structures produced by hybrid processes: photonic micro-resonators cavities
- auteur
- Rémi Sevestre, Nathalie Coulon, Lucas Garnier, Alain Moréac, Hervé Cormerais, Laurent Le Brizoual, France Le Bihan, Didier Balcon, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2022, Organic Electronics and Photonics: Fundamentals and Devices, 12149, pp.1214907.1-1214907.7. ⟨10.1117/12.2617999⟩
- Accès au texte intégral et bibtex
-
- titre
- Exceptional Points of P T -Symmetric Reflectionless States in Complex Scattering Systems
- auteur
- Clément Ferise, Philipp del Hougne, Simon Félix, Vincent Pagneux, Matthieu Davy
- article
- Physical Review Letters, 2022, 128 (20), pp.203904. ⟨10.1103/PhysRevLett.128.203904⟩
- Accès au texte intégral et bibtex
-
- titre
- Recent Developments for the Detection of Escherichia Coli Biosensors Based on Nano-Objects-A Review
- auteur
- Yousra Benserhir, Anne-Claire Salaün, Florence Geneste, Laurent Pichon, Anne Jolivet-Gougeon
- article
- IEEE Sensors Journal, 2022, 22 (10), pp.9177-9188. ⟨10.1109/JSEN.2022.3160695⟩
- Accès au texte intégral et bibtex
-
- titre
- Dielectric, piezoelectric and electrostrictive properties of antiferroelectric lead-zirconate thin films
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Micka Bah, Stephane Ginestar, Hartmut Gundel
- article
- Journal of Alloys and Compounds, 2022, 914, pp.165340. ⟨10.1016/j.jallcom.2022.165340⟩
- Accès au bibtex
-
- titre
- D2C-Net: A Dual-branch, Dual-guidance and Cross-refine Network for Camouflaged Object Detection
- auteur
- K. Wang, H. Bi, Y. Zhang, C. Zhang, Z. Liu, S. Zheng
- article
- IEEE Transactions on Industrial Electronics, 2022, 69 (5), pp.5364-5374. ⟨10.1109/TIE.2021.3078379⟩
- Accès au texte intégral et bibtex
-
- titre
- Editorial Real-Time Implementation of VVC Standard for Consumer Electronic Devices
- auteur
- Wassim Hamidouche, Fernando Pescador, Thibaud Biatek, Edouard Francois
- article
- IEEE Transactions on Consumer Electronics, 2022, 68 (2), pp.93-95. ⟨10.1109/TCE.2022.3176714⟩
- Accès au bibtex
-
- titre
- Reconfigurable Dual-Band Capsule-Conformal Antenna Array for In-Body Bioelectronics
- auteur
- Denys Nikolayev, Anja K Skrivervik, John S Ho, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (5), pp.3749-3761. ⟨10.1109/TAP.2021.3138264⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware-Friendly Multiple Transform Selection Module for the VVC Standard
- auteur
- Wassim Hamidouche, Pierrick Philippe, Sid Ahmed Fezza, Mounir Haddou, Fernando Pescador, Daniel Menard
- article
- IEEE Transactions on Consumer Electronics, 2022, 68 (2), pp.96-106. ⟨10.1109/TCE.2022.3163345⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthetized inertial measurement units (IMUs) to evaluate the placement of wearable sensors on human body for motion recognition
- auteur
- Damien Hoareau, Gurvan Jodin, Pierre-Antoine Chantal, Sara Bretin, Jacques Prioux, Florence Razan
- article
- The Journal of Engineering, 2022, 2022 (5), pp.536-543. ⟨10.1049/tje2.12137⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced design and hardware implementation of a chaos-based block cipher for image protection
- auteur
- Mahdi Madani, Safwan El Assad, Fethi Dridi, René Lozi
- article
- Journal of Difference Equations and Applications, 2022, pp.1-21. ⟨10.1080/10236198.2022.2069496⟩
- Accès au bibtex
-
- titre
- Identification and Quantification of Glucosinolates and Phenolics in a Large Panel of Brassica napus Highlight Valuable Genetic Resources for Chemical Ecology and Breeding
- auteur
- Anani Amegan Missinou, Julie Ferreira de Carvalho, Nathalie Marnet, Thomas Delhaye, Oumayma Hamzaoui, David Abdel Sayed, Yann Guitton, Lionel Lebreton, Christophe Langrume, Anne Laperche, Régine Delourme, Maria M. Manzanares-Dauleux, Alain Bouchereau, Antoine Gravot
- article
- Journal of Agricultural and Food Chemistry, 2022, 70 (16), pp.5245-5261. ⟨10.1021/acs.jafc.1c08118⟩
- Accès au bibtex
-
- titre
- Revisiting model’s uncertainty and confidences for adversarial example detection
- auteur
- Ahmed Aldahdooh, Wassim Hamidouche, Olivier Déforges
- article
- Applied Intelligence, 2022, ⟨10.1007/s10489-022-03373-y⟩
- Accès au bibtex
-
- titre
- Spatiotemporal Features Fusion From Local Facial Regions for Micro-Expressions Recognition
- auteur
- Mouath Aouayeb, Catherine Soladie, Wassim Hamidouche, Kidiyo Kpalma, Renaud Seguier
- article
- Frontiers in Signal Processing, 2022, 2, ⟨10.3389/frsip.2022.861469⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy consumption improvement of a healthcare monitoring system: application to LoRaWAN
- auteur
- Houssein Taleb, Abbass Nasser, Guillaume Andrieux, Nour Charara, Eduardo Motta Cruz
- article
- IEEE Sensors Journal, 2022, 22 (7), pp.7288-7299. ⟨10.1109/JSEN.2022.3150716⟩
- Accès au texte intégral et bibtex
-
- titre
- A Comparative Performance Analysis of 6T & 9T SRAM Integrated Circuits: SOI vs. Bulk
- auteur
- Qazi Mashaal Khan, Richard Perdriau, Mohamed Ramdani, Mohsen Koohestani
- article
- IEEE Letters on Electromagnetic Compatibility Practice and Applications, 2022, 4 (2), pp.25-30. ⟨10.1109/LEMCPA.2022.3163963⟩
- Accès au texte intégral et bibtex
-
- titre
- Spherical Phaseless Antenna Measurements Experimental Validation of a Two-Antenna-Positions Procedure
- auteur
- Nicolas Mezieres, Laurent Le Coq, Benjamin Fuchs
- article
- IEEE Antennas and Wireless Propagation Letters, 2022, 21 (4), pp.813-817. ⟨10.1109/LAWP.2022.3149304⟩
- Accès au texte intégral et bibtex
-
- titre
- A Metasurface Radar Monopulse Antenna
- auteur
- Marco Faenzi, D. Gonzalez-Ovejero, Giovanni Petraglia, Giuliana d'Alterio, Fabio Pascariello, Roberto Vitiello, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (4), pp.2571-2579. ⟨10.1109/TAP.2021.3137206⟩
- Accès au texte intégral et bibtex
-
- titre
- Meta-programmable analog differentiator
- auteur
- Jérôme Sol, David R. Smith, Philipp del Hougne
- article
- Nature Communications, 2022, 13 (1), pp.1713. ⟨10.1038/s41467-022-29354-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient Joint Estimation of DOA, Range and Reflectivity in Near-Field by Using Mixed-Order Statistics and a Symmetric MIMO Array
- auteur
- A.M. Molaei, Philipp del Hougne, V. Fusco, O. Yurduseven
- article
- IEEE Transactions on Vehicular Technology, 2022, 71 (3), pp.2824-2842. ⟨10.1109/TVT.2021.3138251⟩
- Accès au texte intégral et bibtex
-
- titre
- Contactless Antenna Gain Pattern Estimation From Backscattering Coefficient Measurement Performed Within Reverberation Chambers
- auteur
- Ariston Reis, Francois Sarrazin, Philippe Besnier, Philippe Pouliguen, Elodie Richalot
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (3), pp.2318-2321. ⟨10.1109/TAP.2021.3111184⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive equivalent consumption minimization strategy for hybrid electric ship
- auteur
- Dijiu Gao, Haoyang Jiang, Weifeng Shi, Tianzhen Wang, Yide Wang
- article
- Energy Science & Engineering, 2022, 10 (3), pp.840-852. ⟨10.1002/ese3.1060⟩
- Accès au texte intégral et bibtex
-
- titre
- Selective Encryption of the Versatile Video Coding Standard
- auteur
- Mousa Farajallah, Guillaume Gautier, Wassim Hamidouche, Olivier Deforges, Safwan El Assad
- article
- IEEE Access, 2022, 10, pp.21821-21835. ⟨10.1109/ACCESS.2022.3149599⟩
- Accès au bibtex
-
- titre
- Calibration-free speckle matrix imaging
- auteur
- Philipp del Hougne
- article
- Light: Science and Applications, 2022, 11 (1), pp.33. ⟨10.1038/s41377-022-00723-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Exhaustive Search of Correspondences between Multimodal Remote Sensing Images Using Convolutional Neural Network
- auteur
- Mykhail Uss, Benoit Vozel, Vladimir Lukin, Kacem Chehdi
- article
- Sensors, 2022, 22 (3), pp.1231. ⟨10.3390/s22031231⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Comparison of PAM and CAP Modulation for Visible Light Communication Under Illumination Constraints
- auteur
- Robin Le Priol, M. Helard, Sylvain Haese, Sebastien Roy
- article
- IEEE Photonics Journal, 2022, 14 (2), pp.7315811. ⟨10.1109/JPHOT.2022.3148467⟩
- Accès au texte intégral et bibtex
-
- titre
- Manufacturing Guidelines for W-Band Full-Metal Waveguide Devices: Selecting the Most Appropriate Technology.
- auteur
- Pablo Sanchez-Olivares, Marta Ferreras, Eduardo Garcia Marin, Lucas Polo-López, Adrian Tamayo-Dominguez, Juan Corcoles, Jose Manuel Fernandez-Gonzalez, Jose Luis Masa-Campos, Jose Ramon Montejo-Garai, Jesus Maria Rebollar-Machain, Jorge Ruiz-Cruz, Manuel Sierra Castaner, Manuel Sierra Perez, Mariano Barba-Gea, Jose Luis Besada, Jesus Grajal
- article
- IEEE Antennas and Propagation Magazine, 2022, pp.2-16. ⟨10.1109/MAP.2022.3143435⟩
- Accès au bibtex
-
- titre
- Photonic-Enabled Beam Switching Mm-Wave Antenna Array
- auteur
- Alvaro José Pascual-Gracia, Muhsin Ali, Thomas Batte, Fabien Ferrero, Laurent Brochier, Olivier de Sagazan, Frederic van Dijk, Luis Enrique Garcia Munoz, Guillermo Carpintero, Ronan Sauleau, David Gonzalez-Ovejero
- article
- Journal of Lightwave Technology, 2022, 40 (3), pp.632-639. ⟨10.1109/JLT.2021.3124092⟩
- Accès au texte intégral et bibtex
-
- titre
- Low doping in-situ strategy leading to polysilicon based TFTs exhibiting high stability under stress effects and enhanced electrical performances
- auteur
- Mariem Zaghdoudi, Régis Rogel, Tayeb Mohammed-Brahim
- article
- Materials Research Express, 2022, 9 (2), pp.026405. ⟨10.1088/2053-1591/ac5276⟩
- Accès au texte intégral et bibtex
-
- titre
- Asymptotic modeling of three-dimensional radar backscattering from oil slicks on sea surfaces
- auteur
- Nicolas Pinel, Christophe Bourlier, Irina Sergievskaya, Nicolas Longépé, Guillaume Hajduch
- article
- Remote Sensing, 2022, 14 (4), pp.981. ⟨10.3390/rs14040981⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-wave Heating in vitro: Local Microscale Temperature Measurements Correlated to Heat Shock Cellular Response
- auteur
- Rosa Orlacchio, Denys Nikolayev, Yann Le Page, Yves Le Drean, Maxim Zhadobov
- article
- IEEE Transactions on Biomedical Engineering, 2022, 69 (2), pp.840-848. ⟨10.1109/TBME.2021.3108038⟩
- Accès au texte intégral et bibtex
-
- titre
- Minimization of Energy Consumption for OOK Transmitter Through Minimum Energy Coding
- auteur
- Yue Peng, Guillaume Andrieux, Jean-François Diouris
- article
- Wireless Personal Communications, 2022, 122 (3), pp.2219-2233. ⟨10.1007/s11277-021-08989-w⟩
- Accès au bibtex
-
- titre
- Highly Efficient Broadband Pyramidal Horn Antenna With Integrated H-Plane Power Division
- auteur
- Charalampos Stoumpos, Jean-Philippe Fraysse, George Goussetis, Cebrian Garcia Gonzalez, Ronan Sauleau, Hervé Legay
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (2), pp.1499-1504. ⟨10.1109/TAP.2021.3111276⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Mean-Shift Algorithm for Data Clustering
- auteur
- Claude Cariou, Steven Le Moan, Kacem Chehdi
- article
- IEEE Access, 2022, 10, pp.14575-14585. ⟨10.1109/ACCESS.2022.3147951⟩
- Accès au texte intégral et bibtex
-
- titre
- 60 GHz beam-tilting coplanar slotted SIW antenna array
- auteur
- Hamsakutty Vettikalladi, Waleed Tariq Sethi, Mohamed Himdi, Majeed Alkanhal
- article
- Frequenz, 2022, 76 (1-2), pp.29-36. ⟨10.1515/freq-2021-0069⟩
- Accès au bibtex
-
- titre
- Versatile Video Coding Standard: A Review from Coding Tools to Consumers Deployment
- auteur
- Wassim Hamidouche, Thibaud Biatek, Mohsen Abdoli, Edouard Francois, Fernando Pescador, Milos Radosavljevic, Daniel Menard, Mickael Raulet
- article
- IEEE consumer electronics magazine, 2022, 11 (5), pp.10-24. ⟨10.1109/MCE.2022.3144545⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive Loop Filter Hardware Design for 4K ASIC VVC Decoders
- auteur
- Ibrahim Farhat, Wassim Hamidouche, Adrien Grill, Daniel Menard, Olivier Deforges
- article
- IEEE Transactions on Consumer Electronics, 2022, 68 (2), pp.107-118. ⟨10.1109/TCE.2022.3146272⟩
- Accès au texte intégral et bibtex
-
- titre
- Perceptual Quality Assessment of HEVC and VVC Standards for 8K Video
- auteur
- Charles Bonnineau, Wassim Hamidouche, Jerome Fournier, Naty Sidaty, Jean-Francois Travers, Olivier Deforges
- article
- IEEE Transactions on Broadcasting, 2022, 68 (1), pp.246-253. ⟨10.1109/TBC.2022.3140710⟩
- Accès au texte intégral et bibtex
-
- titre
- Optically Transparent Tri-Wideband Mosaic Frequency Selective Surface with Low Cross-Polarisation
- auteur
- Nur Biha Mohamed Nafis, Mohamed Himdi, Mohamad Kamal Rahim, Osman Ayop, Raimi Dewan
- article
- Materials, 2022, 15 (2), ⟨10.3390/ma15020622⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint Venture of Metal Cluster and Amphiphilic Cationic Minidendron Resulting in Near Infrared Emissive Lamellar Ionic Liquid Crystals
- auteur
- Max Ebert, Irene Carrasco, Noee Dumait, Wolfgang Frey, Angelika Baro, Anna Zens, Matthias Lehmann, Gregory Taupier, Stéphane Cordier, Emmanuel Jacques, Yann Molard, Sabine Laschat
- article
- Chemistry - A European Journal, 2022, 28 (3), ⟨10.1002/chem.202103446⟩
- Accès au bibtex
-
- titre
- Visual Attention-aware High Dynamic Range Quantization for HEVC Video Coding
- auteur
- Yi Liu, Wassim Hamidouche, Naty Sidaty, Olivier Deforges, Cheolkon Jung
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2022, 32 (7), pp.4296-4311. ⟨10.1109/TCSVT.2021.3131474⟩
- Accès au bibtex
-
- titre
- Stochastic Geometry-based Analysis of the Impact of Underlying Uncorrelated IoT Networks on LoRa Coverage
- auteur
- Romain Chevillon, Guillaume Andrieux, Laurent Clavier, Jean-François Diouris
- article
- IEEE Access, 2022, 10, pp.8790-8803. ⟨10.1109/ACCESS.2022.3141540⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband 3D-Printed Polarizer based on Metallic Transverse Electro-Magnetic Unit-Cells
- auteur
- C. Molero, H. Legay, T. Pierre, M. García-Vigueras
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (6), pp.4632-4644. ⟨10.1109/TAP.2022.3145435⟩
- Accès au bibtex
-
- titre
- A PCA-PD fusion method for change detection in remote sensing multi temporal images
- auteur
- Soltana Achour, Miloud Chikr Elmezouar, Nasreddine Taleb, Kidiyo Kpalma, Joseph Ronsin
- article
- Geocarto International, 2022, 37 (1), pp.196-213. ⟨10.1080/10106049.2020.1713228⟩
- Accès au bibtex
-
- titre
- High-Resolution Model of Human Skin Appendages for Electromagnetic Dosimetry at Millimeter Waves
- auteur
- Zain Haider, Yves Le Drean, Giulia Sacco, Denys Nikolayev, Ronan Sauleau, Maxim Zhadobov
- article
- IEEE Journal of Microwaves, 2022, 2 (1), pp.214 - 227. ⟨10.1109/jmw.2021.3126712⟩
- Accès au texte intégral et bibtex
-
- titre
- A new on-line exponential parameter estimator without persistent excitation
- auteur
- M. Korotina, J.G. G Romero, Stanislav Aranovskiy, A. Bobtsov, R. Ortega
- article
- Systems and Control Letters, 2022, 159, pp.105079. ⟨10.1016/j.sysconle.2021.105079⟩
- Accès au texte intégral et bibtex
-
- titre
- Self-powered communicating wireless sensor with flexible aero-piezoelectric energy harvester
- auteur
- Julien Le Scornec, Benoit Guiffard, Raynald Seveno, Vincent Le Cam, Stephane Ginestar
- article
- Renewable Energy, 2022, 184, pp.551-563. ⟨10.1016/j.renene.2021.11.113⟩
- Accès au texte intégral et bibtex
-
- titre
- Rotational Doppler shift of the light transmitted behind a rotating object with rotational symmetries: rotational Doppler shift of the transmitted light
- auteur
- Olivier Emile, Janine Emile, Christian Brousseau, Tangi Le Guennic, Pu Jian, Guillaume Labroille
- article
- The European Physical Journal D : Atomic, molecular, optical and plasma physics, 2022, 76 (1), pp.8. ⟨10.1140/epjd/s10053-022-00338-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Rotational Doppler effect on reflection upon an ideal rotating propeller
- auteur
- Olivier Emile, J. Emile, Christian Brousseau, T. Le Guennic, P. Jian, G. Labroille
- article
- Journal of the Optical Society of America B, 2022, 39 (7), pp.1945-1949. ⟨10.1364/JOSAB.461445⟩
- Accès au texte intégral et bibtex
-
- titre
- Decentralized Adaptive Spectrum Learning in Wireless IoT Networks based on Channel Quality Information
- auteur
- Ahmed Abdelghany, B. Uguen, C. Moy, Dominique Lemur
- article
- IEEE Internet of Things Journal, 2022, ⟨10.1109/JIOT.2022.3167016⟩
- Accès au texte intégral et bibtex
-
- titre
- Simplified spatial smoothing for DOA estimation of coherent signals
- auteur
- Jingjing Pan, Meng Sun, Yide Wang, Xiaofei Zhang, Jianfeng Li, Benzhou Jin
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2022, pp.1-1. ⟨10.1109/TCSII.2022.3214321⟩
- Accès au bibtex
-
- titre
- Tropical forest vertical structure characterization: From GEDI to P-band SAR tomography
- auteur
- Yen-Nhi Ngo, Yue Huang, Dinh Ho Tong Minh, Laurent Ferro-Famil, Ibrahim Fayad, Nicolas Baghdadi
- article
- IEEE Geoscience and Remote Sensing Letters, 2022, 19, pp.7004705. ⟨10.1109/LGRS.2022.3208744⟩
- Accès au texte intégral et bibtex
-
- titre
- Secure proxy MIPv6-based mobility solution for LPWAN
- auteur
- Hassan Jradi, Fabienne Nouvel, Abed Ellatif Samhat, Jean-Christophe Prévotet, Mohamad Mroue
- article
- Wireless Networks, 2022, ⟨10.1007/s11276-022-03097-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-autonomous UHF RFID sensor for tire pressure monitoring system
- auteur
- Julien Sourice, Gilbert Kaboré, Nathan Sauger, Abdelaziz Hamdoun, Mohamed Latrach
- article
- e-Prime - Advances in Electrical Engineering, Electronics and Energy, 2022, 2, pp.100072. ⟨10.1016/j.prime.2022.100072⟩
- Accès au texte intégral et bibtex
-
- titre
- Integrated Slotted Serpentine Waveguide to Enhance Radiation Properties and Efficiency
- auteur
- Mohamed Himdi, Yassine Aouial, Olivier Lafond
- article
- IEEE Access, 2022, 10, pp.51093-51099. ⟨10.1109/ACCESS.2022.3172952⟩
- Accès au texte intégral et bibtex
-
- titre
- Controlling the Spatiotemporal Response of Transient Reverberating Sound
- auteur
- Qiyuan Wang, Philipp del Hougne, Guancong Ma
- article
- Physical Review Applied, 2022, 17 (4), pp.044007. ⟨10.1103/PhysRevApplied.17.044007⟩
- Accès au texte intégral et bibtex
-
- titre
- On the dynamic monitoring of the variations in blood viscosity by resonant optical signal
- auteur
- Lucas Garnier, Hervé Lhermite, Timothée Labouret, Arnaud Saint-Jalmes, Hervé Cormerais, Véronique Vie, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2022, Optical Sensing and Detection, 12139, pp.121390A.1-121390A.8. ⟨10.1117/12.2617996⟩
- Accès au texte intégral et bibtex
-
- titre
- Bandpass-Type NGD Design Engineering and Uncertainty Analysis of RLC-Series Resonator Based Passive Cell
- auteur
- Yves Constant Mombo Boussougou, Eric Jean Roy Sambatra, Antonio Jaomiary, Lucius Ramifidisoa, Nour Mohammad Murad, Jean-Paterne Kouadio, Samuel Ngoho, Frank Elliot Sahoa, Sahbi Baccar, Rivo Randriatsiferana
- article
- Progress In Electromagnetics Research C, 2022, 121, pp.65-82. ⟨10.2528/PIERC22011705⟩
- Accès au bibtex
-
- titre
- BaNet3F: a Bayesian network approach to automatic binary frame format identification
- auteur
- Pierre-Samuel Greau-Hamard, Moise Djoko-Kouam, Yves Louët
- article
- Contemporary Engineering Sciences, 2022, 15 (1), pp.85-104. ⟨10.12988/ces.2022.91940⟩
- Accès au bibtex
-
- titre
- Low-Complexity 3D InISAR Imaging Using a Compressive Hardware Device and a Single Receiver
- auteur
- Mor Diama Lo, Matthieu Davy, Laurent Ferro-Famil
- article
- Sensors, 2022, 22 (15), pp.5870. ⟨10.3390/s22155870⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystallographic orientation dependence of ferroelectric domain walls in antiferroelectric lead zirconate thin films
- auteur
- Mamadou Coulibaly, Caroline Borderon, Raphaël Renoud, Hartmut Gundel
- article
- Current Applied Physics, 2022, 39, pp.283-288. ⟨10.1016/j.cap.2022.05.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Tribological behavior of steel-steel pair: Influence of roughness and cutting parameters
- auteur
- H. Bouhabila, A. Bouchoucha, A. Merabet, Ratiba Benzerga, C. Le Paven
- article
- Journal of Mechanical Engineering and Sciences, 2022, 16 (3), pp.9043-9055. ⟨10.15282/jmes.16.3.2022.07.0716⟩
- Accès au texte intégral et bibtex
-
- titre
- Multitask Learning Based Intra-Mode Decision Framework for Versatile Video Coding
- auteur
- Naima Zouidi, Amina Kessentini, Wassim Hamidouche, Nouri Masmoudi, Daniel Menard
- article
- Electronics, 2022, 11 (23), pp.4001. ⟨10.3390/electronics11234001⟩
- Accès au texte intégral et bibtex
-
- titre
- Multimodal transistors as ReLU activation functions in physical neural network classifiers
- auteur
- Isin Surekcigil Pesch, Eva Bestelink, Olivier de Sagazan, Adnan Mehonic, Radu A. Sporea
- article
- Scientific Reports, 2022, 12 (1), ⟨10.1038/s41598-021-04614-9⟩
- Accès au texte intégral et bibtex
-
- titre
- SECURE-GEGELATI Always-On Intrusion Detection through GEGELATI Lightweight Tangled Program Graphs
- auteur
- Nicolas Sourbier, Karol Desnos, Thomas Guyet, Frédéric Majorczyk, Olivier Gesny, Maxime Pelcat
- article
- Journal of Signal Processing Systems, 2022, Design and Architectures for Signal and Image Processing 2021, 94 (7), pp.753-770. ⟨10.1007/s11265-021-01728-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Elucidation of the Density of States for Polycrystalline Silicon Vertical Thin-Film Transistors
- auteur
- Peng Zhang, Emmanuel Jacques, Regis Rogel, Laurent Pichon, Olivier Bonnaud
- article
- IEEE Transactions on Electron Devices, 2022, 69 (6), pp.3175-3180. ⟨10.1109/TED.2022.3167938⟩
- Accès au texte intégral et bibtex
-
- titre
- Time Reversal Communications With Channel State Information Estimated From Impedance Modulation at the Receiver
- auteur
- K. Brahima Yeo, Cecile Leconte, Philipp del Hougne, Philippe Besnier, Matthieu Davy
- article
- IEEE Access, 2022, 10, pp.91119-91126. ⟨10.1109/ACCESS.2022.3201559⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Multiband Band-Pass Filters Based on Novel Associated Band-Stop Resonators
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier
- article
- Progress In Electromagnetics Research Letters, 2022, 103, pp.65-72. ⟨10.2528/PIERL22011104⟩
- Accès au bibtex
-
- titre
- Generalized Design Methodology of Highly Efficient Quad-Furcated Profiled Horns with Larger Apertures
- auteur
- Charalampos Stoumpos, Jean-Philippe Fraysse, George Goussetis, Ronan Sauleau, Herve Legay
- article
- Progress in Electromagnetics Research M, 2022, 111, pp.1-12. ⟨10.2528/PIERM22041107⟩
- Accès au bibtex
-
- titre
- Multivariate Statistical Modeling for Multitemporal SAR Change Detection Using Wavelet Transforms and Integrating Subband Dependencies
- auteur
- Nizar Bouhlel, Vahid Akbari, Stephane Meric, David Rousseau
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2022, 60, pp.1-18. ⟨10.1109/TGRS.2022.3215783⟩
- Accès au bibtex
-
- titre
- Co-Cross-Polarization Coherence Over the Sea Surface From Sentinel-1 SAR Data: Perspectives for Mission Calibration and Wind Field Retrieval
- auteur
- N. Longepe, A.A. Mouche, Laurent Ferro-Famil, R. Husson
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2022, 60, pp.1-16. ⟨10.1109/TGRS.2021.3055979⟩
- Accès au texte intégral et bibtex
-
- titre
- Discrete Atomic Transform-Based Lossy Compression of Three-Channel Remote Sensing Images with Quality Control
- auteur
- Victor Makarichev, Irina Vasilyeva, Vladimir Lukin, Benoit Vozel, Andrii Shelestov, Nataliia Kussul
- article
- Remote Sensing, 2022, 14 (1), pp.125. ⟨10.3390/rs14010125⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenna Characterization from a Small Number of Far-Field Measurements via Reduced-Order Models
- auteur
- N. Mezieres, M. Mattes, Benjamin Fuchs
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (4), pp.2422-2430. ⟨10.1109/TAP.2021.3118711⟩
- Accès au texte intégral et bibtex
-
- titre
- A Finite Element-Based Characteristic Mode Analysis
- auteur
- Konstantinos D. Paschaloudis, Constantinos L. Zekios, Stavros Georgakopoulos, George A. Kyriacou
- article
- Ieee Open Journal of Antennas and Propagation, 2022, 3, pp.287-303. ⟨10.1109/OJAP.2022.3150594⟩
- Accès au texte intégral et bibtex
-
- titre
- Synergistic Effect of Multi-Tone EMI on the Conducted Immunity of Integrated Oscillators
- auteur
- Qazi Mashaal Khan, Lokesh Devaraj, Mohsen Koohestani, Alastair R Ruddle, Mohamed Ramdani, Richard Perdriau
- article
- IEEE Letters on Electromagnetic Compatibility Practice and Applications, 2022, 4 (3), pp.77-82. ⟨10.1109/LEMCPA.2022.3175433⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectrum Sensing Using Software Defined Radio for Cognitive Radio Networks: A Survey
- auteur
- Julio Manco-Vasquez, Iyad Dayoub, Amor Nafkha, Mohammad Alibakhshikenari, Hayfa Ben Thameur
- article
- IEEE Access, 2022, 10, pp.131887-131908. ⟨10.1109/ACCESS.2022.3229739⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase-Only Synthesis for Large Planar Arrays via Zernike Polynomials and Invasive Weed Optimization
- auteur
- P. Angeletti, L. Berretti, S. Maddio, G. Pelosi, S. Selleri, G. Toso
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (3), pp.1954 - 1964. ⟨10.1109/TAP.2021.3119113⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatiotemporal Analysis of Electromagnetic Field Coherence in Complex Media
- auteur
- Thomas Fromenteze, Matthieu Davy, Okan Yurduseven, Yann Marie-Joseph, Cyril Decroze
- article
- Physical Review Applied, 2022, 17 (5), pp.054039. ⟨10.1103/PhysRevApplied.17.054039⟩
- Accès au texte intégral et bibtex
-
- titre
- Quad-Furcated Profiled Horn: The Next Generation Highly Efficient GEO Antenna in Additive Manufacturing
- auteur
- Charalampos Stoumpos, Jean-Philippe Fraysse, George Goussetis, Ronan Sauleau, Hervé Legay
- article
- Ieee Open Journal of Antennas and Propagation, 2022, 3, pp.69-82. ⟨10.1109/OJAP.2021.3134833⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-Low-Profile Continuous Transverse Stub Array for SatCom Applications
- auteur
- Michele del Mastro, Adham Mahmoud, Thomas Potelon, Ronan Sauleau, Gilles Quagliaro, Anthony Grbic, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2022, 70 (6), pp.4459-4471. ⟨10.1109/TAP.2022.3142369⟩
- Accès au texte intégral et bibtex
-
- titre
- BPG-Based Automatic Lossy Compression of Noisy Images with the Prediction of an Optimal Operation Existence and Its Parameters
- auteur
- Bogdan Kovalenko, Vladimir Lukin, Sergii Kryvenko, Victoriya Naumenko, Benoit Vozel
- article
- Applied Sciences, 2022, 12 (15), pp.7555. ⟨10.3390/app12157555⟩
- Accès au texte intégral et bibtex
-
- titre
- Intelligent meta-imagers: From compressed to learned sensing
- auteur
- Chloe Saigre-Tardif, Rashid Faqiri, Hanting Zhao, Lianlin Li, Philipp del Hougne
- article
- Applied Physics Reviews, 2022, 9 (1), pp.011314. ⟨10.1063/5.0076022⟩
- Accès au texte intégral et bibtex
-
- titre
- On the asymptotic behavior of linearly constrained filters for robust multi-channel signal processing
- auteur
- Paul Chauchat, J. Vilà-Valls, E. Chaumette
- article
- Signal Processing, 2022, 196, pp.108500. ⟨10.1016/j.sigpro.2022.108500⟩
- Accès au texte intégral et bibtex
-
- titre
- mpNet: variable depth unfolded neural network for massive MIMO channel estimation
- auteur
- T. Yassine, L. Le Magoarou
- article
- IEEE Transactions on Wireless Communications, 2022, 21 (7), pp.5703 - 5714. ⟨10.1109/TWC.2022.3142737⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial Correlation of CSI in Real LoRa Measurement
- auteur
- Ahmed Abdelghany, Bernard Uguen, Christophe Moy, Dominique Lemur
- article
- World Academy of Science, Engineering and Technology, 2022, 16 (4), pp.6063
- Accès au texte intégral et bibtex
-
- titre
- Editorial: Computational Neuroscience for Perceptual Quality Assessment
- auteur
- X. Min, K. Gu, Lu Zhang, V. Jakhetiya, G. Zhai
- article
- Frontiers in Neuroscience, 2022, 16, pp.876969. ⟨10.3389/fnins.2022.876969⟩
- Accès au texte intégral et bibtex
-
- titre
- Multicriteria Accuracy Assessment of Digital Elevation Models (DEMs) Produced by Airborne P-Band Polarimetric SAR Tomography in Tropical Rainforests
- auteur
- Mhamad El Hage, Ludovic Villard, Yue Huang, Laurent Ferro-Famil, Thierry Koleck, Thuy Le Toan, Laurent Polidori
- article
- Remote Sensing, 2022, 14 (17), pp.4173. ⟨10.3390/rs14174173⟩
- Accès au texte intégral et bibtex
-
- titre
- A Compact Double-Sided FSS Absorbing Wall for Decoupling 5G Antenna Arrays
- auteur
- Faissal Merzaki, Philippe Besnier, Mohamed Himdi, Xavier Castel, Maelle Sergolle, Thierry Levavasseur, Patrick Caldamone
- article
- IEEE Transactions on Electromagnetic Compatibility, 2022, 64 (2), pp.303-314. ⟨10.1109/TEMC.2021.3129368⟩
- Accès au texte intégral et bibtex
-
- titre
- Combined Antenna-Channel Modeling for the Harsh Horse Hoof Environment
- auteur
- Jasper Goethals, Denys Nikolayev, Arno Thielens, Gunter Vermeeren, Leen Verloock, Margot Deruyck, Luc Martens, Wout Joseph
- article
- Sensors, 2022, 22 (18), pp.6856. ⟨10.3390/s22186856⟩
- Accès au texte intégral et bibtex
-
- titre
- A beam broadening method for phased arrays in wireless communications
- auteur
- Corentin Fonteneau, Matthieu Crussière, Bruno Jahan
- article
- EURASIP Journal on Wireless Communications and Networking, 2022, 2022 (1), pp.91. ⟨10.1186/s13638-022-02173-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-Wave Phased Arrays and Over-the-Air Characterization for 5G and Beyond
- auteur
- Mattia Maggi, Syrine Hidri, Loic Marnat, Mauro Ettorre, Gerardo Orozco, Marc Margalef-Rovira, Christophe Gaquière, Kamel Haddadi
- article
- IEEE Microwave Magazine, 2022, 23 (5), pp.67-83. ⟨10.1109/MMM.2022.3148328⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of Temperature on the EFT Immunity of Multistage Integrated Oscillators
- auteur
- Qazi Mashaal Khan, Mohsen Koohestani, Richard Perdriau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2022, 65 (1), pp.138 - 148. ⟨10.1109/TEMC.2022.3225540⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial Complexity Reduction in Remote Sensing Image Compression by Atomic Functions
- auteur
- Viktor O. Makarichev, Vladimir V. Lukin, Iryna Brysina, Benoit Vozel
- article
- IEEE Geoscience and Remote Sensing Letters, 2022, 19, pp.6517305. ⟨10.1109/LGRS.2022.3213406⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- On-Body V-Band Leaky-Wave Antenna for Navigation and Safety Applications
- auteur
- Pratik Vadher, Giulia Sacco, Denys Nikolayev
- article
- IEEE Microwaves, Antennas, and Propagation Conference (MAPCON), Dec 2022, Bangalore, India. ⟨10.1109/MAPCON56011.2022.10047643⟩
- Accès au bibtex
-
- titre
- Efficient HW Design of Adaptive Loop Filter for 4k ASIC VVC Encoder
- auteur
- Ibrahim Farhat, Wassim Hamidouche, Adrien Grill, Daniel Menard, Olivier Déforges
- article
- Picture Coding Symposium (PCS), Dec 2022, San Jose, United States. ⟨10.1109/PCS56426.2022.10018078⟩
- Accès au bibtex
-
- titre
- DISCRETE COSINE BASIS ORIENTED MOTION MODELING WITH CUBOIDAL APPLICABILITY REGIONS FOR VERSATILE VIDEO CODING
- auteur
- Ashek Ahmmed, Wassim Hamidouche, Andrew Lambert, Mark Pickering, Manzur Murshed
- article
- Picture Coding Symposium (PCS), Dec 2022, San Jose, United States. ⟨10.1109/PCS56426.2022.10018049⟩
- Accès au bibtex
-
- titre
- Benchmarking Learning-based Bitrate Ladder Prediction Methods for Adaptive Video Streaming
- auteur
- Ahmed Telili, Wassim Hamidouche, Sid Ahmed Fezza, Luce Morin
- article
- Picture Coding Symposium (PCS), Dec 2022, San Jose, United States. ⟨10.1109/PCS56426.2022.10018038⟩
- Accès au bibtex
-
- titre
- Modeling Trust Relationships in Blockchain Applications: The Case of Reconfigurable Systems-on-Chip
- auteur
- Maxime Mere, Frederic Jouault, Loic Pallardy, Richard Perdriau
- article
- 22nd IEEE International Conference on Software Quality, Reliability and Security (QRS), Dec 2022, Guangzhou, China. ⟨10.1109/QRS-C57518.2022.00020⟩
- Accès au texte intégral et bibtex
-
- titre
- End-to-End Fading Channel Modeling for RIS-Empowered Smart Wireless Environments
- auteur
- Rashid Faqiri, Chloé Saigre-Tardif, George C Alexandropoulos, Nir Shlezinger, Mohammadreza F Imani, Philipp del Hougne
- article
- 2022 IEEE GLOBECOM Workshops, GC Wkshps 2022, Dec 2022, Rio de Janeiro, Brazil. pp.741-746, ⟨10.1109/GCWkshps56602.2022.10008536⟩
- Accès au bibtex
-
- titre
- Can Commercial LED Bulbs Pose a Threat to PLC System Security?
- auteur
- Yara Yaacoub, Fabienne Nouvel, Sylvain Haese, Jean-Yves Baudais
- article
- IEEE Global Communications Conference, Dec 2022, Rio de Janeiro, Brazil. pp.1--7
- Accès au texte intégral et bibtex
-
- titre
- Conception and Realization of Flat FPC Antenna with different Polarization at Submillimeter Wave Frequencies for 6G Applications: Invited Paper
- auteur
- Basem Aqlan, Mohamed Himdi, Hamsakutty Vettikalladi
- article
- 2022 IEEE 2nd Ukrainian Microwave Week, UkrMW 2022, Nov 2022, Online, Ukraine. pp.10-12, ⟨10.1109/UkrMW58013.2022.10037107⟩
- Accès au bibtex
-
- titre
- Combined No-Reference Image Quality Metric for UAV Applications
- auteur
- Oleg Ieremeiev, Vladimir Lukin, Benoit Vozel
- article
- 2022 IEEE 2nd Ukrainian Microwave Week, UkrMW 2022, Nov 2022, Online, Ukraine. pp.638-643, ⟨10.1109/UkrMW58013.2022.10037120⟩
- Accès au bibtex
-
- titre
- Automated Training Data Construction using Measurements for High-Level Learning-Based FPGA Power Modeling
- auteur
- Majdi Richa, Jean-Christophe Prévotet, Mickaël Dardaillon, Mohamad Mroué, Samhat Abed Ellatif
- article
- IEEE International Conference on Smart Systems and Power Management (IC2SPM 2022), Nov 2022, Beirut, Lebanon
- Accès au bibtex
-
- titre
- Higher education strategy in engineering to meet global technical challenges
- auteur
- Olivier Bonnaud
- article
- 20th International Conference on Information Technology Based Higher Education and Training (ITHET), Nov 2022, Antalya, Turkey. ⟨10.1109/ITHET56107.2022.10031659⟩
- Accès au bibtex
-
- titre
- Virtual Triggering: a Technique to Segment Cryptographic Processes in Side-Channel Traces
- auteur
- Jeremy Guillaume, Maxime Pelcat, Amor Nafkha, Rubén Salvador
- article
- 36th IEEE Workshop on Signal Processing Systems (SIPS 2022), IEEE, Nov 2022, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- A Fast CU Partition Algorithm for VVenC Encoder in Intra Configuration
- auteur
- Ibrahim Taabane, Daniel Menard, Anas Mansouri, Ali Ahaitouf
- article
- IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, Nov 2022, Rennes, France. ⟨10.1109/SiPS55645.2022.9919252⟩
- Accès au bibtex
-
- titre
- Ultra-Fast Machine Learning Inference through C Code Generation for Tangled Program Graphs
- auteur
- Karol Desnos, Thomas Bourgoin, Mickael Dardaillon, Nicolas Sourbier, Olivier Gesny, Maxime Pelcat
- article
- 2022 IEEE Workshop on Signal Processing Systems (SiPS), Nov 2022, Rennes, France. pp.1-6, ⟨10.1109/SiPS55645.2022.9919237⟩
- Accès au texte intégral et bibtex
-
- titre
- Co-optimizing Dataflow Graphs and Actors with MLIR
- auteur
- Pedro Ciambra, Mickaël Dardaillon, Maxime Pelcat, Hervé Yviquel
- article
- 2022 IEEE Workshop on Signal Processing Systems (SiPS), Nov 2022, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Bandwidth Enhancement by Interconnecting Double Hexagonal Loops FSS
- auteur
- Nur Biha Mohamed Nafis, Mohamad Kamal A Rahim, Mohamed Himdi
- article
- 27th International Symposium on Antennas and Propagation (ISAP), Oct 2022, Sydney, Australia. ⟨10.1109/ISAP53582.2022.9998697⟩
- Accès au bibtex
-
- titre
- Wide-Band Wide-Scan High-Gain CTS Array for SatCom Applications in PCB Technology
- auteur
- Adham Mahmoud, Ronan Sauleau, Mauro Ettorre
- article
- 27th International Symposium on Antennas and Propagation (ISAP), Oct 2022, Sydney, Australia. ⟨10.1109/ISAP53582.2022.9998718⟩
- Accès au bibtex
-
- titre
- Channel charting based beamforming
- auteur
- Luc Le Magoarou, Taha Yassine, Stephane Paquelet, Matthieu Crussière
- article
- Asilomar Conference on Signals, Systems, and Computers, Oct 2022, Pacific Grove, United States
- Accès au texte intégral et bibtex
-
- titre
- Les systèmes GPS Principes et Applications
- auteur
- Jean Marie Floc’h
- article
- Les systèmes GPS (Global Positioning System) , Oct 2022, St Lunaire, France
- Accès au bibtex
-
- titre
- Circuit Model for Fast Computational Analysis of RWPT Applications
- auteur
- Felipe M. Freitas, Icaro Soares, Christian Vollaire, Arnaud Bréard, Laurent Krähenbühl, Sandro T. M. Goncalves, Ursula C. Resende
- article
- 20th Biennial IEEE Conference on Electromagnetic Field Computation (CEFC), Oct 2022, Denver, United States. ⟨10.1109/CEFC55061.2022.9940841⟩
- Accès au bibtex
-
- titre
- High-Level Early Power Estimation of FPGA IP Based on Machine Learning
- auteur
- Majdi Richa, Jean-Christophe Prévotet, Mickaël Dardaillon, Mohamad Mroue, Samhat Abed Ellatif
- article
- ICECS 2022 29th IEEE International Conference on Electronics, Circuits & Systems, Oct 2022, Glasgow, United Kingdom. ⟨10.1109/ICECS202256217.2022.9970952⟩
- Accès au bibtex
-
- titre
- Feedback on the Formal Verification of UML Models in an Industrial Context: The Case of a Smart Device Life Cycle Management System
- auteur
- Maxime Mere, Frédéric Jouault, Loic Pallardy, Richard Perdriau
- article
- MODELS 2022, Oct 2022, Montréal, Canada
- Accès au bibtex
-
- titre
- Estimation of Sensor Noise Parameters from Remote Sensing Data Using Convolutional Neural Networks and Mixed Synthetic and Real Data
- auteur
- Mikhail Uss, Benoit Vozel, Sergey Abramov, Vladimir Lukin
- article
- 7th International Symposium of Space Optical Instruments and Applications ISSOIA 2022, Oct 2022, Beijing, China. ⟨10.1007/978-981-99-4098-1_41⟩
- Accès au bibtex
-
- titre
- Réponse GPR d’un objet enfoui dans un milieu stratifié rugueux par une méthode rigoureuse.
- auteur
- Nicolas Pinel, Marc Songolo, Christophe Bourlier
- article
- ENVIREM, ONERA, Oct 2022, PALAISEAU, France. pp.P17
- Accès au bibtex
-
- titre
- Multipactor Analysis of High-Power Ku-Band Orthomode Transducers (OMTs) in Aluminum Selective Laser Melting (SLM)
- auteur
- C Stoumpos, J-A Duran-Venegas, T Pierré, M García-Vigueras
- article
- 10th International Workshop on Multipactor, Corona and Passive Intermodulation in Space RF Hardware (MULCOPIM) 2022, Oct 2022, Valencia, Spain
- Accès au texte intégral et bibtex
-
- titre
- Rétrodiffusion radar par une surface de mer polluée : Modélisation 3D et comparaison avec des mesures.
- auteur
- Nicolas Pinel, Christophe Bourlier, Irina Sergievskaya, Nicolas Longépé, Guillaume Hajduch
- article
- ENVIREM, ONERA, Oct 2022, PALAISEAU, France. pp.P44
- Accès au bibtex
-
- titre
- A hybrid Modified Black Widow Optimization and PSO Algorithm: Application in Feature Selection for Cognitive Radio Networks
- auteur
- Sarra Ben Chaabane, Kais Boualleguet, Akram Belazi, Sofiane Kharbech, Ammar Bouallegue
- article
- 27th Asia-Pacific Conference on Communications (APCC) - Creating Innovative Communication Technologies for Post-Pandemic Era, Oct 2022, Jeju Island, South Korea. ⟨10.1109/APCC55198.2022.9943598⟩
- Accès au bibtex
-
- titre
- Radar Bistatique Coopératif pour la détection de cibles aériennes en zones urbaines (projet AMBRA)
- auteur
- Sylvain Azarian, Stéphane Méric, Jean-Yves Baudais, Pierre Kasser, Pierre Leba, Stéphane Lethimonier, Saber Dakhli, Pierre Yves Jezequel, Thierry Schott
- article
- Journées scientifiques ENVIREM 2022, Oct 2022, Onera, Palaiseau, France
- Accès au texte intégral et bibtex
-
- titre
- Collective obstacle avoidance strategy - an agent-based simulation approach
- auteur
- Juliette Grosset, Alain-Jérôme Fougères, Moïse Djoko-Kouam, Jean-Marie Bonnin
- article
- ASPAI 2022: 4th International Conference on Advances in Signal Processing and Artificial Intelligence, Oct 2022, Corfu, Greece
- Accès au texte intégral et bibtex
-
- titre
- INTRA-MODAL CONSTRAINT LOSS FOR IMAGE-TEXT RETRIEVAL
- auteur
- Jianan Chen, Lu Zhang, Qiong Wang, Cong Bai, Kidiyo Kpalma
- article
- IEEE International Conference on Image Processing (ICIP), Oct 2022, Bordeaux, France. ⟨10.1109/ICIP46576.2022.9897195⟩
- Accès au bibtex
-
- titre
- MACHINE LEARNING BASED EFFICIENT QT-MTT PARTITIONING FOR VVC INTER CODING
- auteur
- A. Tissier, W. Hamidouche, J. Vanne, D. Menard
- article
- International Conference on Image Processing, ICIP, Oct 2022, Bordeaux, France. pp.1401-1405, ⟨10.1109/ICIP46576.2022.9898052⟩
- Accès au bibtex
-
- titre
- DEEBLIF: DEEP BLIND LIGHT FIELD IMAGE QUALITY ASSESSMENT BY EXTRACTING ANGULAR AND SPATIAL INFORMATION
- auteur
- Zhengyu Zhang, Shishun Tian, Wenbin Zou, Luce Morin, Lu Zhang
- article
- International Conference on Image Processing, ICIP, Oct 2022, Bordeaux, France. pp.2266-2270, ⟨10.1109/ICIP46576.2022.9897951⟩
- Accès au bibtex
-
- titre
- Optimal Microgrid Sizing using Gradient-based Algorithms with Automatic Differentiation
- auteur
- Evelise de Godoy Antunes, Pierre Haessig, Chaoyun Wang, Roberto Chouhy Leborgne
- article
- ISGT Europe 2022, Oct 2022, Novi Sad, Serbia. ⟨10.1109/ISGT-Europe54678.2022.9960498⟩
- Accès au texte intégral et bibtex
-
- titre
- Smart Full-Exploitation of Beamforming Fusion assisted Spectrum Sensing for Cognitive Radio
- auteur
- Sarra Ben Chaabane, Kais Bouallegue, Akram Belazi, Sofiane Kharbech, Ammar Bouallegue
- article
- 18th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Oct 2022, Thessaloniki, Greece. ⟨10.1109/WIMOB55322.2022.9941584⟩
- Accès au bibtex
-
- titre
- Numerical Considerations to Improve the Reduced-Order Model Approach for Antenna Measurements
- auteur
- S Corre, L. Le Coq, N. Mezieres, M. Mattes, B. Fuchs
- article
- AMTA 2022 Antenna Measurement Techniques Association Symposium, Oct 2022, Denver, United States. ⟨10.23919/AMTA55213.2022.9955022⟩
- Accès au bibtex
-
- titre
- Antenna Far-Field Characterization from Small Phaseless Dataset
- auteur
- Nicolas Mézières, Laurent Le Coq, Benjamin Fuchs
- article
- AMTA 2022 Antenna Measurement Techniques Association Symposium, Oct 2022, Denver, United States. ⟨10.23919/AMTA55213.2022.9954964⟩
- Accès au bibtex
-
- titre
- (Invited) Progressive Involvement of Thin Film Technologies in Connected Objects to Meet New Societal Challenges
- auteur
- Olivier Bonnaud
- article
- 242nd ECS Meeting 2022, Oct 2022, Atlanta, United States. pp.125-135, ⟨10.1149/10906.0125ecst⟩
- Accès au bibtex
-
- titre
- L’Université de Rennes / Etablissement Public Expérimental 1ère partie : « Vers un projet d’études en Sciences : Présentation des études scientifiques (non santé) de l'Université de Rennes 1 » 2d partie : Un exemple de Recherche et Développement en « Nanosciences et Systèmes à base de Lumière »
- auteur
- Bruno Bêche
- article
- Fête de la Science, Oct 2022, La Mézière, France
- Accès au bibtex
-
- titre
- Results of Approbation of the Method for Predicting the Classification Accuracy of Multichannel Images
- auteur
- Vladimir Lukin, Irina Vasilyeva, Benoit Vozel
- article
- 2022 IEEE 3rd International Conference on System Analysis and Intelligent Computing, SAIC 2022, Oct 2022, Kyiv, Ukraine. ⟨10.1109/SAIC57818.2022.9923028⟩
- Accès au bibtex
-
- titre
- Thin-Wire EFIE-MoM Formulation for Fast Computational Analysis of Microstrip Metasurfaces
- auteur
- Icaro V. Soares, Felipe Machado de Freitas, Ursula Do Carmo Resende, Sandro Trindade Mordente Gonçalves
- article
- IEEE 20th Biennial Conference on Electromagnetic Field Computation (CEFC), Oct 2022, Denver, United States
- Accès au texte intégral et bibtex
-
- titre
- SWALIS/KaRADOC Sensors: A Specific Calibration Procedure for Radar Systems for Hydrologic Remote Sensing Applications
- auteur
- Jordi Chinaud, Éric Pottier, Guy Grunfelder, Gil Picoult, Alain Mallet, Jean-Claude Kokou Koumi
- article
- EuRAD, EuMA, Sep 2022, Milan, Italy
- Accès au texte intégral et bibtex
-
- titre
- Chaotic Dingo Optimization Algorithm: Application in Feature Selection for Beamforming Aided Spectrum Sensing
- auteur
- Sarra Ben Chaabane, Kais Bouallegue, Akram Belazi, Sofiane Kharbech, Ammar Bouallegue
- article
- 14th International Conference on Computational Collective Intelligence (ICCCI), Sep 2022, Hammamet, Tunisia. pp.660-673, ⟨10.1007/978-3-031-16014-1_52⟩
- Accès au bibtex
-
- titre
- A Study of Conventional and Learning-Based Depth Estimators for Immersive Video Transmission
- auteur
- Smitha Lingadahalli Ravi, Marta Milovanovic, Luce Morin, Félix Henry
- article
- 2022 IEEE 24th International Workshop on Multimedia Signal Processing ( MMSP 2022 ), Sep 2022, Shanghai, China. pp.1-5, ⟨10.1109/MMSP55362.2022.9948931⟩
- Accès au texte intégral et bibtex
-
- titre
- Video Coding for Machines: Large-Scale Evaluation of Deep Neural Networks Robustness to Compression Artifacts for Semantic Segmentation
- auteur
- Alban Marie, Karol Desnos, Luce Morin, Lu Zhang
- article
- International Workshop on Multimedia Signal Processing (MMSP), Sep 2022, Shanghai, China
- Accès au texte intégral et bibtex
-
- titre
- Broadband Antenna Radiation Pattern Measurement From Backscattering Coefficient in a Reverberation Chamber
- auteur
- Francois Sarrazin, Ariston Reis, Lotfy Zeghoudi, Philippe Besnier, Elodie Richalot
- article
- European Microwave Conference, Sep 2022, Milan, Italy. pp.314-317, ⟨10.23919/EuMC54642.2022.9924357⟩
- Accès au texte intégral et bibtex
-
- titre
- Visual Security Evaluation of Perceptually Encrypted Images based on Multi-Task Learning
- auteur
- Mamadou Keita, Sid Ahmed Fezza, Wassim Hamidouche, Azeddine Beghdadi
- article
- IEEE 24th International Workshop on Multimedia Signal Processing (MMSP), Sep 2022, Shanghai, China. ⟨10.1109/MMSP55362.2022.9948753⟩
- Accès au bibtex
-
- titre
- SWALIS/KaRADOC Sensors: Calibration Procedure for Radar Systems for Hydrologic Remote Sensing Applications
- auteur
- Jean-Claude Kokou Koumi, Stéphane Méric, Jordi Chinaud, Eric Pottier, Guy Grunfelder, Gil Picoult, Alain Mallet
- article
- 19th European Radar Conference (EuRAD) as part of 25th European Microwave Week, Sep 2022, Milan, Italy. ⟨10.23919/EuRAD54643.2022.9924858⟩
- Accès au bibtex
-
- titre
- Screen Printing of Flexible Dual-Band Antenna on a New Biocomposite Based on a Flax Fiber Laminate
- auteur
- A. Sennouni, Jean Marie Floc’h, S. Gueret, F. Callebert, A-C. Tarot
- article
- 52nd European Microwave Conference (EuMC), Sep 2022, Milan, Italy. ⟨10.23919/EuMC54642.2022.9924421⟩
- Accès au bibtex
-
- titre
- Lasing Threshold Conditions for Transversal Modes of Twin Graphene-Covered Circular Quantum Wires
- auteur
- Dariia O. Herasymova, Sergii V. Dukhopelnykov, Ronan Sauleau
- article
- 52nd European Microwave Conference (EuMC), Sep 2022, Milan, Italy. ⟨10.23919/EuMC54642.2022.9924404⟩
- Accès au bibtex
-
- titre
- 3D SAR imaging using bistatic opposite side acquisitions, the bizona concept
- auteur
- Laurent Ferro-Famil, Stefano Tebaldini, Ray Abdo, Lekhmissi Harkati, Mengda Wu
- article
- 19th European Radar Conference (EuRAD) as part of 25th European Microwave Week, Sep 2022, Milan, Italy. ⟨10.23919/EuRAD54643.2022.9924868⟩
- Accès au bibtex
-
- titre
- Sector-Beam Antenna Array for 77 GHz Automotive RADAR Systems
- auteur
- Sourour Abdellaoui, Saber Dakhli, Floc'H, Jean-Marie Floc'H, Mimoun Hamdi
- article
- 2022 30th International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2022, Sep 2022, Split, Croatia. ⟨10.23919/SoftCOM55329.2022.9911481⟩
- Accès au bibtex
-
- titre
- Design of Pattern-Reconfigurable Six-Elements Dipole Array for 5G Compact Base Station
- auteur
- Mohamed Khammeri, Saber Dakhli, Floc'H, Jean-Marie Floc'H, Feten Slimeni
- article
- 2022 30th International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2022, Sep 2022, Split, Croatia. ⟨10.23919/SoftCOM55329.2022.9911335⟩
- Accès au bibtex
-
- titre
- Design of Pattern-Reconfigurable Six-Elements Dipole Array for 5G Compact Base Station
- auteur
- Mohamed Khammeri, Saber Dakhli, Jean Marie Floc’h, Feten Slimeni
- article
- SoftCOM 2022, Sep 2022, Split, Croatia
- Accès au bibtex
-
- titre
- Transformer Based Models for Unsupervised Anomaly Segmentation in Brain MR Images
- auteur
- Ahmed Ghorbel, Ahmed Aldahdooh, Shadi Albarqouni, Wassim Hamidouche
- article
- Proceedings of the 8th International MICCAI Brainlesion Workshop, BrainLes 2022, Sep 2022, Singapore, Singapore. pp.25-44, ⟨10.1007/978-3-031-33842-7_3⟩
- Accès au bibtex
-
- titre
- Low Profile Wideband Scanning Antenna in Ka-band Based on Fully Metallic Metasurfaces
- auteur
- R. Thanikonda, J. Ruiz-Garcia, D. Gonzalez-Ovejero, G. Toso, E. Martini, S. Maci
- article
- 2022 16th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2022, Sep 2022, Siena, Italy. pp.X451-X453, ⟨10.1109/Metamaterials54993.2022.9920906⟩
- Accès au texte intégral et bibtex
-
- titre
- Modulated metasurface antennas and beam-formers for mm-waves and beyond
- auteur
- D. Gonzalez-Ovejero, O. de Sagazan, X. Morvan, C. Bilitos, J. Ruiz-Garcia, A. Mahmoud, M. Ettorre
- article
- 2022 16th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2022, Sep 2022, Siena, Italy. pp.X172-X174, ⟨10.1109/Metamaterials54993.2022.9920771⟩
- Accès au texte intégral et bibtex
-
- titre
- Flat Hyperbolic Lens antenna in Gap Waveguide at 300 GHz
- auteur
- D. Pérez-Quintan, Carlos Blurrun-Quel, Inigo Ederra, D. González-Ovejere, M. Beruete
- article
- 2022 16th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2022, Sep 2022, Siena, Italy. pp.X338-X340, ⟨10.1109/Metamaterials54993.2022.9920765⟩
- Accès au bibtex
-
- titre
- Optically Transparent Dual-Band Frequency Selective Surfaces for Smart Surfaces
- auteur
- S. Can, K.Y. Kapusuz, A Yilmaz
- article
- 2022 16th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2022, Sep 2022, Siena, Italy. pp.X211-X213, ⟨10.1109/Metamaterials54993.2022.9920937⟩
- Accès au bibtex
-
- titre
- Numerical-Analytical Study of Performance of Mixed-Order Statistics Algorithm for Joint Estimation of DOA, Range and Backscatter Coefficient in a MIMO Structure
- auteur
- Amir Masoud Molaei, Philipp del Hougne, Vincent Fusco, Okan Yurduseven
- article
- 23rd International Radar Symposium (IRS), Sep 2022, Gdansk, Poland. ⟨10.23919/IRS54158.2022.9905061⟩
- Accès au bibtex
-
- titre
- PhysFad: Physics-Based End-to-End Communication Modeling of Programmable-Metasurface-Parametrized Environments with Adjustable Fading
- auteur
- Rashid Faqiri, Chloé Saigre-Tardif, George C Alexandropoulos, Nir Shlezinger, Mohammadreza F Imani, Philipp del Hougne
- article
- International Congress on Artificial Materials for Novel Wave Phenomena, Sep 2022, Siena, Italy
- Accès au bibtex
-
- titre
- Does personal interests affect visual attention? - An eye tracking study
- auteur
- Julie Fournier, Meriem Outtas, Qiong Wang, Elise Etchamendy, Myriam Cherel, Lu Zhang
- article
- 10th European Workshop on Visual Information Processing (EUVIP), Sep 2022, Lisbon, Portugal. ⟨10.1109/EUVIP53989.2022.9922683⟩
- Accès au bibtex
-
- titre
- Exploring Temporal Consistency in Image-Based Rendering for Immersive Video Transmission
- auteur
- Smitha Lingadahalli Ravi, Félix Henry, Luce Morin, Matthieu Gendrin
- article
- 10th European Workshop on Visual Information Processing (EUVIP 2022), Sep 2022, Lisbon, Portugal. ⟨10.1109/EUVIP53989.2022.9922680⟩
- Accès au texte intégral et bibtex
-
- titre
- Ensemble Learning for Efficient VVC Bitrate Ladder Prediction
- auteur
- Fatemeh Nasiri, Wassim Hamidouche, Luce Morin, Nicolas Dholland, Jean-Yves Aubié
- article
- 10th European Workshop on Visual Information Processing (EUVIP), Sep 2022, Lisbon, Portugal. ⟨10.1109/EUVIP53989.2022.9922824⟩
- Accès au bibtex
-
- titre
- Robustesse au Doppler du filtre de Wiener pour un radar OFDM
- auteur
- Bochra Benmeziane, Jean-Yves Baudais, Stéphane Méric, Kevin Cinglant
- article
- Colloque GRETSI, Sep 2022, Nancy, France. pp.1253-1256
- Accès au texte intégral et bibtex
-
- titre
- Estimation de ligne de base de capteurs d'humectation : intégration et minimums locaux à différentes échelles
- auteur
- Jean-Yves Baudais, Melen Leclerc, Christophe Langrume
- article
- Colloque GRETSI, Sep 2022, Nancy, France. pp.773-776
- Accès au texte intégral et bibtex
-
- titre
- Node-screening pour le problème des moindres carrés avec pénalité L0
- auteur
- Theo Guyard, Cédric Herzet, Clément Elvira, Ayşe Nur Arslan
- article
- GRETSI 2022 - XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Regroupement d'Activités de la Main Non-étiquetées
- auteur
- Yasser Mohamed Boutaleb, Catherine Soladie, Nam-Duong Duong, Amine Kacete, Jérôme Royan, Renaud Seguier
- article
- GRETSI 2022, Sep 2022, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Politiques de transmission basées sur l'apprentissage par renforcement dans les réseaux cellulaires dynamiques et aléatoires
- auteur
- Qiong Liu, Philippe Mary, Jean-Yves Baudais
- article
- Colloque GRETSI, Sep 2022, Nancy, France. pp.877-880
- Accès au texte intégral et bibtex
-
- titre
- Knife-Edge Diffraction Models for Human Body Shadowing Prediction
- auteur
- Eric Plouhinec, Bernard Uguen
- article
- 11th IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications (IEEE APWC) / 23rd International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2022, Cape Town, South Africa. ⟨10.1109/APWC49427.2022.9900051⟩
- Accès au bibtex
-
- titre
- Including Experimental Aging of Shielded Cables into Bulk Current Injection Simulations
- auteur
- Oskari Leppäaho, Frédéric Lafon, Bruno Ferreri, Priscila Fernandez-Lopez, Marine Stojanovic, Richard Perdriau, Mohammed Ramdani
- article
- 2022 International Symposium on Electromagnetic Compatibility – EMC Europe, Sep 2022, Gothenburg, Sweden. pp.811-815, ⟨10.1109/emceurope51680.2022.9901089⟩
- Accès au texte intégral et bibtex
-
- titre
- Auto-activated Electromagnetic Shield Upon High Intensity Radiated Field Illumination
- auteur
- Quentin Tricas, Xavier Castel, Claire Le Paven, Thomas Eudes, Patrice Foutrel, Jérôme Sol, Philippe Besnier
- article
- International Symposium on Electromagnetic Compatibility (EMC Europe), Sep 2022, Gothenburg, Sweden. ⟨10.1109/EMCEUROPE51680.2022.9901144⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient EMC Risk Analysis of PCB Using Iterative Surrogate-Model Enrichment and Morris Sensitivity Analysis
- auteur
- A. Plot, P. Besnier, B Azanowsky
- article
- International Symposium on Electromagnetic Compatibility (EMC Europe), Sep 2022, Gothenburg, Sweden. ⟨10.1109/EMCEUROPE51680.2022.9901313⟩
- Accès au texte intégral et bibtex
-
- titre
- Parametric Identification Method for Antenna Model on Time-Domain
- auteur
- R. Benevides, S. Rondineau, B. Fuchs, Laurent Le Coq, M.C. Migliore
- article
- 2022 International Conference on Electromagnetics in Advanced Applications, ICEAA 2022, Sep 2022, Cape Tow, South Africa. ⟨10.1109/ICEAA49419.2022.9899929⟩
- Accès au bibtex
-
- titre
- Screen & Relax: Accélérer la résolution du problème "Elastic-Net" par identification du support de la solution
- auteur
- Theo Guyard, Cédric Herzet, Clément Elvira
- article
- GRETSI 2022 - XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Mise en forme et caractérisation d'une photonique intégrée organique par impression 3D : motifs micronique 2.5D de type guides d'ondes
- auteur
- Eros Gavini, Sylvain Pernon, Christophe Levallois, Arnaud Saint-Jalmes, Nathalie Coulon, Alain Moreac, Bruno Bêche
- article
- Journée Mécatronique de l'ENS de Bruz, Sep 2022, Rennes - Bruz, France
- Accès au texte intégral et bibtex
-
- titre
- Region-free Safe Screening Tests for l1-penalized Convex Problems
- auteur
- Cédric Herzet, Clément Elvira, Hong-Phuong Dang
- article
- Eusipco 2022 - 30th European Signal Processing Conference, Aug 2022, Belgrade, Serbia. pp.1-5
- Accès au texte intégral et bibtex
-
- titre
- Beyond GAP screening for Lasso by exploiting new dual cutting half-spaces with supplementary material
- auteur
- Thu-Le Tran, Clément Elvira, Hong-Phuong Dang, Cédric Herzet
- article
- Eusipco 2022 - 30th European Signal Processing Conference, Aug 2022, Belgrade, Serbia. pp.2056-2060
- Accès au texte intégral et bibtex
-
- titre
- Review on CTS Antenna Arrays for Millimeter Wave Applications
- auteur
- A. Mahmoud, M. Del-Mastro, F. Foglia Manzillo, T. Potelon, R Sauleau, M. Ettorre
- article
- 47th International Conference on Infrared, Millimeter and Terahertz Waves (IRMMW-THz), Aug 2022, Delft, Netherlands. ⟨10.1109/IRMMW-THz50927.2022.9895552⟩
- Accès au bibtex
-
- titre
- Hyperbolic Lens Antenna in Groove Gap Waveguide Technology at Submillimeter waves
- auteur
- D. Perez-Quintana, Carlos Biurrun-Quel, Inigo Ederra, D. Gonzalez-Ovejero, M. Beruete
- article
- 47th International Conference on Infrared, Millimeter and Terahertz Waves (IRMMW-THz), Aug 2022, Delft, Netherlands. ⟨10.1109/IRMMW-THz50927.2022.9896070⟩
- Accès au bibtex
-
- titre
- New Structural Biocomposite of Flax Laminate Materials for Antennas Applications
- auteur
- Adel Sennouni, Adnane Labdouni, Jean-Marie Floc'H, Sebastien Gueret, Franck Callebert, Anne-Claude Tarot
- article
- 2022 IEEE 7th Forum on Research and Technologies for Society and Industry Innovation (RTSI), Aug 2022, Paris, France. pp.142-147, ⟨10.1109/RTSI55261.2022.9905202⟩
- Accès au bibtex
-
- titre
- Nanotechnologies associating surface resonant light to probe the displacement of interfaces and the sedimentation of fragmented matter: towards blood viscosity measurements by resonant photonics
- auteur
- Jordan Gastebois, Lucas Garnier, Hervé Lhermite, Nathalie Coulon, Hervé Cormerais, Arnaud Saint-Jalmes, Véronique Vié, Arthur Groussin, Denis Colorado de Faria, Firas Jandoubi, Bruno Bêche
- article
- SFP - Journées de la Matière Condensée, Aug 2022, Lyon, France
- Accès au bibtex
-
- titre
- Channel-Spatial Mutual Attention Network for 360 degrees Salient Object Detection
- auteur
- Yi Zhang, Wassim Hamidouche, Olivier Déforges
- article
- 26th International Conference on Pattern Recognition / 8th International Workshop on Image Mining - Theory and Applications (IMTA), Aug 2022, Montreal, Canada. ⟨10.1109/ICPR56361.2022.9956354⟩
- Accès au bibtex
-
- titre
- Trustworthy SoC Reconfiguration Aimed at Product-Service Systems: a Literature Review
- auteur
- Maxime Mere, Frederic Jouault, Loic Pallardy, Richard Perdriau
- article
- 2022 IEEE International Conference on Omni-layer Intelligent Systems (COINS), Aug 2022, Barcelona, Spain. pp.1-6, ⟨10.1109/COINS54846.2022.9854965⟩
- Accès au bibtex
-
- titre
- Irregular SCMA System for Enhanced Quality of Service
- auteur
- Manel Rebhi, Kais Hassan, Kosai Raoof, Pascal Charge
- article
- 2022 International Telecommunications Conference (ITC-Egypt), Jul 2022, Alexandria, Egypt. pp.1-4, ⟨10.1109/ITC-Egypt55520.2022.9855705⟩
- Accès au bibtex
-
- titre
- Forest structure characterization using SAR tomography and an adaptive estimation technique
- auteur
- Laurent Ferro-Famil, Yue Huang, Nan Ge
- article
- European Conference on Synthetic Aperture Radar, EUSAR, Jul 2022, Leipzig, Germany. pp.472-475
- Accès au bibtex
-
- titre
- NFC/RFID sensor Tag for Wireless Temperature Monitoring in a Cold Chain
- auteur
- Estelle Nogues, Nicolas Mackowiack, Abdelaziz Hamdoun, Mohamed Latrach
- article
- 10th International Conference on Innovation, Modern Applied Science & Environmental Studies (ICIES’2022), Jul 2022, Tangier, Morocco. pp.01038, ⟨10.1051/e3sconf/202235101038⟩
- Accès au texte intégral et bibtex
-
- titre
- MODELING THE IMPACT OF TEMPORAL DECORRELATION ON INSAR GROUND CANCELLATION TECHNIQUES IN THE FRAME OF TROPICAL FOREST CHARACTERIZATION AT P BAND
- auteur
- L. Ferro-Famil, M. Mariotti d'Alessandro, S. Tebaldini, Y. Huang
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9884243⟩
- Accès au bibtex
-
- titre
- ESTIMATION OF THE VERTICAL STRUCTURE OF A TROPICAL FOREST USING BASIS FUNCTIONS AND PARAMETRIC SAR TOMOGRAPHY
- auteur
- L. Ferro-Famil, Y. Huang, N. Ge
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9883382⟩
- Accès au bibtex
-
- titre
- DATA STREAM UNSUPERVISED PARTITIONING METHOD
- auteur
- Yuding Wang, Kacem Chehdi, Claude Cariou, Benoit Vozel
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9884448⟩
- Accès au bibtex
-
- titre
- LOSSY COMPRESSION OF THREE-CHANNEL REMOTE SENSING IMAGES WITH "COLOR" COMPONENT DOWNSCALING
- auteur
- Victor Makarichev, Galina Proskura, Oleksii Rubel, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9884580⟩
- Accès au bibtex
-
- titre
- UNSUPERVISED AND AUTOMATIC TRAINING SAMPLES SELECTION METHOD
- auteur
- Jihan Alameddine, Kacem Chehdi, Claude Cariou
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9883607⟩
- Accès au bibtex
-
- titre
- IMPROVEMENT OF SPATIAL LOCALIZATION ACCURACY IN LEARNING-BASED PATCH MATCHING USING ANISOTROPIC FRACTAL BROWNIAN MOTION DATA
- auteur
- Mykhail. M. Uss, Benoit Vozel, Vladimir V. Lukin, Kacem Chehdi
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2022, Kuala Lumpur, Malaysia. ⟨10.1109/IGARSS46834.2022.9883816⟩
- Accès au bibtex
-
- titre
- Design Space Exploration for Memory-Oriented Approximate Computing Techniques
- auteur
- Hugo Miomandre, Jean Francois Nezan, Daniel Ménard
- article
- 33rd IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jul 2022, Gothenburg, Sweden. ⟨10.1109/ASAP54787.2022.00028⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal Interference Modeling Error using Purely Conductive Medium Approximation
- auteur
- Gabriel Gaugain, Julien Modolo, Denys Nikolayev
- article
- IEEE EMBC 2022, IEEE EMBS, Jul 2022, Glasgow (Ecosse), United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- High-resolution quartz transmitarray antenna for sub-THz applications
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Antonio Clemente, Ronan Sauleau
- article
- IEEE APS 2022 - 2022 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science, Jul 2022, Denver, United States
- Accès au texte intégral et bibtex
-
- titre
- Flexible Microcrystalline Silicon Source-Gated Transistors with Negliglible DC Performace Degradation at 2.5 mm Bending Radius
- auteur
- Eva Bestelink, Jean-Charles Fustec, Olivier De Sagazan, Hao-Jing Teng, Radu A. Sporea
- article
- 2022 IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS), Jul 2022, Vienna, Austria. ⟨10.1109/FLEPS53764.2022.9781587⟩
- Accès au bibtex
-
- titre
- Bandwidth Enhancement of a Metal-Only Reflectarray Based on the Phoenix Cell
- auteur
- Zhihang An, Tony Makdissy, Maria Garcia Vigueras, Sébastien Vaudreuil, Raphaël Gillard
- article
- 2022 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting (AP-S/USNC-URSI), Jul 2022, Denver, Spain. pp.587-588, ⟨10.1109/AP-S/USNC-URSI47032.2022.9887061⟩
- Accès au texte intégral et bibtex
-
- titre
- Space-time coherence processing of electromagnetic fields in complex media
- auteur
- Thomas Fromenteze, Matthieu Davy, Okan Yurduseven, Yann Marie-Joseph, Cyril Decroze
- article
- 2022 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, AP-S/URSI 2022, Jul 2022, Denver, United States. pp.1844-1845, ⟨10.1109/AP-S/USNC-URSI47032.2022.9886501⟩
- Accès au bibtex
-
- titre
- Metal-Only Reflectarray Generating Two Independent Beams with Orthogonal Linear Polarizations
- auteur
- Zhihang An, Tony Makdissy, M. García-Vigueras, Raphaël Gillard
- article
- 2022 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting (AP-S/USNC-URSI), Jul 2022, Denver, United States. pp.367-368, ⟨10.1109/AP-S/USNC-URSI47032.2022.9886622⟩
- Accès au texte intégral et bibtex
-
- titre
- Computing vertical refractivity profiles by neural networks. Comparison with bulk model results
- auteur
- Jacques Claverie, Jean Motsch
- article
- IEEE USNC-URSI Radio Science Meeting / Joint IEEE Antennas-and-Propagation-Society (AP-S) International Symposium, Jul 2022, Denver, United States
- Accès au bibtex
-
- titre
- Imbalanced Classification with TPG Genetic Programming: Impact of Problem Imbalance and Selection Mechanisms
- auteur
- Nicolas Sourbier, Justine Bonnot, Karol Desnos, Frédéric Majorczyk, Olivier Gesny, Thomas Guyet, Maxime Pelcat
- article
- GECCO 2022 - Genetic and Evolutionary Computation Conference, Jul 2022, Boston, United States. pp.1-4, ⟨10.1145/3520304.3529008⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced Optimization of an Isoflux Reflectarray
- auteur
- Andrea Guarriello, Renaud Loison, Daniele Bresciani, Herve Legay, George Goussetis
- article
- 2022 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO), Jul 2022, Limoges, France. pp.1-4, ⟨10.1109/NEMO51452.2022.10038969⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband reflecting Luneburg lens beam-former at Ka-band
- auteur
- C. Bilitos, X. Morvan, E. Martini, R Sauleau, S. Maci, D. Gonzalez-Ovejero
- article
- IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO), Jul 2022, Limoges, France. ⟨10.1109/NEMO51452.2022.10038967⟩
- Accès au bibtex
-
- titre
- Trade-off between spectral and energy efficiency in THz frequency bands for 6G
- auteur
- Mohamad Younes, Paul Desombre, Yves Louet
- article
- 1st International Conference on 6G Networking (6GNet), Jul 2022, Paris, France. ⟨10.1109/6GNet54646.2022.9830241⟩
- Accès au bibtex
-
- titre
- Stochastic Analysis of WPT Efficiency due to Location Uncertainty of mm-Sized Deep-Implanted Pacemakers
- auteur
- Icaro V. Soares, Denys Nikolayev
- article
- Wireless Power Week (WPW), Jul 2022, Bordeaux, France. ⟨10.1109/WPW54272.2022.9853957⟩
- Accès au texte intégral et bibtex
-
- titre
- Appropriate conventional rectifier topology for low-power RF energy harvesting
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- IEEE Wireless Power Week 2022 (WPW 2022), Jul 2022, Bordeaux, France
- Accès au bibtex
-
- titre
- Transmission and Receiving Power Profiles for RFID Tags Perfomances Evaluation
- auteur
- Hadi El Hajj Chehade, Bernard Uguen, Sylvain Collardey
- article
- 2022 7th International Conference on Smart and Sustainable Technologies, SpliTech 2022, Jul 2022, Bol, Croatia. ⟨10.23919/SpliTech55088.2022.9854308⟩
- Accès au bibtex
-
- titre
- Expectation-Maximization Based Defense Mechanism for Distributed Model Predictive Control
- auteur
- Rafael Accácio Nogueira, Romain Bourdais, Simon Leglaive, Hervé Guéguen
- article
- 9th IFAC Conference on Networked Systems (NecSys22), Jul 2022, Zürich, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Une nouvelle méthode d'accélération pour LASSO par élimination sûre de variables
- auteur
- Thu-Le Tran, Clément Elvira, Hong-Phuong Dang, Cédric Herzet
- article
- CAP 2022 - Conférence sur l'Apprentissage automatique, Jul 2022, Vannes, France. pp.1-6
- Accès au texte intégral et bibtex
-
- titre
- Leveraging triplet loss and nonlinear dimensionality reduction for on-the-fly channel charting
- auteur
- Taha Yassine, Luc Le Magoarou, Stéphane Paquelet, Matthieu Crussière
- article
- 23rd IEEE International Workshop on Signal Processing Advances in Wireless Communications, SPAWC 2022, Jul 2022, Oulu, Finland
- Accès au texte intégral et bibtex
-
- titre
- Optimization of the trade-off between spectral efficiency and energy efficiency in the sub-terahertz context
- auteur
- Mohamad Younes, Yves Louet
- article
- 5th International Workshop on Mobile Terahertz Systems (IWMTS), Jul 2022, Duisburg, Germany. ⟨10.1109/IWMTS54901.2022.9832455⟩
- Accès au bibtex
-
- titre
- A Hybrid Performance Prediction Approach for Fully-Connected Artificial Neural Networks on Multi-core Platforms
- auteur
- Quentin Dariol, Sebastien Le Nours, Sebastien Pillement, Ralf Stemmer, Domenik Helms, Kim Grüttner
- article
- International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Jul 2022, SAMOS 2022, Greece. pp.250-263, ⟨10.1007/978-3-031-15074-6_16⟩
- Accès au bibtex
-
- titre
- The limits of the digitalization of the training of engineers and masters in microelectronics
- auteur
- Olivier Bonnaud
- article
- 31st Annual Conference of the European-Association-for-Education-in-Electrical-and-Information-Engineering (EAEEIE), Jun 2022, Coimbra, Portugal. ⟨10.1109/EAEEIE54893.2022.9820082⟩
- Accès au bibtex
-
- titre
- A Modification of Generalized Parameter-Based Adaptive Observer for Linear Systems with Relaxed Excitation Conditions*
- auteur
- Alexey Bobtsov, Anton Pyrkin, Alexey Vedyakov, Anastasiia Vediakova, Stanislav Aranovskiy
- article
- 14th IFAC Workshop on Adaptive and Learning Control Systems, Jun 2022, Casablanca, Morocco. pp.324-329, ⟨10.1016/j.ifacol.2022.07.332⟩
- Accès au bibtex
-
- titre
- Disturbance Frequency Estimation for an LTV System
- auteur
- Stanislav Aranovskiy, Marina Korotina, Alexey Bobtsov
- article
- 14th IFAC Workshop on Adaptive and Learning Control Systems, Jun 2022, Casablanca, Morocco
- Accès au texte intégral et bibtex
-
- titre
- Electrical Grid Flexibility via Heat Pump and Thermal Storage Control
- auteur
- Joy El Feghali, Guillaume Sandou, Hervé Guéguen, Pierre Haessig, Damien Faille, Hassan Bouia, Dominique Croteau
- article
- IFAC Workshop on Control for Smart Cities, Jun 2022, Sozopol, Bulgaria. ⟨10.1016/j.ifacol.2022.08.053⟩
- Accès au bibtex
-
- titre
- Preserving the Minimum Distance of Polar-Like Codes while Increasing the Information Length
- auteur
- Samet Gelincik, Philippe Mary, Anne Savard, Jean-Yves Baudais
- article
- International Symposium on Information Theory (ISIT) 2022, Jun 2022, Aalto, Finland. ⟨10.1109/ISIT50566.2022.9834446⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-Aware HEVC Software Decoding On Mobile Heterogeneous Multi-Cores Architectures
- auteur
- Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
- article
- PARMA-DITAM, Jun 2022, Budapest, Hungary. ⟨10.4230/OASIcs.PARMA-DITAM.2022.4⟩
- Accès au texte intégral et bibtex
-
- titre
- Bilevel Optimization Based on Building Dynamic Flexibility Capacity in Microgrid
- auteur
- Zhigang Zhang, Hervé Guéguen
- article
- IFAC 11th Symposium on Control of Power and Energy Systems, Jun 2022, on Line, Austria. ⟨10.1016/j.ifacol.2022.07.048⟩
- Accès au bibtex
-
- titre
- Data-Type Assessment for Real-Time Hyperspectral Classification in Medical Imaging
- auteur
- Manuel Villa, Jaime Sancho, Guillermo Vazquez, Gonzalo Rosa, Gemma Urbanos, Alberto Martin-Perez, Pallab Sutradhar, Ruben Salvador, Miguel Chavarrias, Alfonso Lagares, Eduardo Juarez, Cesar Sanz
- article
- 15th International Workshop on Design and Architectures for Signal and Image Processing (DASIP), Jun 2022, Budapest, Hungary. ⟨10.1007/978-3-031-12748-9_10⟩
- Accès au bibtex
-
- titre
- QoS aware design-time/run-time manager for FPGA-based embedded systems
- auteur
- Alexis Duhamel, Sébastien Pillement
- article
- Workshop on Design and Architectures for Signal and Image Processing (DASIP 2022), Jun 2022, Budapest, Hungary. #paper 4
- Accès au bibtex
-
- titre
- Influence of Dataflow Graph Moldable Parameters on Optimization Criteria
- auteur
- Alexandre Honorat, Thomas Bourgoin, Hugo Miomandre, Karol Desnos, Daniel Menard, Jean-François Nezan
- article
- DASIP 2022 - Workshop on Design and Architectures for Signal and Image Processing, Jun 2022, Budapest, Hungary. pp.83-95, ⟨10.1007/978-3-031-12748-9_7⟩
- Accès au texte intégral et bibtex
-
- titre
- Constrained RIS Phase Profile Optimization and Time Sharing for Near-field Localization
- auteur
- Moustafa Rahal, Benoit Denis, Kamran Keykhosravi, Musa Furkan Keskin, Bernard Uguen, Henk Wymeersch
- article
- IEEE 95th Vehicular Technology Conference: (VTC-Spring), Jun 2022, Helsinki, Finland. ⟨10.1109/VTC2022-Spring54318.2022.9860413⟩
- Accès au bibtex
-
- titre
- The epsilon-stable region analysis in dynamic downlink cellular networks
- auteur
- Qiong Liu, Jean-Yves Baudais, Philippe Mary
- article
- IEEE Vehicular Technology Conference (VTC2022-Spring), Jun 2022, Helsinki, Finland
- Accès au texte intégral et bibtex
-
- titre
- A self-adaptive RIS that estimates and shapes fading rich-scattering wireless channels
- auteur
- Chloe Saigre-Tardif, Philipp del Hougne
- article
- 2022 IEEE 95th Vehicular Technology Conference (VTC2022-Spring), Jun 2022, Helsinki, Finland. pp.1-5, ⟨10.1109/VTC2022-Spring54318.2022.9860667⟩
- Accès au texte intégral et bibtex
-
- titre
- The ϵ-stable region analysis in dynamic downlink cellular networks
- auteur
- Qiong Liu, Jean-Yves Baudais, Philippe Mary
- article
- IEEE Vehicular Technology Conference, Jun 2022, Helsinki, Finland. ⟨10.1109/VTC2022-Spring54318.2022.9860685⟩
- Accès au texte intégral et bibtex
-
- titre
- MIMO Techniques in a Visible Light Communication (VLC) Link with Imager
- auteur
- Robin Le Priol, Maryline Hélard, Sylvain Haese, Sebastien Roy
- article
- 2022 20th IEEE Interregional NEWCAS Conference (NEWCAS), Jun 2022, Quebec City, Canada. pp.270-274, ⟨10.1109/NEWCAS52662.2022.9842022⟩
- Accès au bibtex
-
- titre
- Effect of Permittivity on Temporal Interference Modeling
- auteur
- Gabriel Gaugain, Maxim Zhadobov, Ronan Sauleau, Julien Modolo, Denys Nikolayev
- article
- BioEM2022, Jun 2022, Nagoya (on line), Japan
- Accès au texte intégral et bibtex
-
- titre
- Soft potential and Van der Waals effects in He−KCl(001) grazing−incidence fast atom diffraction
- auteur
- G.A. Bocan, H. Breiss, S. Szilasi, A. Momeni, E.M. Staicu Casagrande, E.A. Sánchez, M.S. Gravielle, And H. Khemliche
- article
- 29th international conference on atomic collisions in solids, Jun 2022, Helsinki, Finland
- Accès au bibtex
-
- titre
- Analysis of Unicast/Broadcast Switch Over with Regard to Resource Allocation for Future Cellular Networks
- auteur
- Mohamad Younes, Yves Louet
- article
- 4th IEEE Global Power, Energy and Communication Conference (IEEE GPECOM), Jun 2022, Cappadocia, Turkey. ⟨10.1109/GPECOM55404.2022.9815805⟩
- Accès au bibtex
-
- titre
- Cooperative Multicell Downlink Joint Coordinated Switched Beamforming and User Pairing
- auteur
- Youssef Fakih
- article
- 4th IEEE Global Power, Energy and Communication Conference (IEEE GPECOM), Jun 2022, Cappadocia, Turkey. ⟨10.1109/GPECOM55404.2022.9815672⟩
- Accès au bibtex
-
- titre
- Towards avoiding microgrid design failures arising from an unrealistic operating strategy: an anticipative White Box model versus a responsive Black Box model
- auteur
- Elsy El Sayegh, Nabil Sadou, Pierre Haessig, Sarah Nasr, Julien Bruschi, Benoît Jacquet, Ali El Akoum, Hervé Guéguen
- article
- Jeunes Chercheurs en Génie Électrique (JCGE22), Jun 2022, Le Croisic, France
- Accès au texte intégral et bibtex
-
- titre
- An Efficient Analog Eigen-Beamforming Procedure for Wideband mmWave MIMO-OFDM Systems
- auteur
- Corentin Fonteneau, Matthieu Crussière, Bruno Jahan
- article
- 23rd IEEE International Symposium on a World of Wireless, Mobile and Multimedia Networks (IEEE WoWMoM), Jun 2022, Belfast, United Kingdom. ⟨10.1109/WoWMoM54355.2022.00040⟩
- Accès au bibtex
-
- titre
- Les auto-encodeurs variationnels dynamiques et leur application à la modélisation de spectrogrammes de parole
- auteur
- Laurent Girin, Xiaoyu Bie, Simon Leglaive, Thomas Hueber, Xavier Alameda-Pineda
- article
- JEP 2022 - 34e Journées d’Études sur la Parole, Université de Nantes, Jun 2022, Noirmoutier, France. pp.655-663, ⟨10.21437/JEP.2022-69⟩
- Accès au texte intégral et bibtex
-
- titre
- Réseau d’antennes à photo-mélange pour commutation photonique de faisceau en ondes millimétriques
- auteur
- Jérôme Taillieu, Alvarro Jose Pascual-Gracia, Muhsin Ali, Thomas Batté, Fabien Ferrero, Laurent Brochier, Olivier de Sagazan, Frédéric van Dijk, Luis Enrique Garcia Munoz, Guillermo Carpintero del Barrio, Ronan Sauleau, Mehdi Alouini, David Gonzalez-Ovejero
- article
- 22èmes Journées Nationales Microondes (JNM 2022), Jun 2022, Limoges, France. pp.815-818
- Accès au texte intégral et bibtex
-
- titre
- Application of chalcogenide glass to DBR filter reconfiguration
- auteur
- Clément Raguénès, Erwan Fourn, Cédric Quendo, Rozenn Allanic, Denis Le Berre
- article
- Journées Nationales Micro-ondes, Jun 2022, Limoges (France), France
- Accès au texte intégral et bibtex
-
- titre
- Reflecting Luneburg Lens : une nouvelle lentille à gradient d’Indice
- auteur
- C. Bilitos, J. Ruiz-Garcia, Ronan Sauleau, Enrica Martini, Stefano Maci, D. Gonzalez-Ovejero
- article
- 22èmes Journées Nationales Microondes (JNM 2022), Jun 2022, Limoges, France
- Accès au texte intégral et bibtex
-
- titre
- Réduction du niveau des harmoniques pour la réflexion anormale grâce à l'optimisation de la matrice de couplage des modes de Floquet
- auteur
- M Elineau, R Loison, S Méric, R Gillard, P Pagani, G Mazé-Merceur, P Pouliguen
- article
- XXIIèmes Journées Nationales Microondes, Jun 2022, Limoges, France
- Accès au texte intégral et bibtex
-
- titre
- Placement des récepteurs pour la localisation de drones dans une zone de surveillance
- auteur
- Pierre Leba, Stéphane Méric, Jean-Yves Baudais, Matthieu Crussière, Pierre-Yves Jézéquel
- article
- Journées nationales microondes, Jun 2022, Limoges, France
- Accès au texte intégral et bibtex
-
- titre
- Comparaison des techniques ZF et MF pour des radars automobiles OFDM en utilisant les grandeurs PSLR et ISLR
- auteur
- B Benmeziane, Jean-Yves Baudais, S Méric, K Cinglant
- article
- Journées nationales microondes, Jun 2022, Limoges, France. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Characteristic Basis Function Method (CBFM) combinée à l'optique physique pour le calcul de la SER
- auteur
- Christophe Bourlier
- article
- Journées Nationales Microondes, Jun 2022, Limoges, France. pp.sciencesconf.org:jnm2022:381423
- Accès au bibtex
-
- titre
- Projet SWALIS. Mesures radar aéroportées en bande Ka : premiers résultats
- auteur
- Jean-Claude Kokou Koumi, Stéphane Méric, É Pottier, Guy Grunfelder, Mohamed Himdi, Cécile Leconte, Paul Leroy, Jordi Chinaud, Alain Mallet
- article
- Journées Nationales Microondes, Jun 2022, Limoges (France), France
- Accès au texte intégral et bibtex
-
- titre
- Intégration de condensateurs variables ferroélectriques dans une antenne reconfigurable en fréquence dans le domaine millimétrique
- auteur
- V Muzzupapa, Laure Huitema, Aurelian Crunteanu, Eric Arnaud, Caroline Borderon, Raphaël Renoud, Hartmut W. Gundel
- article
- XXIIèmes Journées Nationales Microondes, Limoges 8-10 juin 2022, Jun 2022, Limoges, France
- Accès au texte intégral et bibtex
-
- titre
- Résonateur reconfigurable à base de lignes couplées à éléments d'accord intégrés sur substrat silicium
- auteur
- Y Amara, R Allanic, D Le Berre, C Quendo, E Fourn
- article
- XXIIèmes Journées Nationales Microondes (JNM 2022), Jun 2022, LIMOGES, France
- Accès au texte intégral et bibtex
-
- titre
- Etude du couplage entre deux antennes en chambre réverbérante.
- auteur
- Meriem Tamart, Francois Sarrazin, Elodie Richalot, Matthieu Davy, Julien de Rosny
- article
- 22ièmes Journées Nationales Microondes, Jun 2022, Limoges, France
- Accès au texte intégral et bibtex
-
- titre
- Améliorée de la bande passante de réseau réflecteur entièrement métallique à base de cellules Phoenix 3D
- auteur
- Zhihang An, Tony Makdissy, María Garcia Vigueras, Sébastien Vaudreuil, Raphaël Gillard
- article
- XXIIèmes Journées Nationales Microondes (JNM2022), Jun 2022, Limoges, France. pp.311-314
- Accès au texte intégral et bibtex
-
- titre
- Guide d’onde à fente serpentin (bande W) en technologie imprimée
- auteur
- Olivier Lafond, Mohamed Himdi
- article
- JNM 2022, Jun 2022, Limoges, France
- Accès au bibtex
-
- titre
- Antenne basée sur une lentille Mikaelian avec balayage du faisceau
- auteur
- David Rene-Loxq, Olivier Lafond, Mohamed Himdi
- article
- JNM 2022, Jun 2022, Limoges, France
- Accès au bibtex
-
- titre
- Impact d'un panneau réflecteur sur une liaison radio indoor à 60 GHz en configuration NLOS : mesure et simulation
- auteur
- Mbissane Dieng, Gheorghe Zaharia, Ghaïs El Zein
- article
- 22ème édition des Journées Nationales Microondes (JNM), Laboratoire XLIM, Jun 2022, Limoges (France), France
- Accès au texte intégral et bibtex
-
- titre
- Arbitrary Beam Pattern Approximation via RISs with Measured Element Responses
- auteur
- Moustafa Rahal, Benoît Denis, Kamran Keykhosravi, Musa Furkan Keskin, Bernard Uguen, George C Alexandropoulos, Henk Wymeersch
- article
- Joint Conference of European Conference on Networks and Communications (EuCNC) and 6G Summit (6G Summit), Jun 2022, Grenoble, France. ⟨10.1109/EuCNC/6GSummit54941.2022.9815624⟩
- Accès au bibtex
-
- titre
- Cordée de la Réussite "PASS" de l'UR1 : L'électromagnétisme résonant par technologies de surface au service de la métrologie fine pour les mesures des vitesses de sédimentation dites de Stokes
- auteur
- Bruno Bêche, Valérie Mesnet, Cyril Le-Corre, Angelique Simoneau-Le-Sager, Cecile Lecomte
- article
- Cordée de la Réussite PASS : "Pour une ambition Scolaire Scientifique" (programme étatique), Jun 2022, Lycée Fulgence Bienvenüe, Loudéac, France
- Accès au bibtex
-
- titre
- Conception d’une antenne Vivaldi large bande et reconfigurable en diagramme de rayonnement pour les communications 5G
- auteur
- Amani Cherif, Mohamed Himdi, Saber Dakhli, Fethi Choubani
- article
- JNM 2022, Jun 2022, Limoges, France
- Accès au bibtex
-
- titre
- Performances d’une antenne LoRa fabriquée en matériaux composites
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier
- article
- JNM 2022, Jun 2022, Limoges, France
- Accès au bibtex
-
- titre
- Lightweight Stream Ciphers based on Chaos for Time and Energy Constrained IoT Applications
- auteur
- Ons Jallouli, Maryline Chetto, Safwan El
- article
- 11th Mediterranean Conference on Embedded Computing (MECO 2022), Jun 2022, Budva, Montenegro
- Accès au texte intégral et bibtex
-
- titre
- Conception et réalisation d'antennes sur des matériaux biosourcés à base de lin
- auteur
- A. Sennouni, Adnane Labdouni, Jean Marie Floc’h, Anne-Claude Tarot
- article
- JNM 2022, Jun 2022, LIMOGES, France
- Accès au bibtex
-
- titre
- Antenne 5G en structure composite sandwich
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier
- article
- JNM 2022, Jun 2022, Limoges, France
- Accès au bibtex
-
- titre
- Transformation and processes from thin filmto complex structures semiconductor/insulator/organic/semiconductor/insulator by PECVD interactions
- auteur
- Jordan Gastebois, Nathalie Coulon, Hervé Lhermite, Christophe Levallois, Alain Moréac, Lucas Garnier, France Le Bihan, Bruno Bêche
- article
- Journée Ecole Doctoral 3M, Jun 2022, Rennes, France
- Accès au bibtex
-
- titre
- Interference management for better coverage of future cellular networks
- auteur
- Mohamad Younes, Yves Louet
- article
- 29th International Conference on Systems, Signals and Image Processing (IWSSIP), Jun 2022, Sofia, Bulgaria. ⟨10.1109/IWSSIP55020.2022.9854499⟩
- Accès au bibtex
-
- titre
- Near Optimal Joint Switched Beamforming and User Pairing for MISO Communication System
- auteur
- Youssef Fakih
- article
- 29th International Conference on Systems, Signals and Image Processing (IWSSIP), Jun 2022, Sofia, Bulgaria. ⟨10.1109/IWSSIP55020.2022.9854429⟩
- Accès au bibtex
-
- titre
- Energy consumption management under quality-of-service constraints in the context of small cell networks
- auteur
- Mohamad Younes, Yves Louet
- article
- 29th International Conference on Systems, Signals and Image Processing (IWSSIP), Jun 2022, Sofia, Bulgaria. ⟨10.1109/IWSSIP55020.2022.9854453⟩
- Accès au bibtex
-
- titre
- Evaluation of the environmental benefits of energy management systems based on a realistic classification of French households - application to heating management systems
- auteur
- Alexis Wagner, Matthias Heinrich, Marie Ruellan
- article
- EEDAL, Jun 2022, Toulouse, France
- Accès au bibtex
-
- titre
- Joint optimization of energy consumption and spectral efficiency for 5G/6G point-to-point networks
- auteur
- Mohamad Younes, Yves Louet
- article
- 3rd URSI Atlantic and Asia Pacific Radio Science Meeting (AT-AP-RASC), May 2022, Gran Canaria, Spain
- Accès au bibtex
-
- titre
- Comparison of the transmission modes of 5G networks with a high density of base stations distributed according to Poisson Point Process
- auteur
- Mohamad Younes, Yves Louet
- article
- 3rd URSI Atlantic and Asia Pacific Radio Science Meeting (AT-AP-RASC), May 2022, Gran Canaria, Spain
- Accès au bibtex
-
- titre
- Microdosimetry in a realistic keratinocyte cell model at mmWave and HF frequencies
- auteur
- Zain Haider, Yves Le Dréan, Ronan Sauleau, Laura Caramazza, Micaela Liberti, Maxim Zhadobov
- article
- 3rd URSI Atlantic and Asia Pacific Radio Science Meeting (AT-AP-RASC), May 2022, Gran Canaria, Spain. ⟨10.23919/AT-AP-RASC54737.2022.9814391⟩
- Accès au texte intégral et bibtex
-
- titre
- OTOPO : une nouvelle activité d'autoévaluation pour Moodle
- auteur
- Eric Tanguy, Christophe Boiteux
- article
- Open Education Global, May 2022, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Deep Learning for Location Based Beamforming with Nlos Channels
- auteur
- Luc Le Magoarou, Taha Yassine, Stéphane Paquelet, Matthieu Crussière
- article
- 47th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2022, Singapore, Singapore. ⟨10.1109/ICASSP43922.2022.9747293⟩
- Accès au bibtex
-
- titre
- Deep-Learning-Assisted Configuration of Reconfigurable Intelligent Surfaces in Dynamic Rich-Scattering Environments
- auteur
- Kyriakos Stylianopoulos, Nir Shlezinger, Philipp del Hougne, George C Alexandropoulos
- article
- 47th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2022, Singapore, Singapore. ⟨10.1109/ICASSP43922.2022.9746311⟩
- Accès au bibtex
-
- titre
- A Design Technique For Linear Desensitized LNAs
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Yann Mahé, Tchanguiz Razban
- article
- International Conference on Electrical Engineering, May 2022, ICEE2022 Virtual Conference, Iran. Paper Id: icee1341
- Accès au bibtex
-
- titre
- Image Theory Verification: Closed Metal Loops in Proximity to Finite-Size Radiators and Grounds
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- 2022 International Workshop on Antenna Technology (iWAT), May 2022, Dublin, Ireland
- Accès au bibtex
-
- titre
- Achieving PAC Code Performance with SCL Decoding without Extra Computational Complexity
- auteur
- Samet Gelincik, Philippe Mary, Jean-Yves Baudais, Anne Savard
- article
- IEEE International Conference on Communications Workshops, ICC Workshops 2022, May 2022, Seoul, South Korea. ⟨10.1109/ICC45855.2022.9838502⟩
- Accès au texte intégral et bibtex
-
- titre
- Dielectric Material Significance on Common Mode Transient Immunity of a Shielded Pulse Planar Transformer
- auteur
- Loreine Makki, Antoine Laspeyres, Anne-Sophie Descamps, Julien Weckbrodt, Marc-Anthony Mannah, Christophe Batard, Nicolas Ginot
- article
- International Conference of the International Association for Mathematics and Computer in Simulation, May 2022, Nancy, France. pp.167-177
- Accès au bibtex
-
- titre
- Design of an End-Fire Efficient Superdirective Electrically Small Antenna Array
- auteur
- Abdellah Touhami, Sylvain Collardey, Ala Sharaiha
- article
- International Workshop on Antenna Technology (IWAT), May 2022, Dublin, Ireland. ⟨10.1109/iWAT54881.2022.9811068⟩
- Accès au bibtex
-
- titre
- Assessment of Area-Average Absorbed Power Density on Realistic Tissue Models at mmWaves
- auteur
- Ante Lojic Kapetanovic, Giulia Sacco, Dragan Poljak, Maxim Zhadobov
- article
- IEEE MTT-S International Microwave Biomedical Conference (IMBioC), May 2022, Suzhou, China. ⟨10.1109/IMBIOC52515.2022.9790150⟩
- Accès au texte intégral et bibtex
-
- titre
- Principal component analysis for microwave and millimeter wave computational imaging
- auteur
- Thomas Fromenteze, Okan Yurduseven, Philipp del Hougne, David R. Smith
- article
- International Workshop on Antenna Technology (IWAT), May 2022, Dublin, Ireland. ⟨10.1109/iWAT54881.2022.9811037⟩
- Accès au bibtex
-
- titre
- Developpement Durable et réseau IoT : l'équation est possible, Exemples de projets
- auteur
- Fabienne Nouvel, Stéphane Meric, R. Agarini, T. Bunouf, Q. Guellaen, G. Le, M. Meilhat, Y. Noeyri, M. Chevé, O. Le Bihan, K. Le Méhauté
- article
- Colloque sur les Objets et systèmes Connectés 2022, Ecole Supérieure Polytechnique de Dakar, May 2022, Dakar, Sénégal
- Accès au texte intégral et bibtex
-
- titre
- An improved monitoring of gate leakage current on SiC Power MOSFETs using source driver topology
- auteur
- Antoine Laspeyres, Loreine Makki, Corentin Darbas, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot, Stéphane Azzopardi, Thanh Long Le, Toni Youssef
- article
- PCIM Europe Conference, May 2022, Nuremberg, Germany
- Accès au bibtex
-
- titre
- High-Gain Luneburg Lens on COC Substrate for 300-GHz Applications
- auteur
- Adham Mahmoud, Jorge Ruiz-Garcia, Olivier De Sagazan, Mauro Ettorre, Ronan Sauleau, David Gonzalez-Ovejero
- article
- 21st Mediterranean Microwave Symposium (MMS), May 2022, Pizzo Calabro, Italy. ⟨10.1109/MMS55062.2022.9825518⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Band, Dual-polarized 16-Slot Continuous Transverse Stub Array for SatCom Applications
- auteur
- Ahmed D. Alwakil, Ronan Sauleau, Mauro Ettorre, Romain Confreres
- article
- 21st Mediterranean Microwave Symposium (MMS), May 2022, Pizzo Calabro, Italy. ⟨10.1109/MMS55062.2022.9825511⟩
- Accès au bibtex
-
- titre
- Mutli-layer CTS antenna array in PCB technology for SatCom applications
- auteur
- Adham Mahmoud, Michele del Mastro, Thomas Potelon, Ronan Sauleau, Mauro Ettorre
- article
- 21st Mediterranean Microwave Symposium (MMS), May 2022, Pizzo Calabro, Italy. ⟨10.1109/MMS55062.2022.9825570⟩
- Accès au bibtex
-
- titre
- Broadband Reconfigurable Vivaldi Antenna for 5G Communication
- auteur
- Amani Cherif, Mohamed Himdi, Saber Dakhli, Xavier Castel, Fethi Choubani
- article
- 2022 IEEE 22nd Annual Wireless and Microwave Technology Conference (WAMICON), Apr 2022, Clearwater, United States. pp.1-4, ⟨10.1109/WAMICON53991.2022.9786132⟩
- Accès au bibtex
-
- titre
- Mechanical characterization of a piezoelectric sensor for podiatrist applications
- auteur
- Samia Adrar, Mohammed El Gibari, Menard Yoen, Jean-Christophe Thomas, Raynald Seveno
- article
- 2022 IEEE International Systems Conference (SysCon), Apr 2022, Montreal, France. pp.1-5, ⟨10.1109/SysCon53536.2022.9773897⟩
- Accès au bibtex
-
- titre
- Learning and controlling the source-filter representation of speech with a variational autoencoder
- auteur
- Samir Sadok, Simon Leglaive, Laurent Girin, Xavier Alameda-Pineda, Renaud Seguier
- article
- CFA 2022 - 16ème Congrès Français d'Acoustique, Société Française d'Acoustique (SFA), Apr 2022, Marseille, France
- Accès au bibtex
-
- titre
- Unsupervised Log-Likelihood Ratio Estimation for Short Packets in Impulsive Noise
- auteur
- Yasser Mestrah, Dadja Anade, Anne Savard, Alban Goupil, Malcolm Egan, Philippe Mary, Jean-Marie S Gorce, Laurent Clavier
- article
- IEEE Wireless Communications and Networking Conference (WCNC), Apr 2022, Austin, United States. pp.1-6, ⟨10.1109/WCNC51071.2022.9771897⟩
- Accès au texte intégral et bibtex
-
- titre
- Detection of Primary User assisted by Machine Learning over Multipath Channels
- auteur
- Kais Bouallegue, Matthieu Crussière
- article
- IEEE Wireless Communications and Networking Conference (IEEE WCNC), Apr 2022, Austin, United States. ⟨10.1109/WCNC51071.2022.9771980⟩
- Accès au bibtex
-
- titre
- On the Impact of Phase Noise on Beamforming Performance for mmWave Massive MIMO Systems
- auteur
- Baptiste Chatelier, Matthieu Crussière
- article
- IEEE Wireless Communications and Networking Conference (IEEE WCNC), Apr 2022, Austin, United States. ⟨10.1109/WCNC51071.2022.9771690⟩
- Accès au bibtex
-
- titre
- Deep Learning for a Fair Distance-based SCMA Detector
- auteur
- Manel Rebhi, Kais Hassan, Kosai Raoof, Pascal Charge
- article
- 2022 IEEE Wireless Communications and Networking Conference (WCNC), Apr 2022, Austin, France. pp.650-655, ⟨10.1109/WCNC51071.2022.9771635⟩
- Accès au bibtex
-
- titre
- A microdosimetric study at the cellular and intracellular level using a 3D realistic cell model
- auteur
- Laura Caramazza, Annalisa de Angelis, Zain Haider, Maxim Zhadobov, Franck Andre, Lluis M. Mir, Francesca Apaonio, Micaela Liberti
- article
- 51st European Microwave Conference (EuMC), Apr 2022, London, United Kingdom. ⟨10.23919/EuMC50147.2022.9784171⟩
- Accès au texte intégral et bibtex
-
- titre
- Segmentation of a Complex Horn Antenna for Efficient Analysis and Optimization
- auteur
- Lucas Polo-López, Juan Corcoles, Jorge A. Ruiz-Cruz, Jose R. Montejo-Garai, Jesus M. Rebollar
- article
- 51st European Microwave Conference (EuMC), Apr 2022, London, United Kingdom. ⟨10.23919/EuMC50147.2022.9784338⟩
- Accès au bibtex
-
- titre
- Multimode scattering matrix optimisation for the mitigation of harmonics in anomalous reflection metasurfaces
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- 51st European Microwave Conference (EuMC), Apr 2022, London, United Kingdom
- Accès au bibtex
-
- titre
- Wideband high-gain transmitarray antenna for point-to-point communications at 300 GHz
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Antonio Clemente, Ronan Sauleau
- article
- EuMC 2021 - European Microwave Conference 2021, Apr 2022, London, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Quasi-monostatic Radar Cross-Section Measurement in Reverberation Chamber
- auteur
- C. Charlo, P. Besnier, Stéphane Méric
- article
- 18th European Radar Conference (EuRAD) / European Microwave Week (EuMW), Apr 2022, London, United Kingdom. ⟨10.23919/EuRAD50154.2022.9784577⟩
- Accès au bibtex
-
- titre
- Receivers placement for UAV localization in a surveillance area
- auteur
- Pierre Leba, Jean-Yves Baudais, Stéphane Méric, Matthieu Crussière, Pierre-Yves Jézéquel
- article
- European radar conference, Apr 2022, Excel, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Comparison of ZF and MF filters through PSLR and ISLR assessment in automotive OFDM radar
- auteur
- Bochra Benmeziane, Jean-Yves Baudais, Stéphane Méric, Kevin Cinglant
- article
- European radar conference, Apr 2022, Excel, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Technical and pedagogical challenges in micronanoelectronics for facing upcoming digital society
- auteur
- Olivier Bonnaud
- article
- 13th Latin America Symposium on Circuits and System (LASCAS), Apr 2022, Puerto Varas, Chile. ⟨10.1109/LASCAS53948.2022.9789087⟩
- Accès au bibtex
-
- titre
- Pilotage et surveillance de MOSFET SiC : Intégration de fonctions intelligentes dans les gate drivers
- auteur
- Antoine Laspeyres, Nicolas Ginot, Christophe Batard, Anne-Sophie Descamps
- article
- Journées des Electroniques de Puissance, GdR SEEDS CNRS, Mar 2022, Grenoble, France
- Accès au bibtex
-
- titre
- Dual-band, dual-linearly polarized transmitarrays for SATCOM applications at Ka-band
- auteur
- Reda Madi, Ronan Sauleau, Antonio Clemente
- article
- EUcap 2022 - The 16th European Conference on Antennas and Propagation, Mar 2022, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- Mosaic Frequency Selective Surface with Wideband Response for the Optically Transparent and Absorber Applications
- auteur
- Nur Biha Mohamed Nafis, Mohamed Himdi, Mohamad Kamal A Rahim, Faissal Merzaki
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769471⟩
- Accès au bibtex
-
- titre
- Cavity-Backed Broadband Microstrip Antenna Array for Photonic Beam Steering at W Band
- auteur
- Jérôme Taillieu, Ronan Sauleau, Mehdi Alouini, David Gonzalez-Ovejero
- article
- 16th European Conference on Antennas and Propagation (EuCAP 2022), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769419⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Circularly Polarized and Highly Depointing Reflectarrays with high polarization purity
- auteur
- Andrea Guarriello, Daniele Bresciani, Hervé Legay, George Goussetis, Renaud Loison
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- Small Conformal Cavity-Backed Magnetoelectric Antenna for GNSS Bands
- auteur
- Alexandre Causse, Loic Bernard, Sylvain Collardey, Ala Sharaiha
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au bibtex
-
- titre
- Bayesian Optimisation of a Frequency Selective Surface Using a Regularised Objective Function
- auteur
- Kilian Bihannic, Jérémy Omer, Renaud Loison, Guillaume Reille
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769068⟩
- Accès au texte intégral et bibtex
-
- titre
- Metal-Only Reflectarray Unit Cell for Dual-Polarization Control
- auteur
- Angel Palomares-Caballero, Carlos Molero, Pablo Padilla, M. García-Vigueras, Raphael Gillard
- article
- 2022 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. pp.1-4, ⟨10.23919/EuCAP53622.2022.9769306⟩
- Accès au bibtex
-
- titre
- Synthesis of Sparse Large Arrays via Sequential Convex Optimizations
- auteur
- Christos Monochristou, Shang Xiang, Mark Holm, Ronan Sauleau, Mauro Ettorre
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769607⟩
- Accès au bibtex
-
- titre
- Synthesis and characterization of a focused-beam transmitarray antenna at 300 GHz
- auteur
- Francesco Foglia Manzillo, Orestis Koutsos, Benjamin Fuchs, Ronan Sauleau, Antonio Clemente
- article
- EuCAP 2022 - the European Conference on Antennas and Propagation 2022, Mar 2022, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- New reconfigurable HMSIW associated to horn antenna
- auteur
- David Rene-Loxq, Olivier Lafond, Mohamed Himdi, Langis Roy, Farhan Ghaffar
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769031⟩
- Accès au bibtex
-
- titre
- Higher-Symmetries for Broadband Reflecting Luneburg Lenses at Ka-band
- auteur
- C. Bilitos, J. Ruiz-Garcia, R Sauleau, E Martini, S. Maci, D. Gonzalez-Ovejero
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- Circularly-Polarized GNSS Metasurface Antenna with Two Feed Points in a Sub-wavelength Metallic Cavity
- auteur
- L. García-Gámez, L. Bernard, R Sauleau, S. Collardey, K. Mahdjoubi, P Pouliguen, P. Potier
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au bibtex
-
- titre
- Examination of Impedance Response of Capsule-Integrated Antennas Through Gastrointestinal Tract
- auteur
- Erdem Cil, Sema Dumanli, Denys Nikolayev
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- Theoretical Efficiency and Dosimetry of Buffered On-body Transmitter Antennas for Wireless Powering of In-body Devices
- auteur
- Icaro Soares, Anja K. Skrivervik, Denys Nikolayev
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769177⟩
- Accès au texte intégral et bibtex
-
- titre
- Beam-Steerable Helical Antenna Using Plasma Reflectors
- auteur
- Fatemeh Sadeghikia, Mahsa Valipour, Ali Karami Horestani, Mohamed Himdi, Theodore Anderson
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769604⟩
- Accès au bibtex
-
- titre
- Applying machine learning techniques to compute vertical refractivity profiles in maritime environments
- auteur
- Jacques Claverie, Jean Motsch
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au bibtex
-
- titre
- A Metal-Only Reflectarray Made of 3D Phoenix Cells
- auteur
- Zhihang An, Tony Makdissy, Maria Garcia-Vigueras, Sébastien Vaudreuil, Raphaël Gillard
- article
- 2022 16th European Conference on Antennas and Propagation, EuCAP 2022, Mar 2022, Madrid, Spain
- Accès au bibtex
-
- titre
- Parallel-Plate Lens Beamformer in Multilayer PCB Technology for Wide-Angle Scanning
- auteur
- Thomas Strober, Ségolène Tubau, Hervé Legay, Etienne Girard, George Goussetis, Mauro Ettorre
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9768891⟩
- Accès au bibtex
-
- titre
- Metal only Multi-beam Fabry-Perot Antenna
- auteur
- Jorge Ruiz-Garcia, Christos Bilitos, Enrica Martini, Giovanni Toso, Stefano Maci, David Gonzalez-Ovejero
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769193⟩
- Accès au texte intégral et bibtex
-
- titre
- A New Approach for Matching Small Parasitic Superdirective Antennas Using Network Characteristic Modes
- auteur
- Abdellah Touhami, Ala Sharaiha, Sylvain Collardey
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769410⟩
- Accès au bibtex
-
- titre
- Enhancement Indoor mmWave Coverage Using Passive Reflector for NLOS Scenario
- auteur
- Marwan El Hajj, Mbissane Dieng, Gheorghe Zaharia, Ghais El Zein
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain
- Accès au bibtex
-
- titre
- Analysis of Resonant Bessel-Beam Launchers based on Isotropic Metasurfaces
- auteur
- E Negri, Walter Fuscaldo, Mauro Ettorre, Paolo Burghignoli, Alessandro Galli
- article
- 16th European Conference on Antennas and Propagation (EuCAP), Mar 2022, Madrid, Spain. ⟨10.23919/EuCAP53622.2022.9769192⟩
- Accès au bibtex
-
- titre
- Calcul de la SER par une méthode par décomposition de domaine (CBFM) combinée à l'optique physique
- auteur
- Christophe Bourlier
- article
- Journée Scientifique CEA CESTA, Mar 2022, Bordeaux, France
- Accès au bibtex
-
- titre
- A Comparison Among DPI Immunities of Multi-Stage CSVCOs and Ring Oscillators
- auteur
- Qazi Mashaal Khan, Akram Ramezani, Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- 2021 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo), Mar 2022, Bruges, Belgium. pp.123-127, ⟨10.1109/EMCCompo52133.2022.9758599⟩
- Accès au texte intégral et bibtex
-
- titre
- Digital and Analogue Hardware Design of an On-Board EMI Detector
- auteur
- Hasan Habib, Tim Claeys, Richard Perdriau, Davy Pissoort
- article
- 2021 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo), Mar 2022, Bruges, Belgium. pp.117-122, ⟨10.1109/EMCCompo52133.2022.9758598⟩
- Accès au bibtex
-
- titre
- Bayesian Optimisation of a Metasurface using a Penalised Objective Function
- auteur
- Kilian Bihannic, Jérémy Omer, Renaud Loison, Guillaume Reille
- article
- 23ème congrès annuel de la Société Française de Recherche Opérationnelle et d'Aide à la Décision, INSA Lyon, Feb 2022, Villeurbanne - Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Ultra-high gain transmitarray antenna for wireless backhauling at 280 GHz
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Antonio Clemente, Mathieu Caillet, Ronan Sauleau
- article
- IRMMW-THz2022 - The 47th International Conference on Infrared, Millimeter and Terahertz Waves, Feb 2022, Delft, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Modeling of Energy Consumption for Wired Access Control Systems
- auteur
- M. Oussayran, J.-C. Prévotet, Jean-Yves Baudais, A. Maiga
- article
- 11th International Conference on Sensor Networks, Feb 2022, Online Streaming, France. pp.144-151, ⟨10.5220/0010841300003118⟩
- Accès au texte intégral et bibtex
-
- titre
- L’accompagnement à l’innovation pédagogique : un processus de développement professionnel mutuel - Regard croisé d’un enseignant et d’une conseillère pédagogique
- auteur
- Aude Pichon, Eric Tanguy
- article
- QPES Questions de Pédagogie dans l'Enseignement supérieur, Jan 2022, La Rochelle, France
- Accès au texte intégral et bibtex
-
- titre
- Expert Training: Enhancing AI Resilience to Image Coding Artifacts
- auteur
- Alban Marie, Karol Desnos, Luce Morin, Lu Zhang
- article
- Electronic Imaging, Image Processing: Algorithms and Systems XX, Jan 2022, San Francisco, United States
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Pre-Trained CNN Models for Geographic Fake Image Detection
- auteur
- Sid Ahmed Fezza, Mohammed Yasser Ouis, Bachir Kaddar, Wassim Hamidouche, Abdenour Hadid
- article
- IEEE 24th International Workshop on Multimedia Signal Processing (MMSP), 2022, Shanghai, China. ⟨10.1109/MMSP55362.2022.9949282⟩
- Accès au bibtex
-
- titre
- Parallelization of the Wide-band Wide-field Spectral Deconvolution Framework DDFacet on Distributed Memory HPC System
- auteur
- Nicolas Monnier, Erwan Raffin, Cyril Tasse, Jean-François Nezan, Oleg Smirnov
- article
- Astronomical Data Analysis Software and Systems XXX. ASP Conference Series, 2022, à renseigner, Unknown Region. pp.377
- Accès au bibtex
-
- titre
- Region-free Safe Screening Tests for `1-penalized Convex Problems
- auteur
- Cédric Herzet, Clément Elvira, Hong-Phuong Dang
- article
- European Signal Processing Conference, 2022, Belgrade, Serbia. pp.2061-2065, ⟨10.23919/EUSIPCO55093.2022.9909532⟩
- Accès au bibtex
-
Book sections
- titre
- Reconfigurable Antennas Based on Plasma Reflectors and Cylindrical Slotted Waveguide
- auteur
- Fatemeh Sadeghikia, Ali Karami Horestani, Mohamed Himdi
- article
- Plasma Science - Recent Advances, New Perspectives and Applications [Working Title], IntechOpen, 2022, ⟨10.5772/intechopen.108017⟩
- Accès au texte intégral et bibtex
-
- titre
- General Introduction
- auteur
- Alberto Bosio, Daniel Menard, Olivier Sentieys
- article
- Approximate Computing Techniques, Springer International Publishing, 2022, ⟨10.1007/978-3-030-94705-7_1⟩
- Accès au bibtex
-
- titre
- Fuzzy Set Theory-Based Approach for Mining Spatial Association Rules: Road Accident as a Case Study
- auteur
- Addi Ait-Mlouk, Mohamed Ait-Mlouk, Fatima-Zahra El Mazouri, Arindam Dey, Tarik Agouti
- article
- AI and IoT for Sustainable Development in Emerging Countries, 105, Springer International Publishing, pp.353-370, 2022, Lecture Notes on Data Engineering and Communications Technologies, ⟨10.1007/978-3-030-90618-4_17⟩
- Accès au bibtex
-
- titre
- Customizing Number Representation and Precision
- auteur
- Olivier Sentieys, Daniel Menard
- article
- Approximate Computing Techniques - From Component- to Application-Level, Springer, 2022, ⟨10.1007/978-3-030-94705-7_2⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of the Impact of Approximate Computing on the Application Quality
- auteur
- Justine Bonnot, Daniel Ménard, Karol Desnos
- article
- Approximate Computing Techniques: From Component- to Application-Level, Springer, pp.145-176, 2022, ⟨10.1007/978-3-030-94705-7_6⟩
- Accès au bibtex
-
- titre
- Surface-wave based metasurface antennas
- auteur
- Enrica Martini, Marco Faenzi, David Gonzalez-Ovejero, Stefano Maci
- article
- Antenna and Array Technologies for Future Wireless Ecosystems, pp.1-41, 2022, ⟨10.1002/9781119813910.ch1⟩
- Accès au bibtex
-
- titre
- Crypto-compression of videos
- auteur
- Cyril Bergeron, Wassim Hamidouche, Olivier Déforges
- article
- Multimedia Security 2: Biometrics, Video Surveillance and Multimedia Encryption, Wiley, pp.129-171, 2022, 9781119987390. ⟨10.1002/9781119987390.ch5⟩
- Accès au bibtex
-
- titre
- Approximate Computing at the Algorithmic Level
- auteur
- Justine Bonnot, Alexandre Mercat, Erwan Nogues, Daniel Ménard
- article
- Approximate Computing Techniques: From Component- to Application-Level, Springer, pp.109-142, 2022, ⟨10.1007/978-3-030-94705-7_5⟩
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Contributions to system-level modelling and simulation of hardware-software architectures of embedded systems.
- auteur
- Sébastien Le Nours
- article
- Electronics. Nantes Université, 2022
- Accès au texte intégral et bibtex
-
Special issue
- titre
- Special Issue on Cryptography and Its Applications in Information Security
- auteur
- Safwan El Assad, René Lozi, William Puech
- article
- Applied Sciences, 12 (5), pp.2588-2590, 2022, ⟨10.3390/app12052588⟩
- Accès au texte intégral et bibtex
-
Lectures
- titre
- Physique Mécanique ESIR (Cycle Prépa CUPGE 1A)
- auteur
- Bruno Bêche
- article
- Licence. ESIR cupge Univ Rennes 1, France. 2022, pp.132
- Accès au texte intégral et bibtex
-
- titre
- Bond Graphs - A graphical language for the analysis of multiphysical systems
- auteur
- Pierre Haessig
- article
- Engineering school. France. 2022
- Accès au texte intégral et bibtex
-
Other publications
- titre
- SOndes Surfaciques de LUmière Résonantes pour les mesures différentielles de VIScosités (SOS LURVIS)
- auteur
- Bruno Bêche, Hervé Lhermite, Lucas Garnier
- article
- Déclaration d'Invention SATT Ouest Valorisation, 2022, pp.1-20
- Accès au bibtex
-
Books
- titre
- Travaux dirigés de physique mécanique
- auteur
- Bruno Bêche
- article
- CUPGE 1A ESIR, pp.18, 2022
- Accès au texte intégral et bibtex
-
- titre
- Cryptography and Its Applications in Information Security
- auteur
- Safwan El Assad, René Lozi, William Puech
- article
- , 12, pp.1-3, 2022
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Stratégies d’Intelligence Collective pour des Véhicules Industriels Autonomes Efficaces
- auteur
- Juliette Grosset, Jean-Marie Bonnin, Alain-Jérôme Fougères, Moïse Djoko-Kouam
- article
- 16e Colloque sur Les sciences de l’information au service des nouvelles mobilités, Oct 2022, Palaiseau, France. 2022
- Accès au texte intégral et bibtex
-
- titre
- Gestion orienté qualité d’expérience de systèmes embarqués reconfigurables par réutilisation de modules
- auteur
- Alexis Duhamel, Sébastien Pillement, Wiem Kouki
- article
- XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. , pp.#ID871, 2022, GRETSI'22
- Accès au texte intégral et bibtex
-
- titre
- Semantic analysis of bitstreams: Application to ring oscillator detection for FPGA security
- auteur
- Sylvain Takougang, Andrea Pinna, Sebastien Pillement
- article
- 16th Colloque of the GDR SOC2, Jun 2022, Strasbourg, France. 2022
- Accès au bibtex
-
- titre
- Hybrid Performance Prediction Models for Fully-Connected Neural Networks on MPSoC
- auteur
- Quentin Dariol, Sébastien Le Nours, Sébastien Pillement, Ralf Stemmer, Domenik Helms, Kim Grüttner
- article
- Colloque National du GDR SOC2, Jun 2022, Strasbourg, France. , 2022
- Accès au texte intégral et bibtex
-
Proceedings
- titre
- Node-Screening Tests For The L0-Penalized Least-Squares Problem
- auteur
- Theo Guyard, Cédric Herzet, Clément Elvira
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), IEEE; IEEE, pp.5448-5452, 2022, ⟨10.1109/ICASSP43922.2022.9747563⟩
- Accès au bibtex
-
- titre
- Invariant Smoothing with low process noise
- auteur
- Paul Chauchat, Silvere Bonnabel, Axel Barrau
- article
- IEEE, pp.4758-4763, ⟨10.1109/CDC51059.2022.9993071⟩
- Accès au texte intégral et bibtex
-
- titre
- Reliable GNSS Joint Position and Attitude Estimation in Harsh Environments through Robust Statistics
- auteur
- Andrea Belles, Daniel Medina, Paul Chauchat, Jordi Vila-Valls
- article
- 2022 IEEE Aerospace Conference (AERO), IEEE, pp.1-9, 2022, ⟨10.1109/AERO53065.2022.9843300⟩
- Accès au texte intégral et bibtex
-
Reports
- titre
- Caractéristiques du réseau AMBRA
- auteur
- Pierre Leba, Jean-Yves Baudais, Stéphane Méric, Pierre Yves Jezequel
- article
- RAPID AMBRA. 2022
- Accès au bibtex
-
- titre
- Setup of an Experimental Framework for Performance Modeling and Prediction of Embedded Multicore AI Architectures
- auteur
- Quentin Dariol, Sebastien Le Nours, Sébastien Pillement, Kim Grüttner, Domenik Helms, Ralf Stemmer
- article
- [Technical Report] IETR UMR 6164. 2022
- Accès au texte intégral et bibtex
-
Theses
- titre
- Développement de réseaux de communication pour la détection précoce de symptômes pathologiques
- auteur
- Houssein Taleb
- article
- Electronique. Nantes Université, 2022. Français. ⟨NNT : 2022NANU4050⟩
- Accès au texte intégral et bibtex
-
- titre
- Semelle piézoélectrique connectée pour applications médicales
- auteur
- Samia Adrar
- article
- Electronique. Nantes Université, 2022. Français. ⟨NNT : 2022NANU4054⟩
- Accès au texte intégral et bibtex
-
- titre
- Security of Distributed Model Predictive Control under False Data Injection
- auteur
- Rafael Accácio Nogueira
- article
- Automatic. CentraleSupélec, 2022. English. ⟨NNT : 2022CSUP0006⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of a dynamic resource allocation controller for partially reconfigurable FPGAs with service guarantee approach
- auteur
- Alexis Duhamel
- article
- Electronics. Nantes Université, 2022. English. ⟨NNT : 2022NANU4077⟩
- Accès au texte intégral et bibtex
-
- titre
- IoT networks : study of secure mobility solutions and integration into the 5G network
- auteur
- Hassan Jradi
- article
- Signal and Image processing. INSA de Rennes; École doctorale des Sciences et de Technologie (Beyrouth), 2022. English. ⟨NNT : 2022ISAR0013⟩
- Accès au texte intégral et bibtex
-
- titre
- Egocentric Hand Activity Recognition : The principal components of an egocentric hand activity recognition framework, exploitable for augmented reality user assistance
- auteur
- Mohamed Yasser Boutaleb
- article
- Graphics [cs.GR]. CentraleSupélec, 2022. English. ⟨NNT : 2022CSUP0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Approximated Computing-based Methods for Hardware Resources Reduction Targeting Heterogeneous Systems
- auteur
- Hugo Miomandre
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0021⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude et conception d’antennes réseaux transmetteurs millimétriques à reconfiguration par voie optique
- auteur
- Romain Cane
- article
- Electronique. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S071⟩
- Accès au texte intégral et bibtex
-
- titre
- Partitionnement non supervisé de données de grandes dimensions spatiale et spectrale pour l'aide à la décision
- auteur
- Jihan Alameddine
- article
- Autre. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S114⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude et réalisation d'antennes magnétoélectriques compactes en cavité large bande et multi-bandes pour systèmes volants
- auteur
- Alexandre Causse
- article
- Electronique. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S111⟩
- Accès au texte intégral et bibtex
-
- titre
- Salient Object Segmentation in 360° images/videos and light field
- auteur
- Yi Zhang
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0033⟩
- Accès au texte intégral et bibtex
-
- titre
- Transistors organiques à effet de champ pour une électronique flexible et écoresponsable : étude et optimisation d'OFET de type N sur substrats biosourcés
- auteur
- Sarah El Habchi Nguyen
- article
- Electronique. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S091⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of the combination of PLC and VLC technologies for intra-building communications
- auteur
- Yara Yaacoub
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0032⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude et conception d'antennes transparentes et discrètes
- auteur
- Abdoulaye Sissoko
- article
- Sciences de l'ingénieur [physics]. Nantes Université; Université du Mali, 2022. Français. ⟨NNT : 2022NANU4038⟩
- Accès au texte intégral et bibtex
-
- titre
- Learning-Based Network Intrusion Detection : an Imbalanced, Constantly Evolving and Timely Problem
- auteur
- Nicolas Sourbier
- article
- Cryptography and Security [cs.CR]. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0028⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanocomposites à effet électro-optique pour des composants opto-hyperfréquences hautes performances
- auteur
- Zahraa Jradi
- article
- Optique [physics.optics]. Nantes Université, 2022. Français. ⟨NNT : 2022NANU4060⟩
- Accès au texte intégral et bibtex
-
- titre
- Fabrication de nano-capteurs électroniques pour la détection de bactéries pathogènes
- auteur
- Yousra Benserhir
- article
- Electronique. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S047⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of submillimeter-wave antennas and associated technologies
- auteur
- Basem Mohammed Qasem Aqlan
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes; Ǧāmiʿaẗ al-Malik Saʿūd (Riyad), 2022. English. ⟨NNT : 2022REN1S095⟩
- Accès au texte intégral et bibtex
-
- titre
- Learning based coding and post-processing methods for 8K video reconstruction
- auteur
- Charles Bonnineau
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0006⟩
- Accès au texte intégral et bibtex
-
- titre
- Study, modeling and design of fixed beam transmitarray antennas at 300 GHz
- auteur
- Orestis Koutsos
- article
- Electronics. Université Rennes 1, 2022. English. ⟨NNT : 2022REN1S042⟩
- Accès au texte intégral et bibtex
-
- titre
- Differential Mode and Common Mode Modeling of Pulse Transformers for Gate-Driver Applications
- auteur
- Loreine Makki
- article
- Electric power. Nantes Université, 2022. English. ⟨NNT : 2022NANU4029⟩
- Accès au texte intégral et bibtex
-
- titre
- Commande décentralisée et modulaire des convertisseurs MMC intégrée au coeur des gate-drivers
- auteur
- Corentin Darbas
- article
- Energie électrique. Nantes Université, 2022. Français. ⟨NNT : 2022NANU4028⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvements of VLC (Visible Light Communication) Transmissions Under Illumination Constraints : Theoretical Studies and Experiments
- auteur
- Robin Le Priol
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Communications à faible latence et à haute fiabilité dans les bandes millimétriques
- auteur
- Corentin Fonteneau
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2022. Français. ⟨NNT : 2022ISAR0010⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-time flexible and virtualized transponders for optical telecommunications
- auteur
- Alexandre Gouin
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0011⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient hardware designs of the new Versatile Video Coding (VVC) tools for ASIC platforms
- auteur
- Brahim Farhat
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0009⟩
- Accès au texte intégral et bibtex
-
- titre
- Complexity reduction of VVC video encoding using machine learning techniques
- auteur
- Alexandre Tissier
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine learning based optimization for VVC low bitrate coding
- auteur
- Fatemeh Nasiri
- article
- Signal and Image processing. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0024⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions to the Analysis of Random Wireless Networks in Non-full Interference
- auteur
- Mohammadreza Mardani Varmazyar
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2022. English. ⟨NNT : 2022ISAR0019⟩
- Accès au texte intégral et bibtex
-
- titre
- Méthodes de conception de réseaux réflecteurs bifréquences exploitant la cellule Phoenix - Validation expérimentale en bande K/Ka
- auteur
- Guillaume Courtin
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2022. Français. ⟨NNT : 2022ISAR0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Amélioration de la compréhension de la parole et de l’écoute spatiale pour les malentendants appareillés
- auteur
- Adrien Llave
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupélec, 2022. Français. ⟨NNT : 2022CSUP0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation numérique rigoureuse pour la diffraction électromagnétique par un objet enfoui entre deux interfaces rugueuses. Application au Radar à Pénétration de Sol.
- auteur
- Marc Songolo Masudi
- article
- Electronique. Nantes Université, 2022. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude de matériaux innovants ferroélectriques en céramiques et couches minces pour une intégration dans des dispositifs miniatures et agiles en fréquences
- auteur
- Mohamad Haydoura
- article
- Electronique. Université Rennes 1, 2022. Français. ⟨NNT : 2022REN1S018⟩
- Accès au texte intégral et bibtex
-
- titre
- Approximations haute fréquence pour le calcul de la diffraction électromagnétique par un objet métallique
- auteur
- Gatien Septembre
- article
- Electronique. NANTES UNIVERSITE, 2022. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenne universelle pour lanceur
- auteur
- Nicolas Attaja
- article
- Electronique. Université de Rennes, 2022. Français. ⟨NNT : 2022REN1S076⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Wireless Powering Efficiency of Deep-Body Implantable Devices
- auteur
- Icaro Soares, Mingxiang Gao, Erdem Cil, Zvonimir Sipus, Anja K. Skrivervik, John S. Ho, Denys Nikolayev
- article
- 2022
- Accès au texte intégral et bibtex
-
- titre
- Microwave Speech Recognizer Empowered by a Programmable Metasurface
- auteur
- Hengxin Ruan, Siyuan Jiang, Hongrui Zhang, Hanting Zhao, Zhuo Wang, Shengguo Hu, Jun Ding, Tie Jun Cui, Philipp Del Hougne, Lianlin Li
- article
- 2022
- Accès au texte intégral et bibtex
-
- titre
- A note on fixed-and discrete-time estimation via the DREM method
- auteur
- M. Korotina, Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Jian Wang
- article
- 2022
- Accès au texte intégral et bibtex
-
- titre
- A Pre-Transformation Method to Increase the Minimum Distance of Polar-Like Codes
- auteur
- Samet Gelincik, Philippe Mary, Anne Savard, Jean-Yves Baudais
- article
- 2022
- Accès au texte intégral et bibtex
-
- titre
- On feasible solutions with guaranteed suboptimality for Quadratic Programming
- auteur
- Xiang Dai, Romain Bourdais, Hervé Guéguen
- article
- 2022
- Accès au texte intégral et bibtex
-
- titre
- On the Use of Impedance Detuning for Gastrointestinal Segment Tracking of Ingestible Capsules
- auteur
- Erdem Cil, Icaro Soares, David Renaudeau, Ronan Lucas, Sema Dumanli, Ronan Sauleau, Denys Nikolayev
- article
- 2022
- Accès au texte intégral et bibtex
-
2021
Journal articles
- titre
- PathTracer: Understanding Response Time of Signal Processing Applications on Heterogeneous MPSoCs
- auteur
- Claudio Rubattu, Francesca Palumbo, Shuvra S. Bhattacharyya, Maxime Pelcat
- article
- ACM Transactions on Modeling and Performance Evaluation of Computing Systems, 2021, 6 (4), pp.1-30. ⟨10.1145/3513003⟩
- Accès au bibtex
-
- titre
- Improved Directivity of an OAM Antenna by a Fabry-Perot Cavity: An Experimental Study
- auteur
- Wenlong Wei, Kourosh Mahdjoubi, Christian Brousseau, Olivier Emile
- article
- Open Journal of Antennas and Propagation, 2021, 09 (04), pp.65 - 73. ⟨10.4236/ojapr.2021.94006⟩
- Accès au texte intégral et bibtex
-
- titre
- Waveguide manufacturing technologies for next-generation millimeter-wave antennas
- auteur
- L. Polo-López, P. Sanchez-Olivares, E. García-Marín, J.A. Ruiz-Cruz, J. Córcoles, J.L. Masa-Campos, J.R. Montejo-Garai, J.M. Rebollar
- article
- Micromachines, 2021, 12 (12), pp.1565. ⟨10.3390/mi12121565⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamical Variational Autoencoders: A Comprehensive Review
- auteur
- Laurent Girin, Simon Leglaive, Xiaoyu Bie, Julien Diard, Thomas Hueber, Xavier Alameda-Pineda
- article
- Foundations and Trends in Machine Learning, 2021, 15 (1-2), pp.1-175. ⟨10.1561/2200000089⟩
- Accès au texte intégral et bibtex
-
- titre
- Authenticated Encryption Based on Chaotic Neural Networks and Duplex Construction
- auteur
- Nabil Abdoun, Safwan El Assad, Thang Manh Hoang, Olivier Deforges, Rima Assaf, Mohamad Khalil
- article
- Symmetry, 2021, 13 (12), pp.2432. ⟨10.3390/sym13122432⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and optimization of ultra-wideband planar multilayer absorber based on long-carbon fiber-loaded composites
- auteur
- Aicha El Assal, Hanadi Breiss, Ratiba Benzerga, Ala Sharaiha
- article
- Journal of Materials Science, 2021, 56 (56), pp.19484-19500. ⟨10.1007/s10853-021-06453-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Shaped Parallel-Plate Lens for Mechanical Wide-Angle Beam Steering
- auteur
- T. Strober, S. Tubau, E. Girard, H. Legay, G. Goussetis, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (12), pp.8158-8169. ⟨10.1109/TAP.2021.3090789⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring the loss of mass or the volume recessed into a waveguide by resonant signal principle: Nano-inscribed slots in DUV210 micro-resonators
- auteur
- Arthur Doliveira, Lucas Garnier, Fabrice Mahé, Hervé Lhermite, Etienne Gaviot, Bruno Bêche
- article
- International Journal of Physical Sciences, 2021, 16 (4), pp.158-169. ⟨10.5897/IJPS2021.4966⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable metamaterial structure for 5G beam tilting antenna applications
- auteur
- Bashar Esmail, Huda Majid, Samsul Dahlan, Zuhairiah Zainal Abidin, Mohamed Himdi, Raimi Dewan, Mohamad K. A. Rahim, Adel Ashyap
- article
- Waves in Random and Complex Media, 2021, 31 (6), pp.2089-2102. ⟨10.1080/17455030.2020.1720933⟩
- Accès au texte intégral et bibtex
-
- titre
- Lightweight Hardware Transform Design for the Versatile Video Coding 4K ASIC Decoders
- auteur
- Ibrahim Farhat, Wassim Hamidouche, Adrien Grill, Daniel Menard, Olivier Deforges
- article
- IEEE Transactions on Consumer Electronics, 2021, 67 (4), pp.329-340. ⟨10.1109/TCE.2021.3126549⟩
- Accès au texte intégral et bibtex
-
- titre
- Wireless Technologies, Medical Applications, and Future Challenges in WBAN: a Survey
- auteur
- Houssein Taleb, Abbass Nasser, Guillaume Andrieux, Nour Charara, Eduardo Motta Cruz
- article
- Wireless Networks, 2021, 27 (8), pp.5271-5295. ⟨10.1007/s11276-021-02780-2⟩
- Accès au bibtex
-
- titre
- Micro-expression recognition from local facial regions
- auteur
- M. Aouayeb, Wassim Hamidouche, Catherine Soladie, Kidiyo Kpalma, Renaud Seguier
- article
- Signal Processing: Image Communication, 2021, 99, pp.116457. ⟨10.1016/j.image.2021.116457⟩
- Accès au texte intégral et bibtex
-
- titre
- 3-D Characterization of Urban Areas Using High-Resolution Polarimetric SAR Tomographic Techniques and a Minimal Number of Acquisitions
- auteur
- Yue Huang, Laurent Ferro-Famil
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2021, 59 (11), pp.9086-9103. ⟨10.1109/TGRS.2020.3044150⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust adaptive stabilization by delay under state parametric uncertainty and measurement bias
- auteur
- Jian Wang, Stanislav Aranovskiy, Emilia Fridman, Dmitry Sokolov, Denis Efimov, Alexey Bobtsov
- article
- IEEE Transactions on Automatic Control, 2021, 66 (11), pp.5459-5466. ⟨10.1109/TAC.2020.3045125⟩
- Accès au texte intégral et bibtex
-
- titre
- 5G, l’exposition réelle des enfants
- auteur
- Maxim Zhadobov, Giulia Sacco
- article
- Les cahiers de la Recherche : Santé, Environnement, Travail, 2021, L'exposition des enfants, 18, pp.23-24
- Accès au texte intégral et bibtex
-
- titre
- Tunable TTB strontium and tantalum based thin films: Influence of the deposition parameters on the structural and dielectric properties
- auteur
- Mohamad Haydoura, Florent Marlec, Claire Le Paven, Ratiba Benzerga, Laurent Le Gendre, François Cheviré, Franck Tessier, Raynald Seveno, A. Sharaiha
- article
- Solid State Sciences, 2021, 121, pp.106733. ⟨10.1016/j.solidstatesciences.2021.106733⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Resolution Power Density Measurement Technique in the Near-Field Accounting for Antenna/Body Coupling at Millimeter-Waves
- auteur
- Massinissa Ziane, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2021, 20 (11), pp.2151-2155. ⟨10.1109/lawp.2021.3087019⟩
- Accès au texte intégral et bibtex
-
- titre
- A diagnosis method based on depthwise separable convolutional neural network for the attachment on the blade of marine current turbine
- auteur
- Bin Xin, Yilai Zheng, Tianzhen Wang, Lisu Chen, Yide Wang
- article
- Proceedings of the Institution of Mechanical Engineers, Part I: Journal of Systems and Control Engineering, 2021, 235 (10), pp.1916-1926. ⟨10.1177/0959651820937841⟩
- Accès au bibtex
-
- titre
- Quality-driven Variable Frame-Rate for Green Video Coding in Broadcast Applications
- auteur
- Glenn Herrou, Charles Bonnineau, Wassim Hamidouche, Patrick Dumenil, Jerome Fournier, Luce Morin
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2021, 31 (11), pp.4508-4522. ⟨10.1109/TCSVT.2020.3046881⟩
- Accès au texte intégral et bibtex
-
- titre
- Tuning the Aggregation Behaviour of BN-Coronene Diimides with the Imide Substituents and their Performance in Devices (OLED, OFET)
- auteur
- Jonas Hoffmann, Bernard Geffroy, Emmanuel Jaques, Muriel Hissler, Anne Staubitz
- article
- Journal of Materials Chemistry C, 2021, 9 (41), pp.14720-14729. ⟨10.1039/D1TC02991F⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental evidence of enhanced broadband transmission in disordered systems with mirror symmetry
- auteur
- Matthieu Davy, Clément Ferise, Élie Chéron, Simon Félix, Vincent Pagneux
- article
- Applied Physics Letters, 2021, 119 (14), pp.141104. ⟨10.1063/5.0062678⟩
- Accès au texte intégral et bibtex
-
- titre
- Rough layer scattering filled by elliptical cylinders from the method of moments combined with the characteristic basis function method and the Kirchoff approximation
- auteur
- Christophe Bourlier
- article
- Journal of the Optical Society of America, 2021, 38 (10), pp.1581-1593. ⟨10.1364/JOSAA.430309⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of sodium concentration on the synthesis of faujasite by two-step synthesis procedure
- auteur
- Lei Zhao, Ge Yang, Hailing Guo, Chunzheng Wang, Lijuan Wang, Svetlana Mintova
- article
- Chemical Research in Chinese Universities, 2021, 37 (5), pp.1137-1142. ⟨10.1007/s40242-021-1292-2⟩
- Accès au texte intégral et bibtex
-
- titre
- Estimating crop parameters using Sentinel-1 and 2 datasets and geospatial field data
- auteur
- Audrey Mercier, Julie Betbeder, Julien Denize, Jean-Luc Roger, Fabien Spicher, Jérôme Lacoux, David Roger, Jacques Baudry, Laurence Hubert-Moy
- article
- Data in Brief, 2021, 38, pp.107408. ⟨10.1016/j.dib.2021.107408⟩
- Accès au texte intégral et bibtex
-
- titre
- An Asymptotic Approach for the Scan Impedance in Infinite Phased Arrays of Dipoles
- auteur
- A.J. Pascual, R. Sauleau, D. Gonzalez-Ovejero
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (10), pp.6518 - 6530. ⟨10.1109/TAP.2021.3070716⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and modelling of rampart line antennas
- auteur
- Giulia Sacco, Olivier Caytan, Stefano Pisa, Hubregt Visser
- article
- IET Microwaves Antennas and Propagation, 2021, 15 (12), pp.1605-1617. ⟨10.1049/mia2.12168⟩
- Accès au bibtex
-
- titre
- A Conformal, Dynamic Pattern-Reconfigurable Antenna Using Conductive Textile-Polymer Composite
- auteur
- B. Mohamadzade, R.B.V.B. Simorangkir, R.M. Hashmi, R. Gharaei, A. Lalbakhsh, S. Shrestha, M. Zhadobov, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (10), pp.6175-6184. ⟨10.1109/TAP.2021.3069422⟩
- Accès au texte intégral et bibtex
-
- titre
- Local Dosimetry at Cellular and Subcellular Level in HF and Millimeter-Wave Bands
- auteur
- Zain Haider, Denys Nikolayev, Yves Le Drean, Annalisa De Angelis, Micaela Liberti, Ronan Sauleau, Maxim Zhadobov
- article
- IEEE Journal of Microwaves, 2021, 1 (4), pp.1003-1014. ⟨10.1109/JMW.2021.3111965⟩
- Accès au texte intégral et bibtex
-
- titre
- Diffuse field cross-correlations: scattering theory and electromagnetic experiments
- auteur
- Matthieu Davy, Philippe Besnier, Philipp del Hougne, Julien de Rosny, Elodie Richalot, François Sarrazin, Dmitry V Savin, Fabrice Mortessagne, Ulrich Kuhl, Olivier Legrand
- article
- Physical Review E , 2021, 104 (4), ⟨10.1103/PhysRevE.104.044204⟩
- Accès au texte intégral et bibtex
-
- titre
- Refined Node Energy Consumption Modeling in a LoRaWAN Network
- auteur
- Sébastien Maudet, Guillaume Andrieux, Romain Chevillon, Jean-François Diouris
- article
- Sensors, 2021, 21 (19), pp.6398. ⟨10.3390/s21196398⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Antenna Position to Improve the Radiation Pattern Characterization
- auteur
- Nicolas Mezieres, Benjamin Fuchs, Laurent Le Coq, Jean-Marie Lerat, Romain Contreres, Gwenn Le Fur
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (9), pp.5335-5344. ⟨10.1109/TAP.2021.3060894⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of Textile on Electromagnetic Power and Heating in Near-Surface Tissues at 26 GHz and 60 GHz
- auteur
- Giulia Sacco, Stefano Pisa, Maxim Zhadobov
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2021, 5 (3), pp.262-268. ⟨10.1109/JERM.2020.3042390⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and Measurements of a High-Performance Wideband Transmitarray Antenna for D-band Communications
- auteur
- Wassim Saleh, Yoann Letestu, Ronan Sauleau, Eduardo Motta Cruz
- article
- IEEE Antennas and Wireless Propagation Letters, 2021, 20 (9), pp.1765 - 1769. ⟨10.1109/LAWP.2021.3096743⟩
- Accès au texte intégral et bibtex
-
- titre
- Suppression of Hot-Carrier Effects Facilitated by the Multimodal Thin-Film Transistor Architecture
- auteur
- Eva Bestelink, Olivier de Sagazan, Lea Motte, Radu A. Sporea
- article
- Advanced Electronic Materials, 2021, 7 (9), pp.2100533. ⟨10.1002/aelm.202100533⟩
- Accès au bibtex
-
- titre
- Integrating stochastic discrete constraints in MPC. Application to Home Energy Management System
- auteur
- Jesse James Arthur Prince Agbodjan, Pierre Haessig, Romain Bourdais, Hervé Guéguen
- article
- IFAC Journal of Systems and Control, 2021, 17, pp.100168. ⟨10.1016/j.ifacsc.2021.100168⟩
- Accès au texte intégral et bibtex
-
- titre
- Low Complexity Quasi-Optimal Detector for Generalized Spatial Modulation
- auteur
- Majed Saad, Hussein Hijazi, Ali Chamas Al Ghouwayel, Faouzi Bader, Jacques Palicot
- article
- IEEE Communications Letters, 2021, 25 (9), pp.3003-3007. ⟨10.1109/LCOMM.2021.3093525⟩
- Accès au texte intégral et bibtex
-
- titre
- Circularly Polarized Leaky-Wave Antenna Based on a Dual-Mode Hollow Waveguide
- auteur
- A. Dorle, R. Gillard, E. Menargues, M. van Der Vorst, E. de Rijk, P. Martin-Iglesias, M. García-Vigueras
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (9), pp.6010-6015. ⟨10.1109/TAP.2021.3083783⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid dual stream blender for wide baseline view synthesis
- auteur
- Nour Hobloss, Lu Zhang, Stephane Lathuiliere, Marco Cagnazzo, Attilio Fiandrotti
- article
- Signal Processing: Image Communication, 2021, 97, pp.116366. ⟨10.1016/j.image.2021.116366⟩
- Accès au texte intégral et bibtex
-
- titre
- Scattering from quasi-planar and moderate rough surfaces: Efficient method to fill the EFIE-Galerkin MoM impedance matrix and to solve the linear system
- auteur
- Christophe Bourlier
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (9), pp.5761 - 5770. ⟨10.1109/TAP.2021.3060920⟩
- Accès au texte intégral et bibtex
-
- titre
- PUMA applied to time delay estimation for processing GPR data over debonded pavement structures
- auteur
- Bachir Tchana Tankeu, Vincent Baltazart, Yide Wang, David Guilbert
- article
- Remote Sensing, 2021, 13 (17), pp.3456. ⟨10.3390/rs13173456⟩
- Accès au texte intégral et bibtex
-
- titre
- A Circularly Polarized Sub-Terahertz Antenna with Low-Profile and High-Gain for 6G Wireless Communication Systems
- auteur
- Basem Aqlan, Mohamed Himdi, Hamsakutty Vettikalladi, Laurent Le-Coq
- article
- IEEE Access, 2021, 9, pp.122607-122617. ⟨10.1109/ACCESS.2021.3109161⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Wide-Angle Scanning Multibeam Antenna Array for V2X Communications
- auteur
- Muhammad Kamranishfaq, Suleiman Aliyu Babale, Hassan Tariq Chattha, Mohamed Himdi, Ali Raza, Muhammad Younas, Tharek Abd. Rahman, Sharul Kamal Abdul Rahim, Bilal Khawaja
- article
- IEEE Antennas and Wireless Propagation Letters, 2021, 19 (1), pp.1-1. ⟨10.1109/LAWP.2021.3100349⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of an Agar Biosubstrate for the Fabrication of a Flexible Organic N-type Transistor
- auteur
- Sarah Nguyen, Seung Jae Moon, Olivier de Sagazan, Nathalie Coulon, Christophe Lebreton, Emmanuel Jacques
- article
- ACS Applied Electronic Materials, 2021, 3 (8), pp.3567-3574. ⟨10.1021/acsaelm.1c00473⟩
- Accès au bibtex
-
- titre
- Experimental Realization of Sub-THz Circularly Polarized Antenna Based on Metasurface Superstrate at 300 GHz
- auteur
- Basem Aqlan, Mohamed Himdi, Hamsakutty Vettikalladi, Laurent Le-Coq
- article
- Materials, 2021, 14 (17), pp.4796. ⟨10.3390/ma14174796⟩
- Accès au texte intégral et bibtex
-
- titre
- Rotational Doppler shift from a rotating rod
- auteur
- Olivier Emile, Janine Emile, Christian Brousseau, Tangi Le Guennic, Pu Jian, Guillaume Labroille
- article
- Optics Letters, 2021, 46 (15), pp.3765-3768. ⟨10.1364/OL.435058⟩
- Accès au texte intégral et bibtex
-
- titre
- Applying Nakagami distribution for BER analysis of equal gain transmission in MU-MIMO systems
- auteur
- Hua Fu, Matthieu Crussière, Maryline Hélard
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2021, 138, ⟨10.1016/j.aeue.2021.153810⟩
- Accès au bibtex
-
- titre
- Switched Observer Design For a Class of Locally Unobservable Time-Varying Systems
- auteur
- Stanislav Aranovskiy, Denis Efimov, Dmitry Sokolov, Jian Wang, Igor Ryadchikov, Alexey Bobtsov
- article
- Automatica, 2021, 130, pp.109715. ⟨10.1016/j.automatica.2021.109715⟩
- Accès au texte intégral et bibtex
-
- titre
- Propagation-loss Characterization for Livestock Implantables at 433, 868, and 1400 MHz
- auteur
- Said Benaissa, Leen Verloock, Denys Nikolayev, Margot Deruyck, Gunter Vermeeren, Luc Martens, Jan Govaere, Frank Tuyttens, Bart Sonck, David Plets, Wout Joseph
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (8), pp.5166-5170. ⟨10.1109/TAP.2021.3060501⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring of gate leakage current on SiC power MOSFETs: an estimation method for smart gate drivers
- auteur
- Julien Weckbrodt, Nicolas Ginot, Christophe Batard, Stephane Azzopardi
- article
- IEEE Transactions on Power Electronics, 2021, 36 (8), pp.8752 - 8760. ⟨10.1109/TPEL.2021.3056648⟩
- Accès au texte intégral et bibtex
-
- titre
- Physical Side-Channel Attacks on Embedded Neural Networks: A Survey
- auteur
- Maria Mendez Real, Ruben Salvador
- article
- Applied Sciences, 2021, 11 (15), pp.6790. ⟨10.3390/app11156790⟩
- Accès au texte intégral et bibtex
-
- titre
- Direct Synthesis of Multiband Bandpass Filters With Generalized Frequency Transformation Methods
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier, Cédric Quendo
- article
- IEEE Transactions on Microwave Theory and Techniques, 2021, 69 (8), pp.3820-3831. ⟨10.1109/TMTT.2021.3086835⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and Design of Bessel Beam Launchers: Transverse Polarization
- auteur
- S.C. Pavone, Mauro Ettorre, Massimiliano Casaletti, M. Albani
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (8), pp.5175-5180. ⟨10.1109/TAP.2021.3060049⟩
- Accès au texte intégral et bibtex
-
- titre
- Perovskite (Sr2Ta2O7)100−x(La2Ti2O7)x ceramics: From dielectric characterization to dielectric resonator antenna applications
- auteur
- Mohamad Haydoura, Ratiba Benzerga, Claire Le Paven, Laurent Le Gendre, Vincent Laur, Alexis Chevalier, A. Sharaiha, Franck Tessier, François Cheviré
- article
- Journal of Alloys and Compounds, 2021, 872, pp.159728. ⟨10.1016/j.jallcom.2021.159728⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparative study of the methodologies used for subjective medical image quality assessment
- auteur
- Lucie Lévêque, Meriem Outtas, Hantao Liu, Lu Zhang
- article
- Physics in Medicine and Biology, 2021, 66 (15), pp.15TR02. ⟨10.1088/1361-6560/ac1157⟩
- Accès au texte intégral et bibtex
-
- titre
- Deeply Subwavelength Localization with Reverberation-Coded Aperture
- auteur
- Michael del Hougne, Sylvain Gigan, Philipp del Hougne
- article
- Physical Review Letters, 2021, 127 (4), pp.043903. ⟨10.1103/PhysRevLett.127.043903⟩
- Accès au bibtex
-
- titre
- 2-D Localization, Angular Separation and Vital Signs Monitoring Using a SISO FMCW Radar for Smart Long-Term Health Monitoring Environments
- auteur
- Marco Mercuri, Giulia Sacco, Rainer Hornung, Peng Zhang, Hubregt Visser, Martijn Hijdra, Yao-Hong Liu, Stefano Pisa, Barend van Liempd, Tom Torfs
- article
- IEEE Internet of Things Journal, 2021, 8 (14), pp.11065-11077. ⟨10.1109/JIOT.2021.3051580⟩
- Accès au bibtex
-
- titre
- Enhancing flexoelectricity in PEDOT:PSS polymer films with soft treatments
- auteur
- Maria Saadeh, Yara Aceta, Pierre Frère, Benoit Guiffard
- article
- Journal of Applied Physics, 2021, 130 (1), pp.014103. ⟨10.1063/5.0052302⟩
- Accès au bibtex
-
- titre
- Simulation of multiwavelength conditions in laser picosecond ultrasonics
- auteur
- P. Babilotte
- article
- SIMULATION: Transactions of The Society for Modeling and Simulation International, 2021, 97 (7), pp.473-484. ⟨10.1177/0037549721996451⟩
- Accès au bibtex
-
- titre
- On‐Demand Coherent Perfect Absorption in Complex Scattering Systems: Time Delay Divergence and Enhanced Sensitivity to Perturbations
- auteur
- Philipp del Hougne, K. Brahima Yeo, Philippe Besnier, Matthieu Davy
- article
- Laser and Photonics Reviews, 2021, 15 (7), pp.2000471. ⟨10.1002/lpor.202000471⟩
- Accès au texte intégral et bibtex
-
- titre
- A comprehensive design analysis of a cost-effective WPT system with a class-E power amplifier and a T-matching network
- auteur
- Amir Fereshtian, Javad Ghalibafan, Mohsen Koohestani
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2021, 137, pp.153826. ⟨10.1016/j.aeue.2021.153826⟩
- Accès au bibtex
-
- titre
- Reflecting Luneburg Lenses
- auteur
- Jorge Ruiz-Garcia, Enrica Martini, Cristian Della Giovampaola, David González-Ovejero, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (7), pp.3924-3935. ⟨10.1109/TAP.2020.3044668⟩
- Accès au texte intégral et bibtex
-
- titre
- [4]Cyclo-N-alkyl-2,7-carbazoles: Influence of the Alkyl Chain Length on the Structural, Electronic, and Charge Transport Properties
- auteur
- Fabien Lucas, Nemo Mcintosh, Emmanuel Jacques, Christophe Lebreton, Benoît Heinrich, Bertrand Donnio, Olivier Jeannin, Joëlle Rault-Berthelot, Cassandre Quinton, Jérôme Cornil, Cyril Poriel
- article
- Journal of the American Chemical Society, 2021, 143 (23), pp.8804-8820. ⟨10.1021/jacs.1c03240⟩
- Accès au texte intégral et bibtex
-
- titre
- Cascaded Smart Gate Drivers for Modular Multilevel Converters Control: A Decentralized Voltage Balancing Algorithm
- auteur
- Corentin Darbas, Jean-Christophe Olivier, Nicolas Ginot, Frédéric Poitiers, Christophe Batard
- article
- Energies, 2021, 14 (12), pp.3589. ⟨10.3390/en14123589⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of zirconium hydrolysis degree on the dielectric properties of PbZrO3
- auteur
- Mamadou Coulibaly, Caroline Borderon, Raphaël Renoud, Hartmut Gundel
- article
- Journal of Materials Science: Materials in Electronics, 2021, 32 (12), pp.15964-15970. ⟨10.1007/s10854-021-06146-4⟩
- Accès au bibtex
-
- titre
- Rearranged Coprime Array to Increase Degrees of Freedom and Reduce Mutual Coupling
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- Signal Processing, 2021, 183, pp.108038. ⟨10.1016/j.sigpro.2021.108038⟩
- Accès au texte intégral et bibtex
-
- titre
- Strategic infarct locations for post-stroke cognitive impairment: a pooled analysis of individual patient data from 12 acute ischaemic stroke cohorts
- auteur
- Nick A. Weaver, Hugo J. Kuijf, Hugo P. Aben, Jill Abrigo, Hee-Joon Bae, Melanie Barbay, Jonathan G. Best, Regis Bordet, Francesca M. Chappell, Christopher P. L. H. Chen, Thibaut Dondaine, Ruben S. van Der Giessen, Olivier Godefroy, Bibek Gyanwali, Olivia K. L. Hamilton, Saima Hilal, Irene M. C. Huenges Wajer, Yeonwook Kang, L. Jaap Kappelle, Beom Joon Kim, Sebastian Kohler, Paul L. M. De Kort, Peter J. Koudstaal, Gregory Kuchcinski, Bonnie Y. K. Lam, Byung-Chul Lee, Keon-Joo Lee, Jae-Sung Lim, Renaud Lopes, Stephen D. J. Makin, Anne-Marie Mendyk, Vincent C. T. Mok, Mi Sun Oh, Robert J. Van Oostenbrugge, Martine Roussel, Lin Shi, Julie Staals, Maria del C. Valdes-Hernandez, Narayanaswamy Venketasubramanian, Frans R. J. Verhey, Joanna M. Wardlaw, David J. Werring, Xu Xin, Kyung-Ho Yu, Martine J. E. Van Zandvoort, Lei Zhao, J. Matthijs Biesbroek, Geert Jan Biessels
- article
- The Lancet Neurology, 2021, 20 (6), pp.448-459. ⟨10.1016/S1474-4422(21)00060-0⟩
- Accès au texte intégral et bibtex
-
- titre
- Benefits of Local Cooperation in Sectorized Cellular Networks under a Complexity Constraint
- auteur
- Samet Gelincik, Michele Wigger, Ligong Wang
- article
- IEEE Transactions on Wireless Communications, 2021, 20 (6), pp.3897-3910. ⟨10.1109/TWC.2021.3054337⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-Terahertz Wireless System using Dual-Polarized Generalized Spatial Modulation with RF Impairments
- auteur
- Nizar Bouhlel, Majed Saad, Faouzi Bader
- article
- IEEE Journal on Selected Areas in Communications, 2021, 39 (6), pp.1636-1650. ⟨10.1109/JSAC.2021.3071828⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigating the Shielding Effect of Pulse Transformer Operation in Isolated Gate Drivers for SiC MOSFETs
- auteur
- Loreine Makki, Marc-Anthony Mannah, Christophe Batard, Nicolas Ginot, Julien Weckbrodt
- article
- Energies, 2021, 14 (13), pp.3866. ⟨10.3390/en14133866⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Beam Si/GaAs Holographic Metasurface Antenna at W-Band
- auteur
- Okan Yurduseven, Choonsup Lee, David González-Ovejero, Mauro Ettorre, Ronan Sauleau, Goutam Chattopadhyay, Vincent Fusco, Nacer Chahat
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (6), pp.3523-3528. ⟨10.1109/TAP.2020.3030898⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Intelligent Surfaces for Rich Scattering Wireless Communications: Recent Experiments, Challenges, and Opportunities
- auteur
- George C Alexandropoulos, Nir Shlezinger, Philipp del Hougne
- article
- IEEE Communications Magazine, 2021, 59 (6), pp.28-34. ⟨10.1109/MCOM.001.2001117⟩
- Accès au texte intégral et bibtex
-
- titre
- 0-1 ILP-based Run-Time Hierarchical Energy Optimization for Heterogeneous Cluster-based multi/many-core Systems
- auteur
- Simei Yang, Sébastien Le Nours, Maria Mendez Real, Sébastien Pillement
- article
- Journal of Systems Architecture, 2021, 116, pp.102035. ⟨10.1016/j.sysarc.2021.102035⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency-tunable slot-loop antenna based on KNN ferroelectric interdigitated varactors
- auteur
- Barthelemy Aspe, Xavier Castel, Valérie Demange, Stéphanie Députier, Valerie Bouquet, Ratiba Benzerga, Ronan Sauleau, Maryline Guilloux-Viry
- article
- IEEE Antennas and Wireless Propagation Letters, 2021, 20 (8), pp.1414-1418. ⟨10.1109/LAWP.2021.3084320⟩
- Accès au texte intégral et bibtex
-
- titre
- Une transformation pédagogique et numérique pour susciter engagement et motivation : exemple d’un cours à l’université
- auteur
- Aude Pichon, Eric Tanguy
- article
- Les Annales de QPES, 2021, 1 (3), ⟨10.14428/qpes.v1i3.62133⟩
- Accès au bibtex
-
- titre
- Systematic Analysis of the Crystal Chemistry and Eu(3+) Spectroscopy along the Series of Double Perovskites Ca(2)LnSbO(6) (Ln = La, Eu, Gd, Lu, and Y)
- auteur
- Fabio Piccinelli, Irene Carrasco, Chong-Geng Ma, Marco Bettinelli
- article
- Inorganic Chemistry, 2021, 60 (11), pp.8259-8266. ⟨10.1021/acs.inorgchem.1c00932⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact bandwidth enhanced cavity-backed magneto-electric dipole antenna with outer Γ-shaped probe for gnss bands
- auteur
- A. Causse, K. Rodriguez, L. Bernard, A. Sharaiha, Sylvain Collardey
- article
- Sensors, 2021, 21 (11), pp.3599. ⟨10.3390/s21113599⟩
- Accès au texte intégral et bibtex
-
- titre
- An Analytical Approach for the Estimation of the Far-Field Reduction Obtained by Placing Closed Conductor Loops in Proximity to a Chip
- auteur
- Mohsen Koohestani, Anja K. Skrivervik, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2021, 63 (5), pp.1384-1394. ⟨10.1109/TEMC.2021.3072235⟩
- Accès au bibtex
-
- titre
- Coherent Wave Control in Complex Media with Arbitrary Wavefronts
- auteur
- Philipp del Hougne, K. Brahima Brahima Yeo, Philippe Besnier, Matthieu Davy
- article
- Physical Review Letters, 2021, 126 (19), ⟨10.1103/PhysRevLett.126.193903⟩
- Accès au texte intégral et bibtex
-
- titre
- A general equivalent circuit model for Phoenix cells
- auteur
- A. Guarriello, G. Courtin, Renaud Loison, R. Gillard
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (11), pp.7982-7986. ⟨10.1109/TAP.2021.3076676⟩
- Accès au texte intégral et bibtex
-
- titre
- Overlapped and sequential metasurface modulations for Bi-Chromatic beams generation
- auteur
- M. Faenzi, D. Gonzalez-Ovejero, S. Maci
- article
- Applied Physics Letters, 2021, 118 (18), pp.181902. ⟨10.1063/5.0048985⟩
- Accès au texte intégral et bibtex
-
- titre
- Realization and energy optimization of a recharging station for electric vehicles with fixed storage and photovoltaic panels
- auteur
- David Roszczypala, Christophe Batard, Nicolas Ginot, Frédéric Poitiers
- article
- Advances in Science, Technology and Engineering Systems Journal, 2021, Special Issue on Multidisciplinary Sciences and Engineering, 6 (3), pp.155-163. ⟨10.25046/aj060318⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast Antenna Characterization Improvement by Pattern Rotations
- auteur
- Nicolas Mezieres, Benjamin Fuchs, Laurent Le Coq, Jean-Marie Lerat, Romain Contreres, Gwenn Le Fur
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (5), pp.2952-2957. ⟨10.1109/TAP.2020.3031479⟩
- Accès au texte intégral et bibtex
-
- titre
- HEVC hardware vs software decoding: An objective energy consumption analysis and comparison
- auteur
- Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
- article
- Journal of Systems Architecture, 2021, 115, pp.102004. ⟨10.1016/j.sysarc.2021.102004⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-porous and lightweight microwave absorber based on epoxy foam loaded with long carbon fibers
- auteur
- H. Breiss, A. El Assal, R. Benzerga, A. Sharaiha, A. Jrad, A. Harmouch
- article
- Materials Research Bulletin, 2021, 137, pp.111188. ⟨10.1016/j.materresbull.2020.111188⟩
- Accès au texte intégral et bibtex
-
- titre
- Distributed Competitive Decision Making Using Multi-Armed Bandit Algorithms
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, Ammar Assoum, Denis Le Jeune, Christophe Osswald
- article
- Wireless Personal Communications, 2021, 118 (2), pp.1165-1188. ⟨10.1007/s11277-020-08064-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Acceleration of the computation of the method of moments EFIE impedance matrix from an updated Fraunhofer criterion
- auteur
- Christophe Bourlier
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (5), pp.3039-3043. ⟨10.1109/TAP.2020.3025440⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluating P-Band TomoSAR for Biomass Retrieval in Boreal Forest
- auteur
- Erik Blomberg, Lars M. H. Ulander, Stefano Tebaldini, Laurent Ferro-Famil
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2021, 59 (5), pp.3793-3804. ⟨10.1109/TGRS.2020.3020775⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of giant waveguide tapers with funnel geometry: multi-mode Interference regime to single mode
- auteur
- Fabrice Mahé, Lucas Garnier, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2021, Integrated Optics: Design, Devices, Systems and Applications VI, SPIE 11775 (1177514), pp.1-6. ⟨10.1117/12.2588841⟩
- Accès au bibtex
-
- titre
- Determination of a statistical lack of volume matter by resonance principle: experiential approach and modeling
- auteur
- Arthur Doliveira, Lucas Garnier, Fabrice Mahé, Hervé Lhermite, Etienne Gaviot, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2021, Integrated Optics: Design, Devices, Systems and Applications VI,, SPIE 11775 (1177513), pp.1-8. ⟨10.1117/12.2588840⟩
- Accès au bibtex
-
- titre
- Determination of Stokes velocitie and sedimentation rate by a photonic resonant surface signal
- auteur
- Lucas Garnier, Hervé Lhermite, V. Vié, Hervé Cormerais, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2021, Optical Sensors, SPIE 11772 (117720L), pp.1-7. ⟨10.1117/12.2588839⟩
- Accès au bibtex
-
- titre
- Mean path length invariance in wave-scattering beyond the diffusive regime
- auteur
- Matthieu Davy, Matthias Kühmayer, Sylvain Gigan, Stefan Rotter
- article
- Communications Physics, 2021, 4 (1), ⟨10.1038/s42005-021-00585-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance vs. spectral properties for single-sideband continuous phase modulation
- auteur
- K. Kassan, Haïfa Farès, D. Christian Glattli, Yves Louët
- article
- IEEE Transactions on Communications, 2021, 69 (7), pp.4402-4416. ⟨10.1109/TCOMM.2021.3073792⟩
- Accès au texte intégral et bibtex
-
- titre
- A 300-GHz low-cost high-gain fully metallic Fabry–Perot cavity antenna for 6G terahertz wireless communications
- auteur
- Basem Aqlan, Mohamed Himdi, Hamsakutty Vettikalladi, Laurent Le-Coq
- article
- Scientific Reports, 2021, 11 (1), ⟨10.1038/s41598-021-87076-3⟩
- Accès au texte intégral et bibtex
-
- titre
- In-depth analysis of electrical characteristics for polycrystalline silicon vertical thin film transistors
- auteur
- P. Zhang, Emmanuel Jacques, R. Rogel, Laurent Pichon, O. Bonnaud
- article
- Solid-State Electronics, 2021, 178, pp.107981. ⟨10.1016/j.sse.2021.107981⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenna/Human Body Coupling in 5G Millimeter-Wave Bands: Do Age and Clothing Matter?
- auteur
- Giulia Sacco, Denys Nikolayev, Ronan Sauleau, Maxim Zhadobov
- article
- IEEE Journal of Microwaves, 2021, 1 (2), pp.593-600. ⟨10.1109/JMW.2021.3063256⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Antenna Array with Reduced Power Consumption – Synthesis Methods and Experimental Validations in S-Band
- auteur
- Seydouba Fofana, Benjamin Fuchs, Stéphane Avrillon, Franck Colombel, Laurent Leze, Sebastien Palud
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (4), pp.2023-2030. ⟨10.1109/TAP.2020.3026888⟩
- Accès au texte intégral et bibtex
-
- titre
- An integration fault detection method using stator voltage for marine current turbines
- auteur
- Tao Xie, Zhichao Li, Tianzhen Wang, Ming Shi, Yide Wang
- article
- Ocean Engineering, 2021, 226, pp.108808. ⟨10.1016/j.oceaneng.2021.108808⟩
- Accès au bibtex
-
- titre
- Implementation of inkjet-printed 3 dB coupler with equal power division and 45 degrees output phase difference
- auteur
- S. A. Babale, S. K. A. Rahim, M. Himdi, S. H. Lawan, F. D. Sani, A. D. Usman
- article
- Microwave and Optical Technology Letters, 2021, 63 (4), pp.1007-1011. ⟨10.1002/mop.32714⟩
- Accès au texte intégral et bibtex
-
- titre
- Time delay estimation by a modified orthogonal matching pursuit method for rough pavement
- auteur
- Jingjing Pan, Meng Sun, Yide Wang, Cédric Le Bastard, Vincent Baltazart
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2021, 59 (4), pp.2973-2981. ⟨10.1109/TGRS.2020.3006509⟩
- Accès au texte intégral et bibtex
-
- titre
- Flat Gain Broad-Band Metasurface Antennas
- auteur
- Marco Faenzi, David Gonzalez-Ovejero, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (4), pp.1942-1951. ⟨10.1109/TAP.2020.3026476⟩
- Accès au texte intégral et bibtex
-
- titre
- Video-based Facial Micro-Expression Analysis: A Survey of Datasets, Features and Algorithms
- auteur
- Xianye Ben, Yi Ren, Junping Zhang, Su-Jing Wang, Kidiyo Kpalma, Weixiao Meng, Yong-Jin Liu
- article
- IEEE Transactions on Software Engineering, 2021, pp.1-1. ⟨10.1109/TPAMI.2021.3067464⟩
- Accès au texte intégral et bibtex
-
- titre
- Cold-spray ionization mass spectrometry of the choline chloride-urea deep eutectic solvent (reline)
- auteur
- Lucie Percevault, Thomas Delhaye, Alain Chaumont, Rachel Schurhammer, Ludovic Paquin, David Rondeau
- article
- Journal of Mass Spectrometry, 2021, 56 (5), pp.e4725. ⟨10.1002/jms.4725⟩
- Accès au texte intégral et bibtex
-
- titre
- Diffuse field cross-correlation in a programmable-metasurface-stirred reverberation chamber
- auteur
- Philipp del Hougne, Jérôme Sol, Fabrice Mortessagne, Ulrich Kuhl, Olivier Legrand, Philippe Besnier, Matthieu Davy
- article
- Applied Physics Letters, 2021, 118 (10), pp.104101. ⟨10.1063/5.0039596⟩
- Accès au texte intégral et bibtex
-
- titre
- FFT-based selective harmonic current control of AC filter capacitors for audible noise analysis
- auteur
- J. Han, S. Sun, S. Zheng, Y. Gao, Yide Wang, T. Tang
- article
- Measurement and Control (United Kingdom), 2021, 54 (3-4), pp.408-416. ⟨10.1177/00202940211000078⟩
- Accès au texte intégral et bibtex
-
- titre
- A model of architecture for estimating GPU processing performance and power
- auteur
- Saman Payvar, Maxime Pelcat, Timo D. Hamalainen
- article
- Design Automation for Embedded Systems, 2021, 25 (1), pp.43-63. ⟨10.1007/s10617-020-09244-4⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Index Modulation Dimension based on Filter Domain: Filter Shapes Index Modulation
- auteur
- Majed Saad, Jacques Palicot, Faouzi Bader, Ali Chamas Al Ghouwayel, Hussein Hijazi
- article
- IEEE Transactions on Communications, 2021, 69 (3), pp.1445 - 1461. ⟨10.1109/TCOMM.2020.3039842⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of Penetration of Millimeter Waves by Field Focusing Applied to Breast Cancer Detection
- auteur
- Ioannis Iliopoulos, Simona Di Meo, Marco Pasian, Maxim Zhadobov, Philippe Pouliguen, Patrick Potier, Luca Perregrini, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Transactions on Biomedical Engineering, 2021, 68 (3), pp.959-966. ⟨10.1109/TBME.2020.3014277⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced tunability and temperature-dependent dielectric characteristics at microwaves of K0.5Na0.5NbO3 thin films epitaxially grown on (100)MgO substrates
- auteur
- Barthélemy Aspe, Xavier Castel, Valérie Demange, Damien Passerieux, Marie-Amandine Pinault-Thaury, François Jomard, Stéphanie Députier, Dominique Cros, Valérie Madrangeas, Valérie Bouquet, Ronan Sauleau, Maryline Guilloux-Viry
- article
- Journal of Alloys and Compounds, 2021, 856, pp.158138. ⟨10.1016/j.jallcom.2020.158138⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Dual-Polarized Continuous Transverse Stub Antenna Based on Corrugated Waveguides - Part II: Experimental Demonstration
- auteur
- M. Smierzchalski, F.F. Manzillo, M. del Mastro, N. Capet, B. Palacin, R. Sauleau, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (3), pp.1313-1323. ⟨10.1109/TAP.2020.3037809⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Band Transmitarray with Low Scan Loss for Satcom Applications
- auteur
- Kien Pham, Guang Liu, D. Gonzalez-Ovejero, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (3), pp.1775-1780. ⟨10.1109/tap.2020.3031410⟩
- Accès au texte intégral et bibtex
-
- titre
- RTL to Transistor Level Power Modelling and Estimation Techniques for FPGA and ASIC: A Survey
- auteur
- Yehya Nasser, Jordane Lorandel, Jean-Christophe Prevotet, Maryline Hélard
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40 (3), pp.479-493. ⟨10.1109/TCAD.2020.3003276⟩
- Accès au texte intégral et bibtex
-
- titre
- A novel dual-polarized continuous transverse stub antenna based on corrugated waveguides -Part I: principle of operation and design
- auteur
- Maciej Smierzchalski, Francesco Foglia Manzillo, Michele del Mastro, Nicolas Capet, Baptiste Palacin, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (3), pp.1302-1312. ⟨10.1109/TAP.2020.3028236⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermoelectric Sensor Coupled Yagi–Uda Nanoantenna for Infrared Detection
- auteur
- Waleed Tariq Sethi, Olivier de Sagazan, Mohamed Himdi, Hamsakutty Vettikalladi, Saleh Alshebeili
- article
- Electronics, 2021, 10 (5), pp.527. ⟨10.3390/electronics10050527⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance Analysis and Comparison of Sequence Identification Algorithms in IoT Context
- auteur
- Pierre-Samuel Greau-Hamard, Moïse Djoko-Kouam, Yves Louët
- article
- Sensors & Transducers., 2021
- Accès au texte intégral et bibtex
-
- titre
- FPGA implementation of an enhanced chaotic-KASUMI block cipher
- auteur
- Mahdi Madani, Camel Tanougast
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2021, 80, pp.103644. ⟨10.1016/j.micpro.2020.103644⟩
- Accès au texte intégral et bibtex
-
- titre
- Radar Cross-Section Pattern Measurements in a Mode-Stirred Reverberation Chamber: Theory and Experiments
- auteur
- Ariston Reis, Francois Sarrazin, Elodie Richalot, Stephane Meric, Jerome Sol, Philippe Pouliguen, Philippe Besnier
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (9), pp.5942 - 5952. ⟨10.1109/TAP.2021.3060581⟩
- Accès au texte intégral et bibtex
-
- titre
- Some Contributions for Antenna 3D Far Field Characterization at Terahertz
- auteur
- Laurent Le Coq, Nicolas Mézières, Paul Leroy, Benjamin Fuchs
- article
- Sensors, 2021, 21 (4), pp.1438. ⟨10.3390/s21041438⟩
- Accès au texte intégral et bibtex
-
- titre
- Age-dependence of electromagnetic power and heat deposition in near-surface tissues in emerging 5G bands
- auteur
- Giulia Sacco, Stefano Pisa, Maxim Zhadobov
- article
- Scientific Reports, 2021, 11 (1), ⟨10.1038/s41598-021-82458-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-efficient GPS synchronization for wireless nodes
- auteur
- David Pallier, Vincent Le Cam, Sébastien Pillement
- article
- IEEE Sensors Journal, 2021, 21 (4), pp.5221 - 5229. ⟨10.1109/JSEN.2020.3031350⟩
- Accès au texte intégral et bibtex
-
- titre
- Lowering latency and processing burden in computational imaging through dimensionality reduction of the sensing matrix
- auteur
- Thomas Fromenteze, O. Yurduseven, P. del Hougne, D.R. Smith
- article
- Scientific Reports, 2021, 11 (1), pp.3545. ⟨10.1038/s41598-021-83021-6⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D growth of silicon nanowires under pure hydrogen plasma at low temperature (250 degrees C)
- auteur
- Kai Yang, Nathalie . Coulon, Anne-Claire Salaün, Laurent Pichon
- article
- Nanotechnology, 2021, 32 (6), pp.065602. ⟨10.1088/1361-6528/abc2ee⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Realization of Optimal Energy Storage in Resonators Embedded in Scattering Media
- auteur
- Philipp Hougne, Rémi Sobry, Olivier Legrand, Fabrice Mortessagne, Ulrich Kuhl, Matthieu Davy
- article
- Laser and Photonics Reviews, 2021, 15 (3), pp.2000335. ⟨10.1002/lpor.202000335⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved Coprime Linear Array Configuration for Moving Platform in DOA Estimation
- auteur
- Xiao Yang, Yide Wang, Pascal Chargé
- article
- IEEE Communications Letters, 2021, 25 (2), pp.470-473. ⟨10.1109/LCOMM.2020.3029322⟩
- Accès au texte intégral et bibtex
-
- titre
- Overview of the mobility related security challenges in LPWANs
- auteur
- H. Jradi, A.E. Samhat, F. Nouvel, M. Mroue, Jean-Christophe Prévotet
- article
- Computer Networks, 2021, 186, pp.107761. ⟨10.1016/j.comnet.2020.107761⟩
- Accès au texte intégral et bibtex
-
- titre
- Beamwidth Optimization and Resource Partitioning Scheme for Localization Assisted mm-wave Communications
- auteur
- G. Ghatak, R. Koirala, A. de Domenico, B. Denis, D. Dardari, Bernard Uguen, Marceau Coupechoux
- article
- IEEE Transactions on Communications, 2021, 69 (2), pp.1358-1374. ⟨10.1109/TCOMM.2020.3036864⟩
- Accès au texte intégral et bibtex
-
- titre
- End-to-End 6DoF Pose Estimation From Monocular RGB Images
- auteur
- Wenbin Zou, Di Wu, Shishun Tian, Canqun Xiang, Xia Li, Lu Zhang
- article
- IEEE Transactions on Consumer Electronics, 2021, 67 (1), pp.87-96. ⟨10.1109/TCE.2021.3057137⟩
- Accès au texte intégral et bibtex
-
- titre
- Evidence of residual ferroelectric contribution in antiferroelectric lead-zirconate thin films by first-order reversal curves
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Micka Bah, Stephane Ginestar, Hartmut W Gundel
- article
- Applied Physics Letters, 2021, 118 (4), pp.042902. ⟨10.1063/5.0043293⟩
- Accès au texte intégral et bibtex
-
- titre
- A Sensor-Based Data Analytics for Patient Monitoring in Connected Healthcare Applications
- auteur
- Hassan Harb, Abbass Nasser, Ali Mansour, Eduardo Motta Cruz, Isabel de La Torre Diez
- article
- IEEE Sensors Journal, 2021, 21 (2), pp.974-984. ⟨10.1109/JSEN.2020.2977352⟩
- Accès au texte intégral et bibtex
-
- titre
- Custom plating of nanoscale semiconductor/catalyst junctions for photoelectrochemical water splitting
- auteur
- Kiseok Oh, Olivier de Sagazan, Cyril Léon, Sylvain Le Gall, Gabriel Loget
- article
- Nanoscale, 2021, 13 (3), pp.1997-2004. ⟨10.1039/D0NR08414J⟩
- Accès au texte intégral et bibtex
-
- titre
- Hole Locations and a Filling Method for Coprime Planar Arrays for DOA Estimation
- auteur
- Xiao Yang, Yide Wang, Pascal Chargé
- article
- IEEE Communications Letters, 2021, 25 (1), pp.157-160. ⟨10.1109/LCOMM.2020.3022455⟩
- Accès au texte intégral et bibtex
-
- titre
- Selection of a Similarity Measure Combination for a Wide Range of Multimodal Image Registration Cases
- auteur
- Mikhail L. Uss, Benoit Vozel, Sergey K. Abramov, Kacem Chehdi
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2021, 59 (1), pp.60-75. ⟨10.1109/TGRS.2020.2992597⟩
- Accès au texte intégral et bibtex
-
- titre
- Circular Dielectric Rod with Conformal Strip of Graphene as Tunable Terahertz Antenna: Interplay of Inverse Electromagnetic Jet, Whispering Gallery and Plasmon Effects
- auteur
- Sergii V. Dukhopelnykov, Mario Lucido, Ronan Sauleau, Alexander I. Nosich
- article
- IEEE Journal of Selected Topics in Quantum Electronics, 2021, 27 (1), pp.4600908. ⟨10.1109/JSTQE.2020.3022420⟩
- Accès au texte intégral et bibtex
-
- titre
- The Design and FPGA-Based Implementation of a Stream Cipher Based on a Secure Chaotic Generator
- auteur
- Fethi Dridi, Safwan El Assad, Wajih El Hadj Youssef, Mohsen Machhout, René Lozi
- article
- Applied Sciences, 2021, 11 (2), pp.625. ⟨10.3390/app11020625⟩
- Accès au texte intégral et bibtex
-
- titre
- Quality assessment of DIBR-synthesized views: An overview
- auteur
- S. Tian, Lu Zhang, W. Zou, Xiaojian Li, T. Su, Luce Morin, O. Déforges
- article
- Neurocomputing, 2021, 423, pp.158-178. ⟨10.1016/j.neucom.2020.09.062⟩
- Accès au texte intégral et bibtex
-
- titre
- Versatile Thin-Film Transistor with Independent Control of Charge Injection and Transport for Mixed Signal and Analog Computation
- auteur
- Eva Bestelink, Olivier de Sagazan, Lea Motte, Max Bateson, Benedikt Schultes, S. Ravi P. Silva, Radu A. Sporea
- article
- Advanced Intelligent Systems, 2021, 3 (1), ⟨10.1002/aisy.202000199⟩
- Accès au bibtex
-
- titre
- Autonomous power decision for grant free access MUSA scheme in mMTC scenario
- auteur
- Wissal Ben Ameur, Philippe Mary, Jean-François Hélard, Marion Dumay, Jean Schwoerer
- article
- Sensors, 2021, 21 (1), pp.116. ⟨10.3390/s21010116⟩
- Accès au texte intégral et bibtex
-
- titre
- Comprehensive Survey of FIR-Based Sample Rate Conversion
- auteur
- Ali Zeineddine, Amor Nafkha, Stéphane Paquelet, Christophe Moy, Pierre Yves Jezequel
- article
- Journal of Signal Processing Systems, 2021, 93 (1), pp.113-125. ⟨10.1007/s11265-020-01575-6⟩
- Accès au texte intégral et bibtex
-
- titre
- A methodology to benchmark flexible payload architectures in a megaconstellation use case
- auteur
- Florian Vidal, Hervé Legay, George Goussetis, Maria Garcia Vigueras, Segolene Tubau, Jean-Didier Gayrard
- article
- International Journal of Satellite Communications and Networking, 2021, 39 (1), pp.29-46. ⟨10.1002/sat.1344⟩
- Accès au texte intégral et bibtex
-
- titre
- ESco: Eligibility Score-based Strategy for Sensors Selection in CR-IoT: Application to LoRaWAN
- auteur
- Maxime Mroue, Abbass Nasser, Benoît Parrein, Ali Mansour, Chamseddine Zaki, Eduardo Motta Cruz
- article
- Internet of Things, 2021, 13, pp.100362. ⟨10.1016/j.iot.2021.100362⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Link Between Subjective Score Prediction and Disagreement of Video Quality Metrics
- auteur
- Lohic Fotio Tiotsop, Florence Agboma, Glenn van Wallendael, Ahmed Aldahdooh, Sebastian Bosse, Lucjan Janowski, Marcus Barkowsky, Enrico Masala
- article
- IEEE Access, 2021, 9, pp.152923-152937. ⟨10.1109/ACCESS.2021.3127395⟩
- Accès au texte intégral et bibtex
-
- titre
- A Lightweight Depth Estimation Network for Wide-Baseline Light Fields
- auteur
- Yan Li, Qiong Wang, Lu Zhang, Gauthier Lafruit
- article
- IEEE Transactions on Image Processing, 2021, 30, pp.2288-2300. ⟨10.1109/TIP.2021.3051761⟩
- Accès au texte intégral et bibtex
-
- titre
- High Capacity and Miniaturized Flexible Chipless RFID Tag Using Modified Complementary Split Ring Resonator
- auteur
- Mohd Ezwan B Jalil, Mohamad Kamal A Rahim, Himdi Mohamed, Noor Asmawati Binti Samsuri, Noor Asniza Murad, Raimi Dewan, Huda Bin A Majid, Nur Biha Mohamed Nafis, Levy Olivia Nur, Bambang Setia Nugroho
- article
- IEEE Access, 2021, 9, pp.33929-33943. ⟨10.1109/ACCESS.2021.3061792⟩
- Accès au texte intégral et bibtex
-
- titre
- A new equivalent circuit scheme for grounded back-to-back GCPW-MS-GCPW transitions fabricated on a thin low-k substrate
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Mathieu Halbwax, Stephane Ginestar, Vanessa Avramovic, Jean-Pierre Vilcot, Hong Wu Li
- article
- Progress In Electromagnetics Research Letters, 2021, 95, pp.33-42. ⟨10.2528/PIERL20093003⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Crosswalk Scene Understanding for the Visually Impaired
- auteur
- Shishun Tian, Minghuo Zheng, Wenbin Zou, Xia Li, Lu Zhang
- article
- IEEE Transactions on Neural Systems and Rehabilitation Engineering, 2021, 29, pp.1478-1486. ⟨10.1109/TNSRE.2021.3096379⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint Crypto-Compression Based on Selective Encryption for WMSNs
- auteur
- Iyad Hraini, Mousa Farajallah, Nabil Arman, Wassim Hamidouche
- article
- IEEE Access, 2021, 9, pp.161269-161282. ⟨10.1109/ACCESS.2021.3131566⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time Adaptive Multiple Transforms for the Next Generation Software Video Decoders
- auteur
- Pierre-Loup Cabarat, Wassim Hamidouche, Daniel Menard, Olivier Deforges
- article
- Journal of Signal Processing Systems, 2021, 93 (10), pp.1201-1217. ⟨10.1007/s11265-021-01679-7⟩
- Accès au bibtex
-
- titre
- Experimental characterization of bending effects for solid and hollow dielectric waveguides at V-band
- auteur
- Thanh-Luan Vu, Stephane Barlerin, Yves Stricot, Ronan Sauleau, Mauro Ettorre, David Gonzalez-Ovejero
- article
- Scientific Reports, 2021, 11 (1), pp.20679. ⟨10.1038/s41598-021-00187-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Unipolar XNOR/XOR Circuit Using Multimodal Thin-Film Transistors
- auteur
- Eva Bestelink, Olivier de Sagazan, Isin Surekcigil Pesch, Radu A. Sporea
- article
- IEEE Transactions on Electron Devices, 2021, 68 (10), pp.4951-4955. ⟨10.1109/TED.2021.3103491⟩
- Accès au bibtex
-
- titre
- Multi-Temporal Speckle Filtering of Polarimetric P-Band SAR Data over Dense Tropical Forests: Study Case in French Guiana for the BIOMASS Mission
- auteur
- Colette Gelas, Ludovic Villard, Laurent Ferro-Famil, Laurent Polidori, Thierry Koleck, Sandrine Daniel
- article
- Remote Sensing, 2021, 13 (1), ⟨10.3390/rs13010142⟩
- Accès au texte intégral et bibtex
-
- titre
- WBAN Channel Modeling for 900 MHz and 60 GHz Communications
- auteur
- R. Aminzadeh, A. Thielens, M. Zhadobov, L. Martens, W. Joseph
- article
- IEEE Transactions on Antennas and Propagation, 2021, 69 (7), pp.4083-4092. ⟨10.1109/TAP.2020.3045498⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy Harvesting System Using Rectenna Applied to Wireless Powered Remote Temperature Sensing
- auteur
- Felipe de Oliveira Silva Zanon, Ursula Do Carmo Resende, Guilherme Lopes de Figueiredo Brandão, Icaro Veloso Soares
- article
- Progress In Electromagnetics Research C, 2021, 114, pp.203-216. ⟨10.2528/PIERC21060901⟩
- Accès au texte intégral et bibtex
-
- titre
- New Results on Parameter Estimation via Dynamic Regressor Extension and Mixing: Continuous and Discrete-time Cases
- auteur
- Romeo Ortega, Stanislav Aranovskiy, Anton Pyrkin, Alessandro Astolfi, Alexey Bobtsov
- article
- IEEE Transactions on Automatic Control, 2021, 66 (5), pp.2265-2272. ⟨10.1109/TAC.2020.3003651⟩
- Accès au texte intégral et bibtex
-
- titre
- Editorial to special issue “remote sensing data compression”
- auteur
- B. Vozel, V. Lukin, J. Serra-Sagristà
- article
- Remote Sensing, 2021, 13 (18), pp.3727. ⟨10.3390/rs13183727⟩
- Accès au texte intégral et bibtex
-
- titre
- Novel MIMO Technique for Wireless Terabits Systems in sub-THz Band
- auteur
- Majed Saad, Nour Al Akkad, Hussein Hijazi, Ali Chamas Al Ghouwayel, Faouzi Bader, Jacques Palicot
- article
- IEEE Transactions on Vehicular Technology, 2021, 2, pp.125-139. ⟨10.1109/OJVT.2021.3054737⟩
- Accès au texte intégral et bibtex
-
- titre
- Publisher Correction: Age-dependence of electromagnetic power and heat deposition in near-surface tissues in emerging 5G bands (Scientific Reports, (2021), 11, 1, (3983), 10.1038/s41598-021-82458-z)
- auteur
- G. Sacco, S. Pisa, M. Zhadobov
- article
- Scientific Reports, 2021, 11 (1), pp.12724. ⟨10.1038/s41598-021-92059-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised Adversarial Instance-level Image Retrieval
- auteur
- C. Bai, H. Li, J. Zhang, L. Huang, Lu Zhang
- article
- IEEE Transactions on Multimedia, 2021, 23, pp.2199-2207. ⟨10.1109/TMM.2021.3065578⟩
- Accès au texte intégral et bibtex
-
- titre
- A Measurement-based Message-level Timing Prediction Approach for Data-Dependent SDFGs on Tile-based Heterogeneous MPSoCs
- auteur
- Ralf Stemmer, Hai-Dang Vu, Sébastien Le Nours, Kim Grüttner, Sébastien Pillement, Wolfgang Nebel
- article
- Applied Sciences, 2021, Embedded System Technology, 11 (14), pp.6649. ⟨10.3390/app11146649⟩
- Accès au texte intégral et bibtex
-
- titre
- Excitation of guided waves of grounded dielectric slab by a THz plane wave scattered from finite number of embedded graphene strips: Singular integral equation analysis
- auteur
- Mstislav E. Kaliberda, Leonid M. Lytvynenko, Sergey A. Pogarsky, Ronan Sauleau
- article
- IET Microwaves Antennas and Propagation, 2021, 15 (10), pp.1171-1180. ⟨10.1049/mia2.12120⟩
- Accès au bibtex
-
- titre
- An ultra-thin double-functional metasurface patch antenna for UHF RFID applications
- auteur
- Mohsen Koohestani, A. Ghaneizadeh
- article
- Scientific Reports, 2021, 11 (1), pp.857. ⟨10.1038/s41598-020-79506-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Design, FPGA-based Implementation and Performance of a Pseudo-Chaotic Number Generator
- auteur
- Fethi Dridi, Safwan El Assad, Wajih El Hadj Youssef, Mohsen Machhout, Abed Ellatif Samhat
- article
- Advances in Electrical and Computer Engineering, 2021, 21 (2), pp.41-48. ⟨10.4316/AECE.2021.02005⟩
- Accès au bibtex
-
- titre
- Multi-beam modulated metasurface antenna for 5G backhaul applications at K-band
- auteur
- Jorge Ruiz-Garcia, Marco Faenzi, Adham Mahmoud, Mauro Ettorre, Patrick Potier, Philippe Pouliguen, Ronan Sauleau, D. Gonzalez-Ovejero
- article
- Comptes Rendus. Physique, 2021, 22, pp.47-52. ⟨10.5802/crphys.62⟩
- Accès au texte intégral et bibtex
-
- titre
- Modelling of the packet delivery rate in an actual LoRaWAN network
- auteur
- Ahmed Abdelghany, Bernard Uguen, Christophe Moy, Dominique Lemur
- article
- Electronics Letters, 2021, 57 (11), pp.460-462. ⟨10.1049/ell2.12165⟩
- Accès au texte intégral et bibtex
-
- titre
- Simplified receivers for generic binary single side band CPM using PAM decomposition
- auteur
- Karim Kassan, Haïfa Farès, D. Christian Glattli, Yves Louët
- article
- IEEE Access, 2021, 9, pp.115962-115971. ⟨10.1109/ACCESS.2021.3105749⟩
- Accès au texte intégral et bibtex
-
- titre
- Sparse Code Multiple Access: Potentials and Challenges
- auteur
- Manel Rebhi, Kais Hassan, Kosai Raoof, Pascal Charge
- article
- IEEE Open Journal of the Communications Society, 2021, 2, pp.1205-1238. ⟨10.1109/OJCOMS.2021.3081166⟩
- Accès au bibtex
-
- titre
- Characterization and Performance Analysis of BST-Based Ferroelectric Varactors in the Millimeter-Wave Domain
- auteur
- Aurelian Crunteanu, Vincent Muzzupapa, Areski Ghalem, Laure Huitema, Damien Passerieux, Caroline Borderon, Raphaël Renoud, Hartmut Gundel
- article
- Crystals, 2021, 11 (3), pp.277. ⟨10.3390/cryst11030277⟩
- Accès au texte intégral et bibtex
-
- titre
- A Fast and Accurate Method of Synthesizing X-Wave Launchers by Metallic Horns
- auteur
- Srdan Pakovic, Nicola Bartolomei, Mario Junior Mencagli, Mauro Ettorre, Ronan Sauleau, David Gonzalez-Ovejero
- article
- IEEE Access, 2021, 9, pp.1996-2006. ⟨10.1109/ACCESS.2020.3047517⟩
- Accès au texte intégral et bibtex
-
- titre
- Plastronic Circular Line Matched Dipole Antenna
- auteur
- Gildas Bengloan, Anne Chousseaud, Bruno Froppier, Jacques Girard, Marc Brunet, Eduardo Motta Cruz
- article
- Progress In Electromagnetics Research Letters, 2021, 98, pp.113-120. ⟨10.2528/PIERL21051205⟩
- Accès au bibtex
-
- titre
- Hierarchical Unsupervised Partitioning of Large Size Data and Its Application to Hyperspectral Images
- auteur
- Jihan Alameddine, Kacem Chehdi, Claude Cariou
- article
- Remote Sensing, 2021, 13 (23), pp.4874. ⟨10.3390/rs13234874⟩
- Accès au texte intégral et bibtex
-
- titre
- GoRG: Towards a GPU-Accelerated Multiview Hyperspectral Depth Estimation Tool for Medical Applications
- auteur
- Jaime Sancho, Pallab Sutradhar, Gonzalo Rosa, Miguel Chavarrias, Angel Perez-Nunez, Ruben Salvador, Alfonso Lagares, Eduardo Juarez, Cesar Sanz
- article
- Sensors, 2021, 21 (12), ⟨10.3390/s21124091⟩
- Accès au texte intégral et bibtex
-
- titre
- Forest height estimation using a single-pass airborne L-band polarimetric and interferometric SAR system and tomographic techniques
- auteur
- Yue Huang, Q. Zhang, Laurent Ferro-Famil
- article
- Remote Sensing, 2021, 13 (3), ⟨10.3390/rs13030487⟩
- Accès au texte intégral et bibtex
-
- titre
- A Multi-FoV Viewport-based Visual Saliency Model Using Adaptive Weighting Losses for 360° Images
- auteur
- Fang-Yi Chao, Lu Zhang, Wassim Hamidouche, Olivier Déforges
- article
- IEEE Transactions on Multimedia, 2021, 23, pp.1811-1826. ⟨10.1109/TMM.2020.3003642⟩
- Accès au texte intégral et bibtex
-
- titre
- Light Field Image Coding Using VVC standard and View Synthesis based on Dual Discriminator GAN
- auteur
- N. Bakir, Wassim Hamidouche, S.A. Fezza, K. Samrout, O. Deforges
- article
- IEEE Transactions on Multimedia, 2021, ⟨10.1109/TMM.2021.3068563⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-Optimal Performance With Low-Complexity ML-Based Detector for MIMO Spatial Multiplexing
- auteur
- Hussein Hijazi, Ali Haroun, Majed Saad, Ali Chamas Al Ghouwayel, Achraf Dhayni
- article
- IEEE Communications Letters, 2021, 25 (1), pp.122-126. ⟨10.1109/LCOMM.2020.3024107⟩
- Accès au texte intégral et bibtex
-
- titre
- Mutual Coupling Reduction in Patch Antenna Array Using Combination of Shorting Pins and Metallic Walls
- auteur
- Irfan Ali Tunio, Yann Mahé, Tchanguiz Razban, Bruno Froppier
- article
- Progress In Electromagnetics Research C, 2021, Progress In Electromagnetics Research C, 107, pp.157-171. ⟨10.2528/PIERC20082803⟩
- Accès au bibtex
-
- titre
- A CNN-Based Prediction-Aware Quality Enhancement Framework for VVC
- auteur
- Fatemeh Nasiri, Wassim Hamidouche, Luce Morin, Nicolas Dhollande, Gildas Cocherel
- article
- IEEE Open Journal of Signal Processing, 2021, 2, pp.466-483. ⟨10.1109/OJSP.2021.3092598⟩
- Accès au bibtex
-
- titre
- SC-RPN: A Strong Correlation Learning Framework for Region Proposal
- auteur
- Wenbin Zou, Zhengyu Zhang, Yingqing Peng, Canqun Xiang, Shishun Tian, Lu Zhang
- article
- IEEE Transactions on Image Processing, 2021, 30, pp.4084-4098. ⟨10.1109/TIP.2021.3069547⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of the Double-Bounce Interaction between a Random Volume and an Underlying Ground, Using a Controlled High-Resolution PolTomoSAR Experiment
- auteur
- Ray Abdo, Laurent Ferro-Famil, Frédéric Boutet, Sophie Allain-Bailhache
- article
- Remote Sensing, 2021, 13 (4), ⟨10.3390/rs13040636⟩
- Accès au texte intégral et bibtex
-
- titre
- Bessel-Gauss Beam Launchers for Wireless Power Transfer
- auteur
- Srdan Pakovic, Siyi Zhou, David Gonzalez-Ovejero, Santi Concetto Pavone, Anthony Grbic, Mauro Ettorre
- article
- Ieee Open Journal of Antennas and Propagation, 2021, 2, pp.654-663. ⟨10.1109/OJAP.2021.3078234⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- On Speech Sparsity for Computational Efficiency and Noise Reduction in Hearing Aids
- auteur
- Adrien Llave, Simon Leglaive
- article
- 13th Asia Pacific Signal and Information Processing Association Annual Summit and Conference, Dec 2021, Tokyo, Japan
- Accès au texte intégral et bibtex
-
- titre
- Analog Eigen-Beamforming for mmWave Systems: Performance under practical constraints
- auteur
- Corentin Fonteneau, Matthieu Crussière, Bruno Jahan
- article
- IEEE Global Communications Conference (GLOBECOM), Dec 2021, Madrid, Spain. ⟨10.1109/GLOBECOM46510.2021.9685974⟩
- Accès au bibtex
-
- titre
- Semantic-driven Colorization
- auteur
- M.M. Ho, Lu Zhang, A. Raake, J. Zhou
- article
- 18th ACM SIGGRAPH European Conference on Visual Media Production, CVMP 2021, Dec 2021, London, United Kingdom. ⟨10.1145/3485441.3485645⟩
- Accès au bibtex
-
- titre
- CAESR: Conditional Autoencoder and Super-Resolution for Learned Spatial Scalability
- auteur
- Charles Bonnincau, Wassim Hamidouche, Jean-Francois Travers, Naty Sidaty, Jean-Yves Aubie, Olivier Deforges
- article
- 2021 International Conference on Visual Communications and Image Processing (VCIP), Dec 2021, Munich, France. pp.1-5, ⟨10.1109/VCIP53242.2021.9675351⟩
- Accès au bibtex
-
- titre
- HCiT: Deepfake Video Detection Using a Hybrid Model of CNN features and Vision Transformer
- auteur
- Bachir Kaddar, Sid Ahmed Fezza, Wassim Hamidouche, Zahid Akhtar, Abdenour Hadid
- article
- 2021 International Conference on Visual Communications and Image Processing (VCIP), Dec 2021, Munich, Germany. pp.1-5, ⟨10.1109/VCIP53242.2021.9675402⟩
- Accès au bibtex
-
- titre
- Développement de condensateurs variables ferroélectriques et leur intégration dans une antenne reconfigurable en fréquence dans le domaine millimétrique
- auteur
- V Muzzupapa, L Huitema, A Crunteanu, C Borderon, Raphaël Renoud, H Gundel
- article
- Neuvième Conférence Plénière du GDR ONDES Université de Lille, Nov 2021, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- An Automated and Centralized Data Generation and Acquisition System
- auteur
- Majdi Richa, Jean-Christophe Prévotet, Mickael Dardaillon, Mohamad Mroue, Abed Ellatif Samhat
- article
- 2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Nov 2021, Dubai, United Arab Emirates. pp.1-4, ⟨10.1109/ICECS53924.2021.9665490⟩
- Accès au bibtex
-
- titre
- Amélioration de la couverture radio indoor à 60 GHz en configuration NLOS
- auteur
- Mbissane Dieng, Marwan El Hajj, Gheorghe I. Zaharia, Ghaïs El Zein
- article
- Colloque Propagation Radioélectrique Caractérisation de l’environnement, Nov 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Calcul de la SER par une méthode par décomposition de domaine combinée à l'optique physique
- auteur
- Christophe Bourlier
- article
- Journées d’études SEE/DGA "Propagation radioélectrique - Caractérisation de l’environnement", Nov 2021, Cesson-Sévigné, France
- Accès au bibtex
-
- titre
- Effet Doppler rotationnel, en configuration bistatique, sur un objet en forme d'hélice
- auteur
- Emile Olivier, Christian Brousseau, Janine Emile
- article
- Propagation Radioélectrique, Caractérisation de l'environnement, Nov 2021, Cesson Sévigné, France
- Accès au texte intégral et bibtex
-
- titre
- Sur l'utilisation de la résine UV210 se flashant en UV profond pour les circuits de photonique intégrée / optoélectroniques : processus de nanolithographie, propriétés physiques et structures réalisées
- auteur
- Hervé Lhermite, Alain Moréac, Bruno Bêche
- article
- Journée Nationale sur les procédés de lithographie par nano-impression, Nov 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- A Planar Quad-band Band-Pass Filter Employing Dual-Mode Band-Stop Resonators
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier
- article
- International Microwave Filter Workshop 2021, Nov 2021, Perugia, Italy
- Accès au texte intégral et bibtex
-
- titre
- Artificial neural network-based indoor localization system using smartphone magnetometer
- auteur
- Bouzid Salah Eddine, Amaury Simondet, Pascal Chargé
- article
- IEEE International Conference on Antenna Measurements and Applications, Nov 2021, Antibes Juan-les-Pins, France. pp.ID 154
- Accès au bibtex
-
- titre
- Low profile folded monopole antenna higly miniaturized by the use of low loss magnetodielectic materials in the VHF frequency band
- auteur
- Thomas Finet, Ala Sharaiha, Anne-Claude Tarot, Philippe Pouliguen, Patrick Potier
- article
- 2021 IEEE Conference on Antenna Measurements & Applications (CAMA), Nov 2021, Antibes Juan-les-Pins, France. pp.202-203, ⟨10.1109/CAMA49227.2021.9703526⟩
- Accès au bibtex
-
- titre
- Synthesis of Versatile Functionalities in Arrangements of 3D Unit-Cells
- auteur
- Diego Bermúdez, Carlos Molero, Hervé Legay, Baptiste Palacin, Esteban Menargues, Raphaël Gillard, M. García-Vigueras
- article
- IEEE Conference on Antenna Measurements & Applications (CAMA), Nov 2021, Antibes Juan-les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Considerations on unstirred path effects on antenna characterization in non-chaotic reverberation chambers
- auteur
- Wafa Krouka, Adnane Labdouni, Francois Sarrazin, Elodie Richalot, Philippe Besnier
- article
- 2021 IEEE Conference on Antenna Measurements & Applications (CAMA), Nov 2021, Antibes Juan-les-Pins, France. pp.38-40, ⟨10.1109/CAMA49227.2021.9703559⟩
- Accès au texte intégral et bibtex
-
- titre
- A Multifunction Antenna: Radar and QPSK Comms
- auteur
- Samir Ouedraogo, Israël Hinostroza, Raphaël Gillard, Régis Guinvarc
- article
- 2021 IEEE International Conference on Antenna Measurements and Applications, Nov 2021, Antibes Juan-les-Pins, France. ⟨10.1109/CAMA49227.2021.9703513⟩
- Accès au texte intégral et bibtex
-
- titre
- On-Demand SIMO Channel Impulse Response Shaping in Smart On-Chip Electromagnetic Environments
- auteur
- M.F. Imani, S. Abadal, P.D. Hougne
- article
- 19th ACM Conference on Embedded Networked Sensor Systems, SenSys 2021, Nov 2021, Coimbra, Portugal. pp.642-644, ⟨10.1145/3485730.3494043⟩
- Accès au bibtex
-
- titre
- Improvement of Indoor Radio Coverage at 60 GHz in NLOS Configuration
- auteur
- Mbissane Dieng, Marwan El Hajj, Gheorghe I. Zaharia, Ghaïs El Zein
- article
- 2021 IEEE Conference on Antenna Measurements & Applications (CAMA), Nov 2021, Antibes Juan-les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Training Sequence Design For Burst Mode Single Side Band CPM Synchronization
- auteur
- Karim Kassan, Haifa Fares, Yves Louet, Christian Glattli
- article
- 2021 International Symposium on Networks, Computers and Communications (ISNCC), Oct 2021, Dubai, United Arab Emirates. pp.1-5, ⟨10.1109/ISNCC52172.2021.9615878⟩
- Accès au bibtex
-
- titre
- A Seamless Broadband PLC-VLC Transmission: Performance Evaluation and Dimensioning
- auteur
- Yara Yaacoub, F Nouvel, Sylvain Haese, Jean-Yves Baudais
- article
- IEEE International Symposium on Power Line Communications and Its Applications, Oct 2021, Aachen, Germany. pp.1-6
- Accès au texte intégral et bibtex
-
- titre
- Reduced-Order Model for Antenna Pattern Characterization from a Small Number of Samples
- auteur
- Nicolas Mezieres, Benjamin Fuchs, Michael Mattes
- article
- Antenna-Measurement-Techniques-Association Symposium (AMTA), Oct 2021, Daytona Beach, United States. ⟨10.23919/AMTA52830.2021.9620709⟩
- Accès au bibtex
-
- titre
- Iterative Learning Control Strategy for a Furuta Pendulum System with Variable-Order Linearization
- auteur
- Ricardo Binz, Stanislav Aranovskiy
- article
- Modeling, Estimation and Control Conference, Oct 2021, Austin, United States
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Angular Stability of Fractal Artificial Magnetic Conductor Metasurface Using Generalized Boundary Conditions
- auteur
- Guilherme L. F. Brandao, Elson J. Silva, Icaro V. Soares, Ursula C. Resende
- article
- SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), Oct 2021, Fortaleza, Brazil. ⟨10.1109/IMOC53012.2021.9624910⟩
- Accès au bibtex
-
- titre
- A Compact and Flexible Dual-Band Antenna for Near-Body Applications
- auteur
- Gildas Bengloan, Joao M. Felicio, A. Fernandes, Anne Chousseaud, Bruno Froppier, Eduardo Motta Cruz
- article
- International Symposium on Antennas and Propagation (ISAP 2021) -virtual conference-, Oct 2021, Taipei, Taiwan. Paper No: 220119
- Accès au bibtex
-
- titre
- Compact Dual-Polarized 5GHz WiFi Stacked patch Antenna Array
- auteur
- Aimé Levavasseur, Gildas Bengloan, Julien Harel, Eduardo Motta Cruz
- article
- International Symposium on Antennas and Propagation (ISAP 2021) -virtual conference-, Oct 2021, Taipei, Taiwan. Paper No: 220269
- Accès au bibtex
-
- titre
- Metal-only Reflecting Luneburg Lens Design for Sub-THz Applications
- auteur
- C. Bilitos, J. Ruiz-Garcia, R. Sauleau, E. Martini, S. Maci, D. Gonzalez-Ovejero
- article
- 2021 International Symposium on Antennas and Propagation (ISAP), Oct 2021, Taipei, France. pp.1-2, ⟨10.23919/ISAP47258.2021.9614591⟩
- Accès au texte intégral et bibtex
-
- titre
- A Switchable Linear to Circular Polarization Converter Using PIN Diodes
- auteur
- Reda Madi, Antonio Clemente, Ronan Sauleau
- article
- 2021 International Symposium on Antennas and Propagation, Oct 2021, Taipei, Taiwan
- Accès au texte intégral et bibtex
-
- titre
- Plane-based Accurate Registration of Real-world Point Clouds
- auteur
- Ketty Favre, Muriel Pressigout, Eric Marchand, Luce Morin
- article
- SMC 2021 - IEEE International Conference on Systems, Man, and Cybernetics, Oct 2021, Melbourne / Virtual, Australia. pp.2018-2023, ⟨10.1109/SMC52423.2021.9658727⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust SoC Balancing Method for Distributed Storage based Islanded Microgrids
- auteur
- S. Ouoba, Azeddine Houari, M. Machmoum
- article
- IECON 2021 - 47th Annual Conference of the IEEE Industrial Electronics Society, Oct 2021, Toronto, France. pp.1-6, ⟨10.1109/IECON48115.2021.9589165⟩
- Accès au bibtex
-
- titre
- Novel static carrier based low frequency multilevel modulations and influence of voltage balancing algorithm on Modular Multilevel Converter capacitor voltage deviation
- auteur
- Corentin Darbas, Jean-Christophe Olivier, Nicolas Ginot, Frédéric Poitiers
- article
- IEEE Energy Conversion Congress & Expo, Oct 2021, Vancouver, Canada. Paper ID: 21627
- Accès au bibtex
-
- titre
- Novel Static Carrier Based Low Frequency Multilevel Modulations With Long Conduction Time : Analysis Of Capacitor Voltage Balancing
- auteur
- Corentin Darbas, Jean-Christophe Olivier, Nicolas Ginot, Frédéric Poitiers
- article
- 13th IEEE Energy Conversion Congress and Exposition (IEEE ECCE), Oct 2021, Vancouver, Canada. ⟨10.1109/ECCE47101.2021.9595679⟩
- Accès au bibtex
-
- titre
- UHF-RFID Power Distance Profiles for Analysis of Propagation Absorbing Effect
- auteur
- Hadi El Hajj Chehade, Bernard Uguen, Sylvain Collardey
- article
- IEEE International Conference on RFID Technology and Applications (IEEE RFID-TA), Oct 2021, Delhi, India. ⟨10.1109/RFID-TA53372.2021.9617321⟩
- Accès au bibtex
-
- titre
- DmyT
- auteur
- Nicolas Beuve, Wassim Hamidouche, Olivier Deforges
- article
- MM '21: ACM Multimedia Conference, Oct 2021, Virtual Event China, China. pp.17-24, ⟨10.1145/3476099.3484316⟩
- Accès au bibtex
-
- titre
- Detection and Mitigation of Corrupted Information in Distributed Model Predictive Control Based on Resource Allocation
- auteur
- Rafael Accacio Nogueira, Romain Bourdais, Hervé Guéguen
- article
- 2021 5th International Conference on Control and Fault-Tolerant Systems (SysTol), Sep 2021, Saint-Raphael, France. pp.329-334, ⟨10.1109/SysTol52990.2021.9595927⟩
- Accès au texte intégral et bibtex
-
- titre
- RIS-Based Radio Localization in Rich Scattering Environments: Harnessing Multi-Path with ANN Decoders
- auteur
- Philipp del Hougne
- article
- 2021 IEEE 22nd International Workshop on Signal Processing Advances in Wireless Communications (SPAWC), Sep 2021, Lucca, France. pp.511-515, ⟨10.1109/SPAWC51858.2021.9593167⟩
- Accès au texte intégral et bibtex
-
- titre
- Des solutions Matériaux pour des dispositifs reconfigurables en hyperfréquences. Focus sur les niobates ferroélectriques
- auteur
- Maryline Guilloux-Viry, Barthélemy Aspe, Valérie Demange, Sophie Ollivier, Stéphanie Députier, Valérie Bouquet, Xavier Castel, Ronan Sauleau
- article
- Journée thématique "Matériaux et leurs applications" du GT4 "Antennes & Circuits" - GDR Ondes, Sep 2021, Conférence en ligne, France
- Accès au bibtex
-
- titre
- Antennes imprimées optiquement transparentes : développement technologique et performances en hyperfréquences
- auteur
- Xavier Castel, Mohamed Himdi
- article
- Journée thématique "Matériaux et leurs applications" du GT4 "Antennes & Circuits" - GDR Ondes, Sep 2021, Conférence en ligne, France
- Accès au bibtex
-
- titre
- Design of Broadband Reflecting Luneburg Lenses by Higher Symmetries
- auteur
- C. Bilitos, J. Ruiz-Garcia, R. Sauleau, E. Martini, S. Maci, D. Gonzalez-Ovejero
- article
- 15th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2021, Sep 2021, New York, United States. pp.53-55, ⟨10.1109/Metamaterials52332.2021.9577089⟩
- Accès au texte intégral et bibtex
-
- titre
- Sur un filtrage de latences pour du routage par renforcement sur des réseaux sans-fil
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux, Killian Couty
- article
- CORES 2021 – 6ème Rencontres Francophones sur la Conception de Protocoles, l’Évaluation de Performance et l’Expérimentation des Réseaux de Communication, Sep 2021, La Rochelle, France
- Accès au texte intégral et bibtex
-
- titre
- Design Methodologies for Dual-band Modulated Metasurface Antennas
- auteur
- M. Faenzi, D. Gonzalez-Ovejero, S. Maci
- article
- 15th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2021, Sep 2021, New York, United States. pp.138-140, ⟨10.1109/Metamaterials52332.2021.9577156⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytical Inversion Methods for Non-Homogenous Flat Metalenses
- auteur
- D. Gonzalez-Ovejero, J. Ruiz-Garcia, T. Paraskevopoulos, E. Martini, M. Albani, S. Maci
- article
- 15th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2021, Sep 2021, New York, United States. pp.484-486, ⟨10.1109/Metamaterials52332.2021.9577106⟩
- Accès au bibtex
-
- titre
- Tuning Wireless Intra-Chip Channels with Programmable Metasurfaces
- auteur
- M.F. Imani, S. Abadal, P.D. Hougne
- article
- 15th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2021, Sep 2021, New York, United States. pp.164-166, ⟨10.1109/Metamaterials52332.2021.9577148⟩
- Accès au bibtex
-
- titre
- Energy-based Method to Simplify Complex Multi-Energy Modelica Models
- auteur
- Joy El Feghali, Guillaume Sandou, Hervé Guéguen, Pierre Haessig, Damien Faille
- article
- 14th Modelica Conference 2021, Sep 2021, Linköping, Sweden. pp.577-585, ⟨10.3384/ecp21181577⟩
- Accès au texte intégral et bibtex
-
- titre
- An ensemble learning framework for distributed resource allocation in inteference channels: The two user case
- auteur
- George A Ropokis
- article
- Fourth International Balkan Conference on Communications and Networking, Sep 2021, Novi Sad, Serbia
- Accès au texte intégral et bibtex
-
- titre
- Flat Lens Antenna using Gap Waveguide Technology at Millimeter Waves
- auteur
- D. Perez-Quintana, C. Bilitos, J. Ruiz-Garcia, D. Gonzalez-Ovejero, M. Beruete
- article
- 15th International Congress on Artificial Materials for Novel Wave Phenomena, Metamaterials 2021, Sep 2021, New York, United States. pp.343-346, ⟨10.1109/Metamaterials52332.2021.9577146⟩
- Accès au texte intégral et bibtex
-
- titre
- A State-of-the-Art Review on IC EMC Reliability
- auteur
- Jaber Al Rashid, Mohsen Koohestani, Laurent Saintis, Mihaela Barreau
- article
- Proceedings of the 31st European Safety and Reliability Conference, Sep 2021, Angers, France. pp.1850-1857, ⟨10.3850/978-981-18-2016-8_154-cd⟩
- Accès au texte intégral et bibtex
-
- titre
- Niobates ferroelectric thin films: i/ growth and characterization of perovskite and TTB phases in the K-Na-Nb-O system; ii/ potential of application in high frequency miniature tunable devices
- auteur
- Barthélemy Aspe, Valérie Demange, Xavier Castel, Brice Gautier, Quentin Simon, David Albertini, Mustapha Zaghrioui, Kevin Nadaud, Stéphanie Députier, Valérie Bouquet, Ronan Sauleau, Maryline Guilloux-Viry
- article
- IWMP 2021 “6th edition of the International Workshop of Materials Physics”, Sep 2021, Magulere, Russia. 2 pp
- Accès au bibtex
-
- titre
- Lossy compression of three-channel remote sensing images with controllable quality
- auteur
- Irina Vasilyeva, Fangfang Li, Sergey Abramov, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- Conference on Image and Signal Processing for Remote Sensing XXVII, Sep 2021, Madrid, Spain. ⟨10.1117/12.2599902⟩
- Accès au bibtex
-
- titre
- Recalage basé plans de nuages de points pour la navigation dans des environnements structurés
- auteur
- Ketty Favre, Muriel Pressigout, Eric Marchand, Luce Morin
- article
- ORASIS 2021 - 18ème édition des journées francophones des jeunes chercheurs en vision par ordinateur, Centre National de la Recherche Scientifique [CNRS], Sep 2021, Saint Ferréol, France. pp.1-8
- Accès au texte intégral et bibtex
-
- titre
- Compression of Clipped OFDM IQ Samples for Cloud Radio Access Network
- auteur
- Aya Shehata, Philippe Mary, Matthieu Crussière
- article
- 2021 IEEE 32nd Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2021, Helsinki, Finland
- Accès au texte intégral et bibtex
-
- titre
- Discrete atomic compression of satellite images: a comprehensive efficiency research
- auteur
- Viktor O. Makarichev, Vladimir V. Lukin, Iryna Brysina, Benoit Vozel, Kacem Chehdi
- article
- Conference on Image and Signal Processing for Remote Sensing XXVII, Sep 2021, Madrid, Spain. ⟨10.1117/12.2599895⟩
- Accès au bibtex
-
- titre
- Additively Manufactured High Power Microwave Components in Aluminum SLM
- auteur
- C. Stoumpos, M. García-Vigueras, J.-A. Duran-Venegas, T. Pierre
- article
- 2021 IEEE International Conference on Plasma Science, ICOPS 2021, Sep 2021, Lake Tahoe, United States. ⟨10.1109/ICOPS36761.2021.9588609⟩
- Accès au bibtex
-
- titre
- Experimental Evaluation of Statistical Model Checking Methods for Probabilistic Timing Analysis of Multiprocessor Systems
- auteur
- Hai-Dang Vu, Sébastien Le Nours, Sébastien Pillement
- article
- Euromicro DSD 2021, Sep 2021, Palerme, Italy. paper 37
- Accès au bibtex
-
- titre
- National recovery plan for the electronics industry in France; importance of training in the field
- auteur
- Olivier Bonnaud
- article
- 30th Annual Conference of the European-Association-for-Education-in-Electrical-and-Information-Engineering (EAEEIE), Sep 2021, Prague, Czech Republic. ⟨10.1109/EAEEIE50507.2021.9530990⟩
- Accès au bibtex
-
- titre
- Evaluating optimal strategies for electric field dosimetry from intracranial electrodes
- auteur
- Borja Mercadal, Fabiola Alonso, Denys Nikolayev, Ricardo Salvador, Julien Modolo, Fabrice Bartolomei, Fabrice Wendling, Giulio Ruffini
- article
- BioEM 2021, Sep 2021, Ghent, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Modeling accuracy of transcranial current stimulation: Static and quasi-static approximations errors
- auteur
- Gabriel Gaugain, Lorette Quéguiner, Maxim Zhadobov, Ronan Sauleau, Julien Modolo, Denys Nikolayev
- article
- BioEM 2021, Sep 2021, Ghent, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Effect of Gyrus Folding Angle on Peak Electric Field in Cerebral Cortex
- auteur
- Lorette Quéguiner, Gabriel Gaugain, Julien Modolo, Denys Nikolayev
- article
- BioEM 2021, Sep 2021, Ghent, Belgium
- Accès au texte intégral et bibtex
-
- titre
- A Benchmark of Dynamical Variational Autoencoders applied to Speech Spectrogram Modeling
- auteur
- Xiaoyu Bie, Laurent Girin, Simon Leglaive, Thomas Hueber, Xavier Alameda-Pineda
- article
- Interspeech 2021 - 22nd Annual Conference of the International Speech Communication Association, Aug 2021, Brno, Czech Republic. pp.46-50, ⟨10.21437/Interspeech.2021-256⟩
- Accès au texte intégral et bibtex
-
- titre
- Waveform comparison in the presence of Gaussian Phase Noise in the sub-THz context
- auteur
- Paul Desombre, Haïfa Farès, Yves Louët
- article
- URSI-GASS, Aug 2021, Roma, Italy
- Accès au bibtex
-
- titre
- Radar cross-section measurement in reverberation chamber to assess the performance of an absorbing FSS structure in the 3.5 GHz band
- auteur
- Faissal Merzaki, Maëlle Sergolle, Philippe Besnier, Mohamed Himdi, Xavier Castel
- article
- URSI GASS 2021 “XXXIV General Assembly and Scientific Symposium (GASS) of the International Union of Radio Science (Union Radio Scientifique Internationale-URSI)”, Aug 2021, Rome, Italy. 1 pp
- Accès au bibtex
-
- titre
- Age Dependence of Human Exposure in Emerging 5G Bands: Comparison between Plane-Wave Exposure and Multi-Beam Antennas
- auteur
- Giulia Sacco, Denys Nikolayev, Ronan Sauleau, Maxim Zhadobov
- article
- 2021 XXXIVth General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2021, Rome, France. pp.1-4, ⟨10.23919/URSIGASS51995.2021.9560230⟩
- Accès au texte intégral et bibtex
-
- titre
- VO2 thin films as electromagnetic shields with dynamic effectiveness
- auteur
- Quentin Tricas, Claire Le Paven-Thivet, Xavier Castel, Philippe Besnier, Patrice Foutrel
- article
- JMC 2021 “17èmes Journées de la Matière Condensée”, Aug 2021, Rennes, France. 1 pp
- Accès au bibtex
-
- titre
- Optical losses in GaP microdisks on Si with controlled random polarity
- auteur
- Rasool Saleem-Urothodi, Julie Le Pouliquen, Tony Rohel, Rozenn Bernard, Christelle Velly-Pareige, Alejandro Lorenzo-Ruiz, Alexandre Beck, Antoine Létoublon, Olivier de Sagazan, Charles Cornet, Yannick Dumeige, Yoan Léger
- article
- 17è Journées de la Matière Condensée (JMC 17), Aug 2021, Rennes (virtual), France
- Accès au bibtex
-
- titre
- Nano-sondes électromagnétiques résonantes pour le diagnostic et le suivi de la dynamique de transition de phase en physique: détection de processus de la matière molle et applications en agroalimentaire et en biologie
- auteur
- Bruno Bêche, Lucas Garnier, Hervé Lhermite, Hervé Cormerais, Arnaud Saint-Jalmes, Fabrice Mahé, Alain Moréac, Denis Morineau, Huriye Akdas-Kilic, V. Vié
- article
- les Journées de la Matière Condensée (JMC - 17ème), Aug 2021, Rennes (en ligne), France
- Accès au bibtex
-
- titre
- Physical optics approximation from the modified EFIE and MFIE integral equations
- auteur
- Gatien Septembre, Christophe Bourlier, Agnès Pujols, Gildas Kubické
- article
- URSI GASS 2021 - General Assembly and Scientific Symposium (GASS) of the International Union of Radio Science (Union Radio Scientifique Internationale-URSI), Aug 2021, Rome, Italy
- Accès au bibtex
-
- titre
- Sandwich-structured composite materials for WiFi antenna application
- auteur
- Xavier Castel, Lilia Manac'H, Mohamed Himdi, Patrick Parneix
- article
- AAAFM UCLA 2021 “American Association for Advances in Functional Materials”, Aug 2021, Los Angeles, United States. 1 pp
- Accès au bibtex
-
- titre
- Composite laminate materials with low dielectric loss: theoretical model and dielectric characterization
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier, Patrick Parneix
- article
- AAAFM UCLA 2021 “American Association for Advances in Functional Materials”, Aug 2021, Los Angeles, United States. 1 pp
- Accès au bibtex
-
- titre
- VO2 thin film as a temperature activated electromagnetic shield
- auteur
- Quentin Tricas, Philippe Besnier, Xavier Castel, Claire Le Paven-Thivet, Patrice Foutrel
- article
- EMC+SIPI 2021 Virtual "2021 joint IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity, and EMC Europe", Aug 2021, Glasgow, United Kingdom. 6 pp
- Accès au bibtex
-
- titre
- Engineering current-voltage linearity in TFTs for analog and neuromorphic computing
- auteur
- E. Bestelink, O. de Sagazan, R A Sporea
- article
- IEEE International Flexible Electronics Technology Conference (IFETC), Aug 2021, Columbus, United States. ⟨10.1109/IFETC49530.2021.9580521⟩
- Accès au bibtex
-
- titre
- Reconfigurable Coplanar Slotted SIW Antenna Array for Fixed Frequency Beam Scanning Applications
- auteur
- I. Serhsouh, M. Himdi, H. Lebbar
- article
- 19th IEEE International Symposium on Antenna Technology and Applied Electromagnetics, ANTEM 2021, Aug 2021, Winnipeg, Canada. ⟨10.1109/ANTEM51107.2021.9519008⟩
- Accès au bibtex
-
- titre
- Implementation of film-grain technology within VVC
- auteur
- Milos Radosavljevic, Edouard Francois, Erik Reinhard, Wassim Hamidouche, Thomas Amestoy
- article
- Conference Applications of Digital Image Processing XLIV, Aug 2021, San Diego, United States. ⟨10.1117/12.2594084⟩
- Accès au bibtex
-
- titre
- Theoretical analysis of electromagnetic exposure to wireless charging systems for deep-body implantable devices
- auteur
- Icaro Soares, Ronan Sauleau, Denys Nikolayev
- article
- BioEM 2021, Aug 2021, Ghent, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Sensitivity of Shielded Cable Transfer Impedance Measurement to Triaxial Cell Diameter
- auteur
- Oskari Leppäaho, Frédéric Lafon, Priscila Fernandez-Lopez, Marine Stojanovic, Richard Perdriau, Mohammed Ramdani
- article
- 2021 IEEE International Joint EMC/SI/PI and EMC Europe Symposium, Jul 2021, Raleigh, NC (virtual event), United States. pp.917-921, ⟨10.1109/EMC/SI/PI/EMCEurope52599.2021.9559176⟩
- Accès au texte intégral et bibtex
-
- titre
- Non-invasive Optimal Coupling Upon Detection of a Local Change of Impedance in a Cable Network
- auteur
- K. Brahima Yeo, Matthieu Davy, Philippe Besnier
- article
- 2021 IEEE International Joint EMC/SI/PI and EMC Europe Symposium, Jul 2021, Glasgow, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- EMC Results Analysis Using Statistical Comparison Criteria
- auteur
- Samuel Leman, Sebastien Serpaud, Philippe Besnier
- article
- IEEE International Joint Symposium on Electromagnetic Compatibility (EMC), Signal and Power Integrity (SIPI) and EMC Europe, Jul 2021, Raleigh, United States. ⟨10.1109/EMC/SI/PI/EMCEurope52599.2021.9559369⟩
- Accès au bibtex
-
- titre
- A Comparative Study of On-Chip CMOS S&H Voltage Sensors for Power Integrity: SOI vs. Bulk
- auteur
- Qazi Mashaal Khan, Richard Perdriau, Mohamed Ramdani, Mohsen Koohestani
- article
- 2021 IEEE International Joint EMC/SI/PI and EMC Europe Symposium, Jul 2021, Raleigh, United States. pp.911-916, ⟨10.1109/EMC/SI/PI/EMCEurope52599.2021.9559242⟩
- Accès au texte intégral et bibtex
-
- titre
- Anomalous KCl(001) Surface Corrugation from Fast He Diffraction at Very Grazing Incidence
- auteur
- H. Breiss, S. Szilasi, A. Momeni, E. M. Staicu Casagrande, M. S. Gravielle, E. A. Sanchez, And H. Khemliche
- article
- 27 th International Symposium on Ion‑Atom Collisions; 14-16 July 2021 ; Organized On-line, Jul 2021, Cluj - Napoca, Romania
- Accès au bibtex
-
- titre
- Extension of the scalar Kirchhoff approximation for calculating the coherent scattering from multi-layers with random rough interfaces
- auteur
- Nicolas Pinel
- article
- International Geoscience and Remote Sensing Symposium (IGARSS), IEEE, Jul 2021, Brussels, Belgium. paper #1718
- Accès au bibtex
-
- titre
- Rigorous numerical method for electromagnetic scattering by an object buried between two rough surfaces
- auteur
- Marc E. Songolo, Nicolas Pinel, Christophe Bourlier
- article
- International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2021, Brussels, Belgium. ⟨10.1109/IGARSS47720.2021.9554063⟩
- Accès au bibtex
-
- titre
- Saddlepoint Approximations of Cumulative Distribution Functions of Sums of Random Vectors
- auteur
- Dadja Anade, Jean-Marie S Gorce, Philippe Mary, Samir M. Perlaza
- article
- ISIT 2021 - IEEE International Symposium on Information Theory, Jul 2021, Melbourne / Virtual, Australia. pp.1-6, ⟨10.1109/ISIT45174.2021.9518101⟩
- Accès au texte intégral et bibtex
-
- titre
- Solar home 2020 : enrichissement du benchmark open source de gestion d'énergie avec entrées incertaines
- auteur
- Pierre Haessig, Jesse James Arthur Prince Agbodjan, Romain Bourdais, Hervé Guéguen
- article
- Symposium de Génie Électrique (SGE 2021), Jul 2021, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Méthode de mesure du courant de fuite de grille comme indicateur de vieillissement dédiée aux Gate Drivers
- auteur
- Nicolas Ginot, Christophe Batard, Julien Weckbrodt, Thanh Long Le, Stéphane Azzopardi
- article
- Symposium de Génie Electrique, Jul 2021, Nantes, France. pp.sciencesconf.org:sge2020:309362
- Accès au bibtex
-
- titre
- Alimentation communicante bidirectionnelle pour Gate-Driver
- auteur
- Nicolas Ginot, Christophe Batard, Julien Weckbrodt, Thanh Long Le, Stéphane Azzopardi
- article
- Symposium de Génie Electrique, Jul 2021, Nantes, France. pp.sciencesconf.org:sge2020:309354
- Accès au bibtex
-
- titre
- Distance learning is not an online face-to-face – Observations in microelectronic education
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 13th annual International Conference on Education and New Learning Technologies (EduLearn 2021), Jul 2021, Virtual event, Spain. ⟨10.21125/edulearn.2021⟩
- Accès au texte intégral et bibtex
-
- titre
- Sondes Photoniques Résonantes pour le Diagnostic et le suivi Dynamiques de transitions de phases en physique : Processus de la matière molle en Agroalimentaire, cosmétique et Biologie
- auteur
- Bruno Bêche, Hervé Lhermite, Lucas Garnier, Hervé Cormerais, Fabrice Mahé, Alain Moréac, Arnaud Saint-Jalmes, Denis Morineau, V. Vié
- article
- Optique 2021 (JNOG), SFO, Jul 2021, Dijon, France. pp.1-3
- Accès au texte intégral et bibtex
-
- titre
- Cellule élémentaire contrôlable optiquement pour réseau-transmetteurs en bande X
- auteur
- Romain Cane, Jérôme Taillieu, David Gonzalez-Ovejero, Ronan Sauleau, Mehdi Alouini
- article
- OPTIQUE Dijon 2021, Société Française d'Optique, Jul 2021, Dijon, France
- Accès au texte intégral et bibtex
-
- titre
- Performance Comparison of Digital Modulations in the Presence of Gaussian Phase Noise in the Sub-THz Context
- auteur
- Paul Desombre, Haïfa Farès, Yves Louët
- article
- 2021 Fourth International Workshop on Mobile Terahertz Systems (IWMTS), Jul 2021, Essen, Germany. pp.1-5, ⟨10.1109/IWMTS51331.2021.9486786⟩
- Accès au texte intégral et bibtex
-
- titre
- A Framework for Fixed Priority Periodic Scheduling Synthesis from Synchronous Data-flow Graphs
- auteur
- Hai Nam Tran, Alexandre Honorat, Shuvra S Bhattacharyya, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
- article
- SAMOS XXI 2021 - 21st International Conference on embedded computer Systems: Architectures, MOdeling and Simulation, Jul 2021, Virtual, France. pp.1-12
- Accès au texte intégral et bibtex
-
- titre
- B5G and 6G: Next Generation Wireless Communications Technologies, Demand and Challenges
- auteur
- E.A. Kadir, R. Shubair, S.K. Abdul Rahim, M. Himdi, M.R. Kamarudin, S.L. Rosa
- article
- 2021 International Congress of Advanced Technology and Engineering, ICOTEN 2021, Jul 2021, Taiz, Yemen. pp.9493470, ⟨10.1109/ICOTEN52080.2021.9493470⟩
- Accès au bibtex
-
- titre
- Multitask Learning for VVC Quality Enhancement and Super-Resolution
- auteur
- C. Bonnineau, Wassim Hamidouche, J.-F. Travers, N. Sidaty, O. Deforges
- article
- 35th Picture Coding Symposium, PCS 2021, Jun 2021, Bristol, United Kingdom. pp.9477492, ⟨10.1109/PCS50896.2021.9477492⟩
- Accès au bibtex
-
- titre
- Model Selection CNN-based VVC Quality Enhancement
- auteur
- F. Nasiri, Wassim Hamidouche, Luce Morin, N. Dhollande, G. Cocherel
- article
- 35th Picture Coding Symposium, PCS 2021, Jun 2021, Bristol, United Kingdom. pp.9477473, ⟨10.1109/PCS50896.2021.9477473⟩
- Accès au bibtex
-
- titre
- Convex Storage Loss Modeling for Optimal Energy Management
- auteur
- Pierre Haessig
- article
- PowerTech 2021 (on line), Jun 2021, Madrid, Spain. ⟨10.1109/PowerTech46648.2021.9494786⟩
- Accès au texte intégral et bibtex
-
- titre
- Latency filtering for Q-routing on wireless networks
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux, Killian Couty
- article
- 17th Int. Wireless Communications & Mobile Computing Conference - IWCMC 2021, Jun 2021, Harbin (virtual), China. ⟨10.1109/IWCMC51323.2021.9498737⟩
- Accès au texte intégral et bibtex
-
- titre
- Visual Quality and Security Assessment of Perceptually Encrypted Images Based on Multi-Output Deep Neural Network
- auteur
- Sid Ahmed Fezza, Mamadou Keita, Wassim Hamidouche
- article
- 9th European Workshop on Visual Information Processing (EUVIP), Jun 2021, Paris, France. ⟨10.1109/EUVIP50544.2021.9483966⟩
- Accès au bibtex
-
- titre
- EGB: Image Quality Assessment based on Ensemble of Gradient Boosting
- auteur
- Dounia Hammou, Sid Ahmed Fezza, Wassim Hamidouche
- article
- IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), Jun 2021, Nashville, United States. ⟨10.1109/CVPRW53098.2021.00066⟩
- Accès au bibtex
-
- titre
- Coding standards as anchors for the CVPR CLIC video track
- auteur
- Théo Ladune, Pierrick Philippe
- article
- CLIC workshop, CVPR 2021, Jun 2021, Nashville (virtual), United States
- Accès au texte intégral et bibtex
-
- titre
- Conditional Coding and Variable Bitrate for Practical Learned Video Coding
- auteur
- Théo Ladune, Pierrick Philippe, Wassim Hamidouche, Lu Zhang, Olivier Déforges
- article
- CLIC workshop, CVPR 2021, Jun 2021, Nashville, United States
- Accès au texte intégral et bibtex
-
- titre
- Filter Shape Index Modulation
- auteur
- Majed Saad, Jacques Palicot, Faouzi Bader, Ali Chamas Al Ghouwayel, Hussein Hijazi
- article
- 2021 IEEE International Conference on Communications (ICC), Jun 2021, Montreal (virtual), Canada
- Accès au texte intégral et bibtex
-
- titre
- A Multi-View Stereoscopic Video Database With Green Screen (MTF) For Video Transition Quality-of-Experience Assessment
- auteur
- Nour Hobloss, Lu Zhang, Marco Cagnazzo
- article
- 13th International Conference on Quality of Multimedia Experience (QoMEX), Jun 2021, Montreal, Canada. ⟨10.1109/QoMEX51781.2021.9465458⟩
- Accès au bibtex
-
- titre
- A systematic beam broadening method for large phased arrays
- auteur
- C. Fonteneau, Matthieu Crussière, B. Jahan
- article
- Joint 30th European Conference on Networks and Communications and 3rd 6G Summit, EuCNC/6G Summit 2021, Jun 2021, Porto, Portugal. pp.7-12, ⟨10.1109/EuCNC/6GSummit51104.2021.9482595⟩
- Accès au bibtex
-
- titre
- Coverage of LoRa Links with Alpha-Stable Modeled Interfering Underlying IoT Networks
- auteur
- Romain Chevillon, Guillaume Andrieux, Jean-François Diouris
- article
- EuCNC 2021, Jun 2021, Porto (Virtual Conference), Portugal. paper #1570703384
- Accès au bibtex
-
- titre
- Consommation d'énergie dans les systèmes de contrôle d'accès : simulations et paramétrages
- auteur
- Mohamad Oussayran, Jean-Yves Baudais, Jean-Christophe Prévotet, Fabienne Nouvel, Ali Maiga
- article
- Colloque du GDR-SOC2, Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Contribution of the Evanescent Modes to the Power Radiated by an Aperture
- auteur
- Lucas Polo-López, Juan Córcoles, Jorge Ruiz-Cruz
- article
- 2021 IEEE/MTT-S International Microwave Symposium - IMS 2021, Jun 2021, Atlanta, United States. pp.474-477, ⟨10.1109/IMS19712.2021.9574819⟩
- Accès au bibtex
-
- titre
- Déflecteur optique pour la réalisation d'un convertisseur analogique-numérique à grande bande passante Principe de fonctionnement du convertisseur analogique-numérique tout optique
- auteur
- K Ferchichi, P-V Dugué, Flavie Braud, Mathieu Halbwax, Jean-Pierre Vilcot
- article
- Journée du Club Optique Micro-ondes, Jun 2021, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- On Superior Reliability of Effective Signal Power versus RSSI in LoRaWAN
- auteur
- Ahmed Abdelghany, Bernard Uguen, Christophe Moy, Dominique Lemur
- article
- 28th International Conference on Telecommunications (ICT 2021), Jun 2021, Londres, United Kingdom. ⟨10.1109/ICT52184.2021.9511510⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficiency Enhancement in Mid-Range RWPT Systems by GRIN Metasurface Lenses
- auteur
- Icaro V. Soares, Felipe Machado de Freitas, Ursula Do Carmo Resende
- article
- Proc. 2021 IEEE Wireless Power Transfer Conference (WPTC), Jun 2021, San Diego, United States
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic Analysis of Microstrip Hybrid Circuits Using Thin-Wire EFIE Formulation
- auteur
- Icaro V. Soares, Ursula Do Carmo Resende, Felipe Machado de Freitas
- article
- 2021 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), Jun 2021, Fortaleza, Brazil
- Accès au texte intégral et bibtex
-
- titre
- Physical Bounds on Implant Powering Efficiency Using Body-Conformal WPT Systems
- auteur
- Icaro V. Soares, Mingxiang Gao, Anja K. Skrivervik, Zvonimir Sipus, Maxim Zhadobov, Ronan Sauleau, Denys Nikolayev
- article
- 2021 IEEE Wireless Power Transfer Conference (WPTC), Jun 2021, San Diego, United States
- Accès au texte intégral et bibtex
-
- titre
- « Sur la possibilité de détecter un différentiel ou perte de matière (ou masse) par un signal électromagnétique de surface résonant : vers le concept de balance photonique? »
- auteur
- Bruno Bêche, Cyril Le-Corre, Valérie Mesnet
- article
- Cordée de la Réussite PASS : "Pour une ambition Scolaire Scientifique", Lycée Fulgence Bienvenüe (programme étatique), May 2021, Loudéac, France
- Accès au texte intégral et bibtex
-
- titre
- VLC Modelization for VLC-PLC System: Evaluation of Optical, Electrical, and Frequency Behavior
- auteur
- Yara Yaacoub, F. Nouvel, Sylvain Haese, Jean-Yves Baudais
- article
- 2021 IEEE International Symposium on Circuits and Systems (ISCAS), May 2021, Daegu, South Korea. pp.1-5, ⟨10.1109/ISCAS51556.2021.9401392⟩
- Accès au texte intégral et bibtex
-
- titre
- Implantable Blood Pressure Sensors with Analogic Thermal Drift Compensation
- auteur
- Serigne Modou Die Mbacke, Mohammed El Gibari, Benjamin Lauzier, Chantal Gautier, Hongwu Li
- article
- 8th International Symposium on Sensor Science, May 2021, Dresde (Fully online event), Germany. pp.34, ⟨10.3390/I3S2021Dresden-10126⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible Piezoelectric Thin Films for Podiatric Sensors with Wireless Communication
- auteur
- Samia Adrar, Mohammed El Gibari, Philippe Saillant, Arnaud Chambellan, Marc Jubeau, Raynald Seveno
- article
- 8th International Symposium on Sensor Science, May 2021, Dresde (Fully online event), Germany. pp.48, ⟨10.3390/I3S2021Dresden-10127⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of a residual ferroelectric contribution in antiferroelectric lead-zirconate thin films
- auteur
- Caroline Borderon, Kevin Nadaud, Mamadou Coulibaly, Raphaël Renoud, Micka Bah, Stéphane Ginestar, Hartmut Gundel
- article
- 2021 IEEE International Symposium on Applications of Ferroelectrics (ISAF), May 2021, Sydney, Australia. pp.1-4, ⟨10.1109/ISAF51943.2021.9477343⟩
- Accès au texte intégral et bibtex
-
- titre
- Deposition and dielectric characterization of perovskite and ttb oxide thin films of the (Sr,La)-(Ta,Ti)-O system
- auteur
- Mohamad Haydoura, Claire Le Paven-Thivet, Ratiba Benzerga, Laurent Le Gendre, Xavier Castel, Ala Sharaiha
- article
- ISAF-ISIF-PFM 2021 Joint Conference, May 2021, Sydney, Australia. 1 pp
- Accès au bibtex
-
- titre
- High-Quality Factor Zinc-Blende III-V Microdisks on Silicon for Nonlinear Photonics
- auteur
- Rasool S Urothodi, Julie Le Pouliquen, Tony Rohel, Rozenn Bernard, Christelle Velly-Pareige, Alejandro Lorenzo-Ruiz, Alexandre Beck, Antoine Létoublon, Olivier de Sagazan, Charles Cornet, Yannick Dumeige, Yoan Léger
- article
- Compound Semiconductor Week 2021 (CSW 2021), May 2021, Stockholm, France
- Accès au bibtex
-
- titre
- Conditional Coding for Flexible Learned Video Compression
- auteur
- Théo Ladune, Pierrick Philippe, Wassim Hamidouche, Lu Zhang, Olivier Déforges
- article
- International Conference on Learning Representations (ICLR) 2021, Neural Compression Workshop, May 2021, Vienne (virtual), Austria
- Accès au texte intégral et bibtex
-
- titre
- Queue Analysis with Finite Buffer by Stochastic Geometry in Downlink Cellular Networks
- auteur
- Qiong Liu, Jean-Yves Baudais, Philippe Mary
- article
- 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring), Apr 2021, Helsinki, Finland. pp.1-5, ⟨10.1109/VTC2021-Spring51267.2021.9448646⟩
- Accès au texte intégral et bibtex
-
- titre
- Direction aided multipath channel estimation for millimeter wave systems
- auteur
- R. Koirala, Bernard Uguen, D. Dardari, H. Wymeersch, B. Denis
- article
- 93rd IEEE Vehicular Technology Conference, VTC 2021-Spring, Apr 2021, Helsinki, Finland. pp.9448770, ⟨10.1109/VTC2021-Spring51267.2021.9448770⟩
- Accès au bibtex
-
- titre
- Adding Exploration to Tree-Based MIMO Detectors Using Insights from Bio-Inspired Firefly Algorithm
- auteur
- Bastien Trotobas, Youness Akourim, Amor Nafkha, Yves Louët
- article
- IEEE Vehicular Technology Conference, Apr 2021, Helsinki, Finland
- Accès au texte intégral et bibtex
-
- titre
- Description d'une méthode expérimentale permettant de qualifier les seuils de sensibilité des récepteurs FM en présence d'interférences par voie rayonnée.
- auteur
- Abdivall Maouloud, Marco Klingler, Philippe Besnier
- article
- 20ème Colloque International et Exposition sur la Compatibilité ÉlectroMagnétique (CEM 2020), Apr 2021, Lyon, France
- Accès au bibtex
-
- titre
- Caractérisation passive du couplage entre deux antennes par corrélation de bruit en chambre réverbérante
- auteur
- Meriem Tamart, Francois Sarrazin, Elodie Richalot, Matthieu Davy, Julien de Rosny
- article
- 20eme Colloque International et Exposition sur la Compatibilité ÉlectroMagnétique (CEM 2020), Apr 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Mesure de l'efficacité de rayonnement d'antennes en chambre réverbérante : impact de l'estimation de l'efficacité de l'antenne de référence
- auteur
- Wafa Krouka, Francois Sarrazin, Jérôme Sol, Philippe Besnier, Elodie Richalot
- article
- 20ème Colloque International et Exposition sur la Compatibilité ÉlectroMagnétique (CEM 2020), Apr 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Mesure de la surface équivalente radar en chambre réverbérante : impact de la position du brasseur
- auteur
- Ariston Reis, Francois Sarrazin, Philippe Pouliguen, Jérôme Sol, Philippe Besnier, Elodie Richalot
- article
- 20ème Colloque International et Exposition sur la Compatibilité ÉlectroMagnétique (CEM 2020), Apr 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- DESCRIPTION D’UNE METHODE EXPERIMENTALE PERMETTANT DE QUALIFIER LES SEUILS DE SENSIBILITE DES RECEPTEURS FM EN PRESENCE D’INTERFERENCES PAR VOIE RAYONNEE
- auteur
- Abdivall Maouloud, Marco Klingler, Philippe Besnier
- article
- CEM France, Apr 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Estimation de la probabilité de défaillance basée sur la méthode krigeage - stratification contrôlée pour l'analyse des contraintes extrêmes et sur l'inférence statistique de la susceptibilité
- auteur
- Thomas Houret, Philippe Besnier, Stéphane Vauchamp, Philippe Pouliguen
- article
- 20ème Colloque International et Exposition sur la Compatibilité ÉlectroMagnétique (CEM 2020), Apr 2021, Lyon, France
- Accès au bibtex
-
- titre
- From compressed sensing to learned sensing with metasurface imagers
- auteur
- Philipp del Hougne
- article
- Passive and Active Millimeter-Wave Imaging XXIV, Apr 2021, Orlando, France. pp.11, ⟨10.1117/12.2587774⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling for control of Multi Energy Systems based on a physical description in Modelica: application to a city district
- auteur
- Joy El Feghali, Guillaume Sandou, Hervé Guéguen, Pierre Haessig, Damien Faille
- article
- International Virtual Workshop on Energy Management in Smart Cities, Mar 2021, Pilani, India
- Accès au bibtex
-
- titre
- Plastronics Development of Low-Profile Conformal Antenna for IoT Sensors
- auteur
- Gildas Bengloan, Bruno Froppier, Anne Chousseaud, Jacques Girard, Eduardo Motta Cruz
- article
- European Conference on Antennas and Propagation (online conference), Mar 2021, Düsseldorf, Germany. paper #1570686601
- Accès au bibtex
-
- titre
- Design and Verification of an On-Body Antenna in the Harsh Environment of a Horse Hoof
- auteur
- Jasper Goethals, Denys Nikolayev, Arno Thielens, Gunter Vermeeren, Leen Verloock, Margot Deruyck, Luc Martens, Wout Joseph
- article
- 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany. ⟨10.23919/EuCAP51087.2021.9411252⟩
- Accès au texte intégral et bibtex
-
- titre
- Reflecting Luneburg Lens: Analytical Solution and Applications
- auteur
- J. Ruiz-Garcia, E. Martini, C.D. Giovampaola, D. Gonzalez-Ovejero, S. Maci
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf (virtual), Germany. pp.9411011, ⟨10.23919/EuCAP51087.2021.9411011⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact and Highly Efficient Single and Dual Polarized Aperture Antennas with Integrated Multiport Overmoded Excitation
- auteur
- C. Stoumpos, J-P Fraysse, G. Goussetis, R. Sauleau, C. G. Gonzalez, H. Legay
- article
- 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany. ⟨10.23919/EuCAP51087.2021.9411363⟩
- Accès au bibtex
-
- titre
- 3D Wide-Angle Impedance Matching for X-Band Phased Array
- auteur
- B. Sun, Renaud Loison, R. Gillard, E. Estebe, C. Renard
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9410936, ⟨10.23919/EuCAP51087.2021.9410936⟩
- Accès au bibtex
-
- titre
- Four-Way Orthomode Waveguide Power Dividers: Subtractive and Additive Manufacturing
- auteur
- C. Stoumpos, J-P Fraysse, G. Goussetis, R. Sauleau, C. G. Gonzalez, T. Pierre, H. Legay
- article
- 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany. ⟨10.23919/EuCAP51087.2021.9411190⟩
- Accès au bibtex
-
- titre
- Meandered Waveguides for Active Antennas
- auteur
- E. Menargues, M. García-Vigueras, S. Capdevila, P. Angeletti, G. Toso
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9411125, ⟨10.23919/EuCAP51087.2021.9411125⟩
- Accès au bibtex
-
- titre
- A Parallel-Plate Lens for Mechanical Wide-Angle Beam Scanning : (Invited Paper)
- auteur
- T. Strober, S. Tubau, H. Legay, G. Goussetis, Mauro Ettorre
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9410991, ⟨10.23919/EuCAP51087.2021.9410991⟩
- Accès au bibtex
-
- titre
- On the Antenna Positioning for a Faster and Better Radiation Pattern Characterization
- auteur
- N. Mezieres, Benjamin Fuchs, L. Le Coq, J.-M. Lerat, R. Contreres, Gwenn Le Fur
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9411316, ⟨10.23919/EuCAP51087.2021.9411316⟩
- Accès au bibtex
-
- titre
- An Antenna Array for Photonic Beam Switching in Mm-Wave Wireless Communications.
- auteur
- Alvaro J Pascual, Fabien Ferrero, Laurent Brochier, Thomas Batté, Olivier de Sagazan, Guillermo Carpintero, Ronan Sauleau, D. Gonzalez-Ovejero
- article
- 15th European Conference on Antennas and Propagation (EUCAP 2021), Mar 2021, Dusseldorf, Germany. pp.1-4, ⟨10.23919/EuCAP51087.2021.9410948⟩
- Accès au texte intégral et bibtex
-
- titre
- Modulated Metasurface Antennas with Enhanced Broadband Response
- auteur
- M. Faenzi, D. Gonzalez-Ovejero, S. Maci
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf (virtual conference), Germany. pp.9411031, ⟨10.23919/EuCAP51087.2021.9411031⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface Antennas: Efficiency versus Bandwidth
- auteur
- Modeste Bodehou, Marco Faenzi, David Gonzalez-Ovejero, Stefano Maci, Christophe Craeye, Enrica Martini
- article
- 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany. ⟨10.23919/EuCAP51087.2021.9411347⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-Profile CTS Array in PCB Technology for K/Ka-Band Applications
- auteur
- M. del Mastro, A. Mahmoud, T. Potelon, R. Sauleau, G. Quagliaro, Mauro Ettorre
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9411222, ⟨10.23919/EuCAP51087.2021.9411222⟩
- Accès au bibtex
-
- titre
- On the Link between Leaky-Wave Mechanism and Parasitic Resonances Observed in a Reflecting Periodic Surface Made of Phoenix Cells
- auteur
- G. Courtin, R. Gillard, Renaud Loison, H. Legay, P. Daquin
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9410917, ⟨10.23919/EuCAP51087.2021.9410917⟩
- Accès au bibtex
-
- titre
- Rules of Thumb to Assess Losses of Implanted Antennas
- auteur
- Mingxiang Gao, Denys Nikolayev, Marko Bosiljevac, Zvonimir Sipus, Anja K. Skrivervik
- article
- 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany. ⟨10.23919/EuCAP51087.2021.9411344⟩
- Accès au texte intégral et bibtex
-
- titre
- Small CP Cavity-Backed Magneto-Electric Antenna with parasitic elements for GNSS Applications
- auteur
- A. Causse, L. Bernard, A. Sharaiha, Sylvain Collardey
- article
- 15th European Conference on Antennas and Propagation, EuCAP 2021, Mar 2021, Dusseldorf, Germany. pp.9411228, ⟨10.23919/EuCAP51087.2021.9411228⟩
- Accès au bibtex
-
- titre
- High-gain Transmitarray Antenna for Backhauling at D-band
- auteur
- Wassim Saleh, Yoann Letestu, Ronan Sauleau, Eduardo Motta Cruz
- article
- European Conference on Antennas and Propagation (online conference), Mar 2021, Düsseldorf, Germany. pp.1-4, ⟨10.23919/EuCAP51087.2021.9410959⟩
- Accès au bibtex
-
- titre
- Antenna Radar Cross Section Measurement Within Mode-Stirred Reverberation Chamber
- auteur
- Ariston Reis, Francois Sarrazin, Philippe Besnier, Pouliguen Philippe, Elodie Richalot
- article
- 2021 15th European Conference on Antennas and Propagation (EuCAP), Mar 2021, Dusseldorf, Germany
- Accès au texte intégral et bibtex
-
- titre
- Analysis and efficient design of sub-THz transmitarrays with three anisotropic layers
- auteur
- Orestis Koutsos, Francesco Manzillo, Antonio Clemente, Ronan Sauleau
- article
- EuCAP 2021 - 15th European Conference on Antennas and Propagation, Mar 2021, Düsseldorf (Virtual conference), Germany. pp.9410974, ⟨10.23919/EuCAP51087.2021.9410974⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient Multi-stream Temporal Learning and Post-fusion Strategy for 3D Skeleton-based Hand Activity Recognition
- auteur
- Yasser Mohamed Boutaleb, Catherine Soladie, Nam-Duong Duong, Amine Kacete, Jérôme Royan, Renaud Seguier
- article
- 16th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications (VISIGRAPP), Feb 2021, Online, France. pp.293-302, ⟨10.5220/0010232702930302⟩
- Accès au texte intégral et bibtex
-
- titre
- Review on wideband and compact CTS arrays at millimeter waves
- auteur
- M. del Mastro, T. Potelon, F.F. Manzillo, M. Smierzchalski, R. Sauleau, Mauro Ettorre
- article
- 2020 International Symposium on Antennas and Propagation, ISAP 2020, Jan 2021, Osaka, Japan. pp.275-276, ⟨10.23919/ISAP47053.2021.9391368⟩
- Accès au bibtex
-
- titre
- Millimeter wave microstrip antenna with CSRR for 5G application
- auteur
- N.M. Nadzir, M.K.A. Rahim, N.A. Murad, M. Himdi
- article
- 2020 International Symposium on Antennas and Propagation, ISAP 2020, Jan 2021, Osaka, Japan. pp.97-98, ⟨10.23919/ISAP47053.2021.9391130⟩
- Accès au bibtex
-
- titre
- Circularly-polarized CTS Array Antenna for SatCom applications
- auteur
- S. Lenzini, M. del Mastro, L. Vincetti, Mauro Ettorre
- article
- 2020 International Symposium on Antennas and Propagation, ISAP 2020, Jan 2021, Osaka, Japan. pp.591-592, ⟨10.23919/ISAP47053.2021.9391326⟩
- Accès au bibtex
-
- titre
- A Fast Yet Accurate Message-level Communication Bus Model for Timing Prediction of SDFGs on MPSoC
- auteur
- Hai-Dang Vu, Sébastien Le Nours, Sébastien Pillement, Ralf Stemmer, Kim Grüttner
- article
- Asia and South Pacific Design Automation Conference_ASP-DAC 2021 (Virtual Conference), Jan 2021, Tokyo, Japan. pp.1183
- Accès au bibtex
-
- titre
- Analysis of Baseband IQ Data Compression Methods for Centralized RAN
- auteur
- Aya Shehata, Matthieu Crussière, Philippe Mary
- article
- 28th European Signal Processing Conference (EUSIPCO 2020), Jan 2021, Amsterdam, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Near-field source localization of quasi-stationary signals with increased degrees of freedom
- auteur
- Jingjing Pan, Meng Sun, Yide Wang
- article
- 28th European Signal Processing Conference, Jan 2021, Amsterdam, Netherlands. Paper ID: 1074
- Accès au bibtex
-
- titre
- Gegelati: Lightweight Artificial Intelligence through Generic and Evolvable Tangled Program Graphs
- auteur
- Karol Desnos, Nicolas Sourbier, Pierre-Yves Raumer, Olivier Gesny, Maxime Pelcat
- article
- Workshop on Design and Architectures for Signal and Image Processing (DASIP), Jan 2021, Budapest, Hungary. ⟨10.1145/3441110.3441575⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiple Transform Selection concept modeling and implementation using Interface Based SDF graphs
- auteur
- N. Haggui, F. Belghith, Wassim Hamidouche, N. Masmoudi, Jean-François Nezan
- article
- 14th Workshop on Design and Architectures for Signal and Image Processing, DASIP 2021, held jointly with the 16th HiPEAC Conference, Jan 2021, Budapest, Hungary. pp.60-67, ⟨10.1145/3441110.3441153⟩
- Accès au bibtex
-
- titre
- PathTracing: Raising the Level of Understanding of Processing Latency in Heterogeneous MPSoCs
- auteur
- Claudion Rubattu, Francesca Palumbo, Shuvra S Bhattacharyya, Maxime Pelcat
- article
- DroneSE and RAPIDO '21: Methods and Tools, Jan 2021, Budapest, Hungary. pp.46-50, ⟨10.1145/3444950.3447282⟩
- Accès au texte intégral et bibtex
-
- titre
- Managing Single or Multi-Users Channel Allocation for the Priority Cognitive Access
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, Ammar Assoum, Denis Le Jeune, Christophe Osswald
- article
- 2020 28th European Signal Processing Conference (EUSIPCO), Jan 2021, Amsterdam, Netherlands. pp.1722-1726, ⟨10.23919/Eusipco47968.2020.9287628⟩
- Accès au bibtex
-
- titre
- IDrISS: Intrusion detection for IT systems security toward a semantic modelling of side-channel signals
- auteur
- Fred Maurice Ngolè Mboula, E. Nogues
- article
- 28th European Signal Processing Conference, EUSIPCO 2020, Jan 2021, Amsterdam, Netherlands. pp.735-739, ⟨10.23919/Eusipco47968.2020.9287662⟩
- Accès au texte intégral et bibtex
-
- titre
- RCS-enhancement for improving the detectability of bikes in road safety applications
- auteur
- C. Charlo, S. Meric, R. Gillard
- article
- 17th European Radar Conference, EuRAD 2020, Jan 2021, Utrecht, Netherlands. pp.222-225, ⟨10.1109/EuRAD48048.2021.00064⟩
- Accès au bibtex
-
- titre
- The SWALIS project: First results for airborne radar measurements in Ka band
- auteur
- J.-C.K. Koumi, S. Meric, E. Pottier, G. Grunfelder
- article
- 17th European Radar Conference, EuRAD 2020, Jan 2021, Utrecht, Netherlands. pp.194-197, ⟨10.1109/EuRAD48048.2021.00057⟩
- Accès au bibtex
-
- titre
- Over 40W, X-Band GaN on SiC MMIC Amplifier
- auteur
- C.A. Mjema, B. Haentjens, Erwan Fourn, M. Drissi, L.D. Arroyo, A.H. Guarardo
- article
- 15th European Microwave Integrated Circuits Conference, EuMIC 2020, Jan 2021, Utrecht, Netherlands. pp.265-268
- Accès au bibtex
-
- titre
- A Plane-based Approach for Indoor Point Clouds Registration
- auteur
- Ketty Favre, Muriel Pressigout, Eric Marchand, Luce Morin
- article
- ICPR 2020 - 25th International Conference on Pattern Recognition, Jan 2021, Milan (Virtual), Italy. pp.7072-7079
- Accès au texte intégral et bibtex
-
- titre
- Dual-band dual-linearly polarized transmitarray at Ka-band
- auteur
- Reda Madi, Antonio Clemente, Ronan Sauleau
- article
- EuMW 2020 - European Microwave Week 2020, Jan 2021, Utrecht, Netherlands. ⟨10.23919/EuMC48046.2021.9337962⟩
- Accès au texte intégral et bibtex
-
- titre
- New Doppler Processing for the Detection of Small and Slowly-Moving Targets in Highly Ambiguous Radar Context
- auteur
- L. Aouchiche, Laurent Ferro-Famil, Jean-Philippe Ovarlez
- article
- 17th European Radar Conference (EuRAD), 2021, Utrecht, Netherlands. pp. 46-48, ⟨10.1109/EuRAD48048.2021.00023⟩
- Accès au bibtex
-
- titre
- Recent Achievements on Passive and Beam Steering Transmitarrays at Millimeter Waves
- auteur
- Orestis Koutsos, Reda Madi, Francesco Foglia Manzillo, Maciek Smierzchalski, Antonio Clemente, Ronan Sauleau
- article
- 2021 International Symposium on Antennas and Propgation, 2021, Taipei, Taiwan
- Accès au texte intégral et bibtex
-
Book sections
- titre
- Analysis of the Logistic and Skew Tent Map for Smart Coupling over a Finite Field
- auteur
- Zongchao Qiao, Mazen Saad, Safwan El Assad, Ina Taralova
- article
- Springer. Springer Proceedings in Complexity, pp.663-680, 2021, ⟨10.1007/978-3-030-70795-8_48⟩
- Accès au bibtex
-
- titre
- Crypto-compression de vidéos
- auteur
- Cyril Bergeron, Wassim Hamidouche, Olivier Deforges
- article
- Sécurité multimédia 2, ISTE Group, pp.145-189, 2021, ⟨10.51926/ISTE.9027.ch5⟩
- Accès au bibtex
-
- titre
- Urban applications
- auteur
- Elise Colin-Koeniguer, Nicolas Trouve, Y. Yamaguchi, Y. Huang, L. Ferro-Famil, V. Sanchez
- article
- Polarimetric Synthetic Aperture Radar, 25, Springer International Publishing, pp.215-254, 2021, Remote Sensing and Digital Image Processing, ⟨10.1007/978-3-030-56504-6_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Reinforcement Learning for Physical Layer Communications
- auteur
- Philippe Mary, Visa Koivunen, Christophe Moy
- article
- Machine Learning and Wireless Communications, In press
- Accès au texte intégral et bibtex
-
- titre
- Radar cross-section estimation in reverberation chambers
- auteur
- Philippe Besnier
- article
- Electromagnetic Reverberation Chambers: Recent advances and innovative applications, Institution of Engineering and Technology, pp.261-284, 2021, 9781785619311. ⟨10.1049/SBEW544E_ch9⟩
- Accès au bibtex
-
- titre
- From material absorption to dosimetry for exposure of animals in reverberation chambers
- auteur
- Philippe Besnier
- article
- Electromagnetic Reverberation Chambers: Recent advances and innovative applications, Institution of Engineering and Technology, pp.175-204, 2021, 9781785619311. ⟨10.1049/SBEW544E_ch6⟩
- Accès au bibtex
-
- titre
- Exploitation of the Properties of Communication Signals: From Non-circular Signal to Circular Signal
- auteur
- Yuehua Ding, Yide Wang, Pascal Chargé, Jie Li, Nanxi Li
- article
- Sergey Y. Yurish. Advances in Signal Processing: Reviews, 2 (Chap. 3), International Frequency Sensor Association Publishing, pp.113-148, 2021, 978-84-09-28830-4
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Software Defined Radio & MIMO Signal Processing
- auteur
- Amor Nafkha
- article
- Signal and Image processing. Université de Rennes 1, 2021
- Accès au texte intégral et bibtex
-
- titre
- Parameter Estimation with Enhanced Performance
- auteur
- Stanislav Aranovskiy
- article
- Automatic. Université de Rennes 1, 2021
- Accès au texte intégral et bibtex
-
Lectures
- titre
- Cours Master 2 : Hybrid Integrated Photonics and Nanophotonics Devices
- auteur
- Bruno Bêche
- article
- Master. France. 2021, pp.0-218
- Accès au texte intégral et bibtex
-
- titre
- Livre, Un pas vers le supérieur : Eléments de calcul différentiel et Equations différentielles
- auteur
- Bruno Bêche
- article
- Licence. Partie du cours : "Mathématiques pour Physiciens et Méthodologie" : 1ère année de supérieure, Université de Rennes 1 - Campus Beaulieu, France. 2021, pp.1-45
- Accès au texte intégral et bibtex
-
- titre
- Livre : Les Tenseurs en Physique "Vers une représentation effective des propriétés physiques anisotropes par une méthode analytique matricielle de discrétisation des inclusions englobant les propriétés tensorielles physico-géométriques et les conditions de continuité des effets physique étudiés
- auteur
- Bruno Bêche
- article
- École thématique. France. 2021, pp.0-50
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Contrôle de la réflexion à l'aide de métasurfaces. Méthodes de réduction du niveau des réflexion parasites.
- auteur
- Matthieu Elineau, Renaud Loison, Stéphane Méric, Raphaël Gillard, Pascal Pagani, Geneviève Mazé-Merceur, Philippe Pouliguen
- article
- 8e Journées d'études Electromagnétisme et Guerre Electronique, Dec 2021, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- Electro-optic nanocomposites for high performance microwave photonic applications
- auteur
- Zahraa Jradi, Mohammed El Gibari, Li Hongwu, Olivier Chauvet
- article
- C’Nano 2020, Nov 2021, Toulouse, France
- Accès au bibtex
-
- titre
- Carbon composite monopole antenna: fabrication and characterization at microwaves
- auteur
- Xavier Castel, Lilia Manac'H, Mohamed Himdi, Patrick Parneix
- article
- AAAFM UCLA 2021 “American Association for Advances in Functional Materials”, Aug 2021, Los Angeles, United States. 1 pp., 2021, Proceedings of the AAAFM UCLA 2021
- Accès au bibtex
-
- titre
- Analyse de l’influence des techniques de modulation couplées à l’algorithme d’équilibrage des tensions sur l’oscillation des tensions de sous module d’un MMC
- auteur
- Corentin Darbas, Nicolas Ginot, Jean-Christophe Olivier, Frédéric Poitiers
- article
- Symposium de Génie Electrique, Jul 2021, Nantes, France. , 2021
- Accès au bibtex
-
- titre
- Dataflow Algorithm aRchitecture co-design of SKA pipeline for Exascale Radio Astronomy
- auteur
- Daniel Charlet, Karol Desnos, Mickaël Dardaillon, André Ferrari, Chiara Ferrari, Nicolas Gac, Jean Francois Nezan, François Orieux, Simon Prunet, Martin Quinson, Frédéric Suter, Cyril Tasse, Cedric Dumez-Viou
- article
- ISC High Performance 2021, Jun 2021, Virtual conference, France. pp.1
- Accès au texte intégral et bibtex
-
- titre
- A Measurement-based Performance Evaluation Framework for Neural Networks on MPSoCs
- auteur
- Quentin Dariol, Sébastien Le Nours, Sébastien Pillement, Ralf Stemmer, Kim Grüttner, Domenik Helms
- article
- 15ème Colloque National du GDR SOC2, Jun 2021, Rennes, France. , 2021
- Accès au texte intégral et bibtex
-
- titre
- Approximation analytique du faisceau de fuite d’un déflecteur électro-optique à base d’un guide sur polymères
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Christian Larat, Kevin Heggarty, Jean-Pierre Vilcot, Hongwu Li
- article
- JCOM 2021, Jun 2021, Paris, France
- Accès au bibtex
-
Reports
- titre
- Wireless connectivity in the sub-THz spectrum: A path to 6G
- auteur
- Simon Bicaïs, Jean-Baptiste Doré, Majed Saad, Mohammad Alawieh, Faouzi Bader, Jacques Palicot, Yoann Corre, Gregory Gougeon, Emmanuel Faussurier
- article
- [Research Report] CEA-Leti; CentraleSupélec; Siradel; ANFR. 2021
- Accès au texte intégral et bibtex
-
- titre
- IA évolutionniste pour la détection de comportements déviants dans les SI
- auteur
- Olivier Gesny, Adrien Quemat, Tudy Gourmelen, Pierre-Marie Satre, Bertrand Virfollet, Julien Roussel, Robin de Saint, Nicolas Sourbier, Maxime Durand, Maxime Pelcat, Pierre Delesques
- article
- [Rapport de recherche] SILICOM; INSA RENNES; IETR/INSA Rennes. 2021
- Accès au texte intégral et bibtex
-
- titre
- A response to “Fast OSCAR and OWL Regression via Safe Screening Rules” by Bao et al.
- auteur
- Clément Elvira, Cédric Herzet
- article
- CentraleSupelec; Inria Rennes – Bretagne Atlantique. 2021
- Accès au texte intégral et bibtex
-
Theses
- titre
- Evaluation and consideration of security in multi-core management systems
- auteur
- Safouane Noubir
- article
- Electronics. UNIVERSITE DE NANTES, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploitation ciblée du canal dans l’IoT : amélioration de la localisation et de l’allocation du spectre dans les réseaux LPWAN
- auteur
- Ahmed Abdelghany
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes, 2021. English. ⟨NNT : 2021REN1S122⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance of a new single sideband (SSB) continuous phase modulation (CPM)
- auteur
- Karim Kasan
- article
- Signal and Image processing. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0010⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance of a new single sideband (SSB) continuous phase modulation (CPM)
- auteur
- Karim Kassan
- article
- Engineering Sciences [physics]. CentraleSupelec, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution à l’apprentissage non supervisé de protocoles pour la couche de Liaison de données dans les systèmes communicants, à l'aide des Réseaux Bayésiens
- auteur
- Pierre-Samuel Greau-Hamard
- article
- Réseaux et télécommunications [cs.NI]. CentraleSupélec, 2021. Français. ⟨NNT : 2021CSUP0009⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude de nouvelles technologies d’antennes pour applications 5G dans la bande millimétrique.
- auteur
- Wassim Saleh
- article
- Electronique. UNIVERSITE DE NANTES, 2021. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of learned video coding schemes
- auteur
- Théo Ladune
- article
- Signal and Image processing. INSA de Rennes, 2021. English. ⟨NNT : 2021ISAR0023⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement de solutions rayonnantes compactes pour les capteurs polymères industriels
- auteur
- Gildas Bengloan
- article
- Electronique. UNIVERSITE DE NANTES, 2021. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- LiDAR-based point clouds registration for localization in indoor environments
- auteur
- Ketty Favre
- article
- Signal and Image Processing. Université Rennes 1, 2021. English. ⟨NNT : 2021REN1S059⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep-Learning Based Exploitation of Eavesdropped Images
- auteur
- Florian Lemarchand
- article
- Electromagnetism. INSA de Rennes, 2021. English. ⟨NNT : 2021ISAR0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and performance improvement in high frequency wide-band LNAs
- auteur
- Masoumeh Sabzi
- article
- Electronics. Université de Nantes; Université de Téhéran, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions to fast and accurate antenna characterization
- auteur
- Nicolas Mézières
- article
- Electronics. Université Rennes 1, 2021. English. ⟨NNT : 2021REN1S039⟩
- Accès au texte intégral et bibtex
-
- titre
- Accelerated Termination in Dual Decomposition Based Iterations for Model Predictive Control
- auteur
- Xiang Dai
- article
- Automatic. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Compromis exploration-exploitation dans la détection MIMO : algorithmie et implémentation
- auteur
- Bastien Trotobas
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupélec, 2021. Français. ⟨NNT : 2021CSUP0006⟩
- Accès au texte intégral et bibtex
-
- titre
- Developement od Multiband Band-Pas Filters in Planar Technologies
- auteur
- Yi Wu
- article
- Electronics. INSA de Rennes, 2021. English. ⟨NNT : 2021ISAR0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Binaural Synthesis Individualization based on Listener Perceptual Feedback
- auteur
- Corentin Guézénoc
- article
- Signal and Image processing. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Binaural Synthesis Individualization based on Listener Perceptual Feedback
- auteur
- Corentin Guezenoc
- article
- Acoustics [physics.class-ph]. CentraleSupélec; Comue Université Bretagne Loire, 2021. English. ⟨NNT : 2021CSUP0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Digitization, compression and reconstruction of a large-scale radio traffic for the Internet of Things
- auteur
- Esteban Selva
- article
- Signal and Image processing. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception, optimization and evaluation of the performance of noncoherent MIMO schemes for future wireless systems
- auteur
- Ibrahim Dawi
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2021. English. ⟨NNT : 2021ISAR0017⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of non-orthogonal multiple access schemes for the massive deployment of IoT in future cellular networks
- auteur
- Wissal Ben Ameur
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2021. English. ⟨NNT : 2021ISAR0011⟩
- Accès au texte intégral et bibtex
-
- titre
- Mm-wave photonic-enabled antenna arrays
- auteur
- Álvaro Pascual-Gracia
- article
- Electronics. Université de Rennes, 2021. English. ⟨NNT : 2021REN1S032⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Resilient Controllers for Buildings Energy Management
- auteur
- Jesse James Arthur Prince Agbodjan
- article
- Automatic. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Recongurable reflectarray with a flexible ground plane
- auteur
- Claire Benteyn
- article
- Electronics. INSA de Rennes; Heriot-Watt university (Edimbourg, GB), 2021. English. ⟨NNT : 2021ISAR0010⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions à la conception de réseaux d’antennes imprimées bibandes et à l’amélioration des capacités de dépointage à l’aide de structures périodiques tridimensionnelles
- auteur
- Brandon Sun
- article
- Electronique. INSA de Rennes, 2021. Français. ⟨NNT : 2021ISAR0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation analytique des distorsions de signaux à porteuses multiples en présence d'amplification non-linéaire et en prenant en compte différentes techniques de réduction de la dynamique des signaux
- auteur
- Mariam El Hassan
- article
- Electronique. INSA de Rennes; Université Libanaise, 2021. Français. ⟨NNT : 2021ISAR0016⟩
- Accès au texte intégral et bibtex
-
- titre
- Personnalisation de l’écoute binaurale par modèle déformable d’oreille
- auteur
- Slim Ghorbal
- article
- Acoustique [physics.class-ph]. CentraleSupélec, 2021. Français. ⟨NNT : 2021CSUP0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation du Codec VVC basé sur la Réduction de Complexité et le Traitement Parallèle
- auteur
- Thomas Amestoy
- article
- Traitement des images [eess.IV]. INSA de Rennes, 2021. Français. ⟨NNT : 2021ISAR0009⟩
- Accès au texte intégral et bibtex
-
- titre
- SENTAUR. Sensor Enhancement to Augmented Usage and Reliability
- auteur
- David Pallier
- article
- Electronique. Université de Nantes, 2021. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Microwave absorbing materials based on epoxy foam loaded with long carbon fibers
- auteur
- Aicha El Assal
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes; Université Libanaise, 2021. English. ⟨NNT : 2021REN1S013⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast and Accurate Performance Models for Probabilistic Timing Analysis of SDFGs on MPSoCs
- auteur
- Hai-Dang Vu
- article
- Electronics. Université de Nantes, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Leveraging Neural Networks for 3D Facial Animation Editing
- auteur
- Eloise Berson
- article
- Signal and Image processing. CentraleSupélec, 2021. English. ⟨NNT : 2021CSUP0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Jamming on LoRaWAN Networks : from modelling to detection
- auteur
- Ivan Marino Martinez Bolivar
- article
- Networking and Internet Architecture [cs.NI]. Institut National des Sciences Appliquées de Rennes, 2021. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- DEEP LEARNING FOR LOCATION BASED BEAMFORMING WITH NLOS CHANNELS
- auteur
- Luc Le Magoarou, Taha Yassine, Stéphane Paquelet, Matthieu Crussière
- article
- 2021
- Accès au texte intégral et bibtex
-
- titre
- Screen & relax: accelerating the resolution of Elastic-Net by safe identification of the solution support
- auteur
- Théo Guyard, Cédric Herzet, Clément Elvira
- article
- 2021
- Accès au texte intégral et bibtex
-
- titre
- Node-screening tests for l0-penalized least-squares problem with supplementary material
- auteur
- Théo Guyard, Cédric Herzet, Clément Elvira
- article
- 2021
- Accès au texte intégral et bibtex
-
- titre
- Estimation de ligne de base de capteurs d'humectation : intégration et minimum locaux à différentes échelles
- auteur
- Jean-Yves Baudais, Melen Leclerc, Christophe Langrume
- article
- 2021
- Accès au texte intégral et bibtex
-
- titre
- Why is FPGA-GPU Heterogeneity the Best Option for Embedded Deep Neural Networks?
- auteur
- Walther Carballo-Hernández, Maxime Pelcat, François Berry
- article
- 2021
- Accès au texte intégral et bibtex
-
2020
Journal articles
- titre
- Design of a Fractional Pseudo-Chaotic Random Number Generator
- auteur
- Chunxiao Yang, Ina Taralova, J.-J. Loiseau, Safwan El-Assad
- article
- International Journal of Chaotic Computing, 2020, 7 (1), pp.166-178. ⟨10.20533/ijcc.2046.3359.2020.0022⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible Multi-standard Digital Front-End for LPWA Technologies
- auteur
- Patrick Savelli, Vincent Savaux, Pauline Desnos, Ali Zeineddine, Matthieu Kanj, Christophe Delacourt
- article
- Radio Science Letters, 2020
- Accès au texte intégral et bibtex
-
- titre
- Pseudo-Random Key Stream Generation Algorithm for Encryption Purposes
- auteur
- Zongchao Qiao, Ina Taralova, Safwan El Assad
- article
- International Journal of Chaotic Computing, 2020, 7 (1), pp.187-195. ⟨10.20533/ijcc.2046.3359.2020.0024⟩
- Accès au bibtex
-
- titre
- MD-NDNet: a multi-dimensional convolutional neural network for false-positive reduction in pulmonary nodule detection
- auteur
- Zhan Wu, Rongjun Ge, Gonglei Shi, Lu Zhang, Yang Chen, Limin Luo, Yu Cao, Hengyong Yu
- article
- Physics in Medicine and Biology, 2020, 65 (23), pp.235053. ⟨10.1088/1361-6560/aba87c⟩
- Accès au bibtex
-
- titre
- Long Carbon Fibers for Microwave Absorption: Effect of Fiber Length on Absorption Frequency Band
- auteur
- Hanadi Breiss, Aicha El Assal, Ratiba Benzerga, Chloé Mejean, Ala Sharaiha
- article
- Micromachines, 2020, 11 (12), ⟨10.3390/mi11121081⟩
- Accès au texte intégral et bibtex
-
- titre
- Media independent solution for mobility management in heterogeneous LPWAN technologies
- auteur
- Wael Ayoub, Abed Ellatif Samhat, Fabienne Nouvel, Mohamad Mroue, Hassan Jradi, Jean-Christophe Prévotet
- article
- Computer Networks, 2020, 182, pp.107423. ⟨10.1016/j.comnet.2020.107423⟩
- Accès au texte intégral et bibtex
-
- titre
- Perfect Absorption in a Disordered Medium with Programmable Meta‐Atom Inclusions
- auteur
- Mohammadreza F. Imani, David R Smith, Philipp del Hougne
- article
- Advanced Functional Materials, 2020, 30 (52), pp.2005310. ⟨10.1002/adfm.202005310⟩
- Accès au texte intégral et bibtex
-
- titre
- Distributed algorithm under cooperative or competitive priority users in cognitive networks
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, Ammar Assoum, Christophe Osswald, Denis Le Jeune
- article
- EURASIP Journal on Wireless Communications and Networking, 2020, 2020 (2020:145), ⟨10.1186/s13638-020-01738-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermal oxidation of oxynitride films as a strategy to achieve (Sr2Ta2O7)100-x(La2Ti2O7)x based oxide perovskite films with x = 1.65
- auteur
- L. Le Gendre, C. Le Paven, M. Haydoura, R. Benzerga, Florent Marlec, A. Sharaiha, François Cheviré, Franck Tessier, A. Moréac
- article
- Journal of the European Ceramic Society, 2020, 40 (16), pp.6293-6300. ⟨10.1016/j.jeurceramsoc.2019.12.023⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of PbZrO3 polarization using a Ti seed layer for energy storage application
- auteur
- Mamadou D Coulibaly, Caroline Borderon, Raphaël Renoud, Hartmut W Gundel
- article
- Thin Solid Films, 2020, 716, pp.138432. ⟨10.1016/j.tsf.2020.138432⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural and photoelectrochemical properties of SrTaO2N oxynitride thin films deposited by reactive magnetron sputtering
- auteur
- Claire Le Paven-Thivet, A. Ziani, Florent Marlec, Laurent Le Gendre, Franck Tessier, Mohamad Haydoura, Ratiba Benzerga, François Cheviré, K. Takanabe, Ala Sharaiha
- article
- Journal of the European Ceramic Society, 2020, 40 (16), pp.6301-6308. ⟨10.1016/j.jeurceramsoc.2020.05.011⟩
- Accès au texte intégral et bibtex
-
- titre
- A Generic Executable Model for Fast Yet Accurate Contention Simulation in Multiprocessor Systems
- auteur
- Sébastien Le Nours, Dharmender Singh
- article
- IEEE Embedded Systems Letters, 2020, 12 (4), pp.117-120. ⟨10.1109/LES.2020.2966801⟩
- Accès au bibtex
-
- titre
- Theoretical and experimental optimization of DMT-based visible light communication under lighting constraints
- auteur
- Ahmad Jabban, Sylvain Haese, Maryline Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2020, 2020 (1), ⟨10.1186/s13638-020-01701-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency Selective Surfaces for Electromagnetic Shielding of Pocket-Sized Transceivers
- auteur
- Mohsen Koohestani, Richard Perdriau, Mohamed Ramdani, Jan Carlsson
- article
- IEEE Transactions on Electromagnetic Compatibility, 2020, 62 (6), pp.2785-2792. ⟨10.1109/TEMC.2020.2999635⟩
- Accès au bibtex
-
- titre
- Supervisory control of building heating system with insulation changes using three architectures of neural networks
- auteur
- Ahmed Ouaret, Hocine Lehouche, Boubekeur Mendil, Hervé Guéguen
- article
- Journal of The Franklin Institute, 2020, 357 (18), pp.13362-13385. ⟨10.1016/j.jfranklin.2020.09.027⟩
- Accès au texte intégral et bibtex
-
- titre
- Equidispersive Dual-Mode Long Slot Arrays
- auteur
- M. del Mastro, S. Lenzini, R. Sauleau, Mauro Ettorre
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (12), pp.2127-2131. ⟨10.1109/LAWP.2020.3024618⟩
- Accès au texte intégral et bibtex
-
- titre
- SAR analysis of wetland ecosystems: Effects of band frequency, polarization mode and acquisition dates
- auteur
- Sebastien Rapinel, Julie Betbeder, Julien Denize, Elodie Fabre, Eric Pottier, Laurence Hubert-Moy
- article
- ISPRS Journal of Photogrammetry and Remote Sensing, 2020, 170, pp.103-113. ⟨10.1016/j.isprsjprs.2020.10.007⟩
- Accès au texte intégral et bibtex
-
- titre
- A multi-mode fault-tolerant control strategy for cascaded Hbridge multilevel inverters
- auteur
- Tianzhen Wang, Jiahui Zhang, Han Wang, Yide Wang, Demba Diallo, Mohamed El Hachemi Benbouzid
- article
- IET Power Electronics, 2020, 13 (14), pp.3119 - 3126. ⟨10.1049/iet-pel.2020.0109⟩
- Accès au bibtex
-
- titre
- Measuring ocean total surface current velocity with the KuROS and KaRADOC airborne near-nadir Doppler radars: a multi-scale analysis in preparation for the SKIM mission
- auteur
- Louis Marié, Lucia Pineau-Gouillou, Goulwenn Monnier, Fabrice Collard, Frédéric Nouguier, Lucia Pineau-Guillou, Danièle Hauser, François Boy, Stéphane Méric, Peter Sutherland, Charles Peureux, Goulven Monnier, Bertrand Chapron, Adrien Martin, Pierre Dubois, Craig Donlon, Tania Casal, Fabrice Ardhuin
- article
- Ocean Science, 2020, 16 (6), pp.1399-1429. ⟨10.5194/os-16-1399-2020⟩
- Accès au texte intégral et bibtex
-
- titre
- Forward-Inverse 2D Hardware Implementation of Approximate Transform Core for the VVC Standard
- auteur
- Ahmed Kammoun, Wassim Hamidouche, Pierrick Philippe, Olivier Déforges, Fatma Belghith, Nouri Masmoudi, Jean-François Nezan
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2020, 30 (11), pp.4340-4354. ⟨10.1109/TCSVT.2019.2954749⟩
- Accès au texte intégral et bibtex
-
- titre
- Revealing the flexoelectric‐like response of poly(3,4‐ethylenedioxythiophene):poly(styrenesulfonate) thin films
- auteur
- Maria Saadeh, Pierre Frère, Benoit Guiffard
- article
- Polymers for Advanced Technologies, 2020, 31 (11), pp.2632-2639. ⟨10.1002/pat.4989⟩
- Accès au bibtex
-
- titre
- Analysis of Parameter Variability in an Integrated Wireless Power Transfer System via Partial Least Squares Regression
- auteur
- Mourad Larbi, Riccardo Trinchero, Flavio Canavero, Philippe Besnier, Madhavan Swaminathan
- article
- IEEE Transactions on Components, Packaging and Manufacturing Technology, 2020, 10 (11), pp.1795-1802. ⟨10.1109/TCPMT.2020.3002226⟩
- Accès au texte intégral et bibtex
-
- titre
- Conformal Propagation and Near-Omnidirectional Radiation with Surface Plasmonic Clothing
- auteur
- Xi Tian, Qihang Zeng, Denys Nikolayev, John S. Ho
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (11), pp.7309-7319. ⟨10.1109/TAP.2020.2998216⟩
- Accès au texte intégral et bibtex
-
- titre
- A time-delay estimation approach for coherent GPR signals by taking into account the noise pattern and radar pulse
- auteur
- Jingjing Pan, Meng Sun, Yide Wang, Cédric Le Bastard, Vincent Baltazart
- article
- Signal Processing, 2020, 176, pp.107654. ⟨10.1016/j.sigpro.2020.107654⟩
- Accès au texte intégral et bibtex
-
- titre
- Optically Transparent Flexible Robust Circularly Polarized Antenna for UHF RFID Tags
- auteur
- Abu Sadat Md. Sayem, Duc Le, Roy B. V. B. Simorangkir, Toni Bjorninen, Karu P. Esselle, Raheel M. Hashmi, Maxim Zhadobov
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (12), pp.2334-2338. ⟨10.1109/LAWP.2020.3032687⟩
- Accès au texte intégral et bibtex
-
- titre
- When Should We Use Geometrical-Based MIMO Detection Instead of Tree-Based Techniques? A Pareto Analysis
- auteur
- Bastien Trotobas, Adrien Llave, Amor Nafkha, Yves Louët
- article
- IEEE Access, 2020, 8, pp.191163-191173. ⟨10.1109/access.2020.3032016⟩
- Accès au texte intégral et bibtex
-
- titre
- Toward an ultra-wideband hybrid metamaterial based microwave absorber
- auteur
- A El Assal, H. Breiss, R. Benzerga, A. Sharaiha, A. Jrad, A. Harmouch
- article
- Micromachines, 2020, 11 (10), pp.0930. ⟨10.3390/mi11100930⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of ferroelectric domain walls on the dielectric properties of PbZrO3 thin films
- auteur
- Mamadou D Coulibaly, Caroline Borderon, Raphaël Renoud, Hartmut W Gundel
- article
- Applied Physics Letters, 2020, 117 (14), ⟨10.1063/5.0017984⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural composite laminate materials with low dielectric loss: Theoretical model towards dielectric characterization
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier, Patrick Parneix
- article
- Composites Part C: Open Access, 2020, 3, pp.100050. ⟨10.1016/j.jcomc.2020.100050⟩
- Accès au texte intégral et bibtex
-
- titre
- Effects of Radiofrequency Radiation on Gene Expression: A Study of Gene Expressions of Human Keratinocytes From Different Origins
- auteur
- Catherine Martin, Frédéric Percevault, Kate Ryder, Etiam Sani, Jean-Christophe Le Cun, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan, Denis Habauzit
- article
- Bioelectromagnetics, 2020, 41 (7), pp.552-557. ⟨10.1002/bem.22287⟩
- Accès au texte intégral et bibtex
-
- titre
- A miniaturized printed rectenna for wireless RF energy harvesting around 2.45 GHz
- auteur
- Mohsen Koohestani, Jérôme Tissier, Mohamed Latrach
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2020, 127, pp.153478. ⟨10.1016/j.aeue.2020.153478⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Circularly-Polarized High-Gain Transmitarray Antennas at Ka-Band
- auteur
- Kien T. Pham, Antonio Clemente, Darwin Blanco, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (10), pp.7223-7227. ⟨10.1109/TAP.2020.2996680⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Ellipse Antenna Array for Cellular Phone Localization Systems
- auteur
- Ahmad El Sayed Ahmad, Jean Marie Floc’h, Alaa Choumane, Philippe Morgand
- article
- Wireless Engineering and Technology, 2020, 11 (02), pp.13-22. ⟨10.4236/wet.2020.112002⟩
- Accès au bibtex
-
- titre
- Passive-Active Flowgraphs for Efficient Modeling and Design of Signal Processing Systems
- auteur
- Y Tina Lee, Y Liu, Karol Desnos, Lee Barford, Shuvra S. Bhattacharyya
- article
- Journal of Signal Processing Systems, 2020, 92 (10), pp.1133-1151. ⟨10.1007/s11265-020-01581-8⟩
- Accès au bibtex
-
- titre
- A Method to Develop Flexible Robust Optically Transparent Unidirectional Antennas Utilizing Pure Water, PDMS and Transparent Conductive Mesh
- auteur
- Abu Sadat Md. Sayem, Roy B. V. B. Simorangkir, Karu P. Esselle, Raheel M. Hashmi, Hangrui Liu
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (10), pp.6943-6952. ⟨10.1109/TAP.2020.2996816⟩
- Accès au texte intégral et bibtex
-
- titre
- Nonlinear Self-Confined Plasmonic Beams: Experimental Proof
- auteur
- Tintu Kuriakose, Gilles Renversez, Virginie Nazabal, Mahmoud M. R. Elsawy, Nathalie . Coulon, Petr Němec, Mathieu Chauvet
- article
- ACS photonics, 2020, 7 (9), pp.2562-2570. ⟨10.1021/acsphotonics.0c00906⟩
- Accès au texte intégral et bibtex
-
- titre
- Designing Two Secure Keyed Hash Functions Based on Sponge Construction and the Chaotic Neural Network
- auteur
- Nabil Abdoun, Safwan El Assad, Thang Manh Hoang, Olivier Déforges, Rima Assaf, Mohamad Khalil
- article
- Entropy, 2020, 22 (9), pp.1012. ⟨10.3390/e22091012⟩
- Accès au texte intégral et bibtex
-
- titre
- Fixed-time estimation of parameters for non-persistent excitation
- auteur
- Jian Wang, Denis Efimov, Stanislav Aranovskiy, Alexey A. Bobtsov
- article
- European Journal of Control, 2020, 55, pp.24-32. ⟨10.1016/j.ejcon.2019.07.005⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of Field Polarization on Radiated Emission Characterization in an Open TEM Cell
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Frédéric Lafon, Antonio Alves Moreira, Richard Perdriau
- article
- IEEE Transactions on Instrumentation and Measurement, 2020, 69 (9), pp.6595-6602. ⟨10.1109/TIM.2020.2972173⟩
- Accès au bibtex
-
- titre
- Dual-Band, Orthogonally-Polarized LP-to-CP Converter for SatCom Applications
- auteur
- M. del Mastro, M. Ettorre, A. Grbic
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (9), pp.6764-6776. ⟨10.1109/TAP.2020.2989868⟩
- Accès au texte intégral et bibtex
-
- titre
- A compact lowpass filter for satellite communication systems based on transfer function analysis
- auteur
- Ali Lalbakhsh, M. (behdad) Jamshidi, H. Siahkamari, A Ghaderi, A. Golestanifar, R. Linhart, J Talla, R.B.V.B. Simorangkir, K Mandal
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2020, 124, pp.153318. ⟨10.1016/j.aeue.2020.153318⟩
- Accès au texte intégral et bibtex
-
- titre
- On building a CNN-based multi-view smart camera for real-time object detection
- auteur
- Jonathan Bonnard, K. Abdelouahab, Maxime Pelcat, F. Berry
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2020, 77, pp.103177. ⟨10.1016/j.micpro.2020.103177⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterization and electrical modeling of polycrystalline silicon vertical thin film transistors
- auteur
- P Zhang, Emmanuel Jacques, Régis Rogel, Laurent Pichon, Olivier Bonnaud
- article
- Solid-State Electronics, 2020, 171, pp.107798. ⟨10.1016/j.sse.2020.107798⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and Development of a Tunable Ferroelectric Microwave Surface Mounted Device
- auteur
- Caroline Borderon, S. Ginestar, H.W. Gundel, Abdullah Haskou, K. Nadaud, R. Renoud, A. Sharaiha
- article
- IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2020, 67 (9), pp.1733-1737. ⟨10.1109/TUFFC.2020.2986227⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectrum sensing assisted by windowing for fast time-varying channel
- auteur
- Kais Bouallegue, Matthieu Crussière
- article
- Physical Communication, 2020, 43, pp.101194. ⟨10.1016/j.phycom.2020.101194⟩
- Accès au texte intégral et bibtex
-
- titre
- Standard Condition Number Based Spectrum Sensing Under Asynchronous Primary User Activity
- auteur
- Amor Nafkha
- article
- IEEE Access, 2020, 8, pp.159234-159243. ⟨10.1109/ACCESS.2020.3020500⟩
- Accès au texte intégral et bibtex
-
- titre
- Loss assessment in random crystal polarity gallium phosphide microdisks grown on silicon
- auteur
- Rasool Saleem-Urothodi, Julie Le Pouliquen, Tony Rohel, Rozenn Bernard, Christelle Pareige, Alejandro Lorenzo-Ruiz, Alexandre Beck, Antoine Létoublon, Olivier de Sagazan, Charles Cornet, Yannick Dumeige, Yoan Léger
- article
- Optics Letters, 2020, 45 (16), pp.4646. ⟨10.1364/OL.399935⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface-assisted massive backscatter wireless communication with commodity Wi-Fi signals
- auteur
- Hanting Zhao, Ya Shuang, Menglin Wei, Tie Jun Cui, Philipp del Hougne, Lianlin Li
- article
- Nature Communications, 2020, 11 (1), pp.3926. ⟨10.1038/s41467-020-17808-y⟩
- Accès au texte intégral et bibtex
-
- titre
- A Method for Predicting the Remaining Useful Life of Lithium-Ion Batteries Based on Particle Filter Using Kendall Rank Correlation Coefficient
- auteur
- Diju Gao, Yong Zhou, Tianzhen Wang, Yide Wang
- article
- Energies, 2020, 13 (16), pp.4183. ⟨10.3390/en13164183⟩
- Accès au texte intégral et bibtex
-
- titre
- Two domain decomposition methods, SDIM andCBFM, for the scattering from a two-dimensionalperfectly-conducting rough surface: Comparison andparametric study
- auteur
- Christophe Bourlier, Yunniel Arencibia Noa, Kubické Gildas, Sami Bellez
- article
- Journal of the Optical Society of America. A Optics, Image Science, and Vision, 2020, 37 (8), ⟨10.1364/JOSAA.397764⟩
- Accès au bibtex
-
- titre
- A Conformal Ultrawideband Antenna with Monopole-Like Radiation Patterns
- auteur
- Bahare Mohamadzade, Roy B. V. B. Simorangkir, Raheel M. Hashmi, Ali Lalbakhsh
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (8), pp.6383-6388. ⟨10.1109/TAP.2020.2969744⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization Of LNA's First Stage To Reduce Overall Noise Figure in Multi-Stage LNAs
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Tchanguiz Razban, Yann Mahé
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2020, 123, pp.153300. ⟨10.1016/j.aeue.2020.153300⟩
- Accès au texte intégral et bibtex
-
- titre
- A Bidirectional Communicating Power Supply circuit for Smart Gate Driver boards
- auteur
- Julien Weckbrodt, Nicolas Ginot, Christophe Batard, Thanh Long Le, Stéphane Azzopardi
- article
- IEEE Transactions on Power Electronics, 2020, 35 (8), pp.8540-8549. ⟨10.1109/TPEL.2019.2960632⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Dual-Band Printed-Dipole Array Antenna with Omni-directional Radiation Behaviour
- auteur
- Jean Marie Floc’h, Ameni Mersani, Hakim B, K Sedraoui, Hatem Rmili
- article
- ACES Journal, 2020
- Accès au bibtex
-
- titre
- Perturbations of Electric and Magnetic Fields Due to the Presence of Materials in TEM Cells
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Philippe Besnier, Jean-Luc Levant, Richard Perdriau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2020, 62 (4), pp.997-1006. ⟨10.1109/TEMC.2019.2928215⟩
- Accès au texte intégral et bibtex
-
- titre
- Mobility Management With Session Continuity During Handover in LPWAN
- auteur
- Wael Ayoub, Fabienne Nouvel, Abed Ellatif Samhat, Mohamad Mroue, Jean-Christophe Prevotet
- article
- IEEE Internet of Things Journal, 2020, 7 (8), pp.6686-6703. ⟨10.1109/JIOT.2020.2985925⟩
- Accès au texte intégral et bibtex
-
- titre
- Overview of deep-learning based methods for salient object detection in videos
- auteur
- Qiong Wang, Lu Zhang, Yan Li, Kidiyo Kpalma
- article
- Pattern Recognition, 2020, 104, pp.107340. ⟨10.1016/j.patcog.2020.107340⟩
- Accès au texte intégral et bibtex
-
- titre
- A chemically stable fluorescent mimic of dihydroartemisinin, artemether, and arteether with conserved bioactivity and specificity shows high pharmacological relevance to the antimalarial drugs
- auteur
- Abdoulaye Sissoko, Pedro Vásquez-Ocmín, Alexandre Maciuk, Daniela Barbieri, Gaëlle Neveu, Laurine Rondepierre, Raphaël Grougnet, Pascale Leproux, Magali Blaud, Karim Hammad, Sylvie Michel, Catherine Lavazec, Jérôme Clain, Sandrine Houzé, Romain Duval
- article
- ACS Infectious Diseases, 2020, 6 (7), pp.1532-1547. ⟨10.1021/acsinfecdis.9b00430⟩
- Accès au bibtex
-
- titre
- Realistic Transformation of Facial and Vocal Smiles in Real-Time Audiovisual Streams
- auteur
- Pablo Arias, Catherine Soladie, Oussema Bouafif, Axel Roebel, Renaud Seguier, Jean-Julien Aucouturier
- article
- IEEE Transactions on Affective Computing, 2020, PP (99), pp.1-1. ⟨10.1109/TAFFC.2018.2811465⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised adaptation of a person-specific manifold of facial expressions
- auteur
- Raphael Weber, Vincent Barrielle, Catherine Soladie, Renaud Seguier
- article
- IEEE Transactions on Affective Computing, 2020, 11 (3), pp.419-432. ⟨10.1109/taffc.2018.2807430⟩
- Accès au bibtex
-
- titre
- All-metal 3-D Frequency Selective Surface with Versatile Dual-Band Polarization Conversion
- auteur
- Carlos Molero, Esteban Menargues, M. García-Vigueras
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (7), pp.5431-5441. ⟨10.1109/TAP.2020.2975270⟩
- Accès au texte intégral et bibtex
-
- titre
- A switched dynamic model for pointing tasks with a computer mouse
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Géry Casiez
- article
- Asian Journal of Control, 2020, 22 (4), pp.1387-1400. ⟨10.1002/asjc.2063⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Band Antenna with Three Folded Monopoles for Mobile Communication Systems
- auteur
- Ahmad El Sayed Ahmad, Alaa Choumane, Jean Marie Floc’h, Philippe Morgand
- article
- Wireless Engineering and Technology, 2020, 11 (03), pp.23-29. ⟨10.4236/wet.2020.113003⟩
- Accès au bibtex
-
- titre
- Design of secure cryptosystem based on chaotic components and AES S-Box
- auteur
- Zongchao Qiao, Safwan El Assad, Ina Taralova
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2020, 121, pp.153205. ⟨10.1016/j.aeue.2020.153205⟩
- Accès au bibtex
-
- titre
- A virtual nested MIMO array exploiting fourth order difference coarray
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- IEEE Signal Processing Letters, 2020, 27, pp.1140-1144. ⟨10.1109/LSP.2020.3003831⟩
- Accès au bibtex
-
- titre
- An FMCW Radar for Localization and Vital Signs Measurement for Different Chest Orientations
- auteur
- Giulia Sacco, Emanuele Piuzzi, Erika Pittella, Stefano Pisa
- article
- Sensors, 2020, 20 (12), pp.3489. ⟨10.3390/s20123489⟩
- Accès au bibtex
-
- titre
- An Upper Bound on the Error Induced by Saddlepoint Approximations - Applications to Information Theory
- auteur
- Dadja Anade, Jean-Marie Gorce, Philippe Mary, Samir Perlaza
- article
- Entropy, 2020, Wireless Networks: Information Theoretic Perspectives, 22 (6), pp.690. ⟨10.3390/exx010005⟩
- Accès au texte intégral et bibtex
-
- titre
- Software HEVC video decoder: towards an energy saving for mobile applications
- auteur
- Naty Sidaty, Julien Heulot, Wassim Hamidouche, Maxime Pelcat, Daniel Menard
- article
- Multimedia Tools and Applications, 2020, 79 (37-38), pp.26861-26884. ⟨10.1007/s11042-020-09025-y⟩
- Accès au bibtex
-
- titre
- A wide dataset of ear shapes and pinna-telated transfer functions generated by random ear drawings
- auteur
- Corentin Guezenoc, Renaud Seguier
- article
- Journal of the Acoustical Society of America, 2020, 147 (6), pp.4087-4096. ⟨10.1121/10.0001461⟩
- Accès au texte intégral et bibtex
-
- titre
- Rotational Doppler shift upon reflection from a right angle prism
- auteur
- Olivier Emile, Janine Emile, Christian Brousseau
- article
- Applied Physics Letters, 2020, 116 (22), ⟨10.1063/5.0009396⟩
- Accès au texte intégral et bibtex
-
- titre
- Glass foam composites based on tire's waste for microwave absorption application
- auteur
- Y. Lamri, R. Benzerga, A. Ayadi, L.L. Gendre, A. El-Assal
- article
- Journal of Non-Crystalline Solids, 2020, 537, pp.120017. ⟨10.1016/j.jnoncrysol.2020.120017⟩
- Accès au texte intégral et bibtex
-
- titre
- New Noise Cancellation Topology in Common-gate LNAs
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Tchanguiz Razban, Yann Mahé
- article
- Microelectronics Journal, 2020, 100, pp.104800. ⟨10.1016/j.mejo.2020.104800⟩
- Accès au texte intégral et bibtex
-
- titre
- Scalable Video Coding for Backward-Compatible 360° Video Delivery Over Broadcast Networks
- auteur
- Thibaud Biatek, Wassim Hamidouche, Pierre-Loup Cabarat, Jean-Francois Travers, Olivier Déforges
- article
- IEEE Transactions on Broadcasting, 2020, 66 (2), pp.322-332. ⟨10.1109/TBC.2019.2941073⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Circularly-Polarized CTS Arrays
- auteur
- M. del Mastro, F.F. Manzillo, D. Gonzalez-Ovejero, M. Smierzchalski, P. Pouliguen, P. Potier, R. Sauleau, M. Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (6), pp.4571-4582. ⟨10.1109/TAP.2020.2972438⟩
- Accès au texte intégral et bibtex
-
- titre
- 2-bit reconfigurable unit-cell and electronically steerable transmitarray at Ka-band
- auteur
- Fatimata Diaby, Antonio Clemente, Ronan Sauleau, Trung Kien Pham, Laurent Dussopt
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (6), pp.5003-5008. ⟨10.1109/TAP.2019.2955655⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial Spectrum Estimation of Incoherently Distributed Sources Based on Low-rank Matrix Recovery
- auteur
- Jie Li, Fangjiong Chen, Yide Wang, Fei Ji, Pascal Chargé, Hua Yu
- article
- IEEE Transactions on Vehicular Technology, 2020, 69 (6), pp.6333-6347. ⟨10.1109/TVT.2020.2986783⟩
- Accès au bibtex
-
- titre
- Transfer Clustering Ensemble Selection
- auteur
- Yifan Shi, C.L. Philip Chen, Zhiwen Yu, Jane You, Hau-San Wong, Yide Wang, Jun Zhang
- article
- IEEE Transactions on Cybernetics, 2020, 50 (6), pp.2872-2885. ⟨10.1109/TCYB.2018.2885585⟩
- Accès au bibtex
-
- titre
- Evaluation of the Complexity, Performance and Implementability of Geometrical MIMO Detectors: the Example of the Exploration and Exploitation List Detector
- auteur
- Bastien Trotobas, Youness Akourim, Amor Nafkha, Yves Louët, Jacques Weiss
- article
- International Journal On Advances in Telecommunications, 2020
- Accès au texte intégral et bibtex
-
- titre
- Privacy Protection in Real Time HEVC Standard Using Chaotic System
- auteur
- Mohammed Abu Taha, Wassim Hamidouche, Naty Sidaty, Marko Viitanen, Jarno Vanne, Safwan El Assad, Olivier Déforges
- article
- Cryptography, 2020, 4 (2), pp.18. ⟨10.3390/cryptography4020018⟩
- Accès au texte intégral et bibtex
-
- titre
- A Resonant System for In Vitro Studies Emulating Wireless Power Transfer Exposure at 13.56 MHz
- auteur
- Mohsen Koohestani, Richard Perdriau, Yves Le Dréan, Mauro Ettorre, Maxim Zhadobov
- article
- Bioelectromagnetics, 2020, 41 (5), pp.369-381. ⟨10.1002/bem.22270⟩
- Accès au texte intégral et bibtex
-
- titre
- A Photonically-Excited Leaky-Wave Antenna Array at E-Band for 1-D Beam Steering
- auteur
- Alvaro J. Pascual-Gracia, Muhsin Ali, Guillermo Carpintero del Barrio, Fabien Ferrero, Laurent Brochier, Ronan Sauleau, Luis Enrique Garcia-Munoz, David González-Ovejero
- article
- Applied Sciences, 2020, 10 (3474), pp.1-16. ⟨10.3390/app10103474⟩
- Accès au texte intégral et bibtex
-
- titre
- Novel Models of Image Permutation and Diffusion Based on Perturbed Digital Chaos
- auteur
- Thang Manh Hoang, Safwan El Assad
- article
- Entropy, 2020, 22 (5), pp.548. ⟨10.3390/e22050548⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband graded index Gutman lens with a wide field of view utilizing artificial dielectrics: a design methodology
- auteur
- Petros Bantavis, Cebriàn Garcia-Gonzalez, Ronan Sauleau, George Goussetis, Ségolène Tubau, Hervé Legay
- article
- Optics Express, 2020, 28 (10), pp.14648-14661. ⟨10.1364/OE.389887⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectral Coexistence of LDACS and DME: Analysis via Hardware Software Co-Design in Presence of Real Channels and RF Impairments
- auteur
- Niharika Agrawal, S. Darak, Faouzi Bader
- article
- IEEE Transactions on Vehicular Communications, 2020, 69 (9), pp.9837 - 9848. ⟨10.1109/TVT.2020.3002978⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface Film Characterization from X-to-K-Band Radar Signal Inversion, a Wind-Wave-Pool Experiment
- auteur
- Aymeric Mainvis, Vincent Fabbro, Christophe Bourlier, Henri-Jose Mametsa, Pierre Borderies
- article
- Progress In Electromagnetics Research B, 2020, 87, pp.93-110. ⟨10.2528/PIERB20010604⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and security analysis of two robust keyed hash functions based on chaotic neural networks
- auteur
- Nabil Abdoun, Safwan El Assad, Olivier Déforges, Rima Assaf, Mohamad Khalil
- article
- Journal of Ambient Intelligence and Humanized Computing, 2020, 11 (5), pp.2137-2161. ⟨10.1007/s12652-019-01244-y⟩
- Accès au texte intégral et bibtex
-
- titre
- A PolSAR Scattering Power Factorization Framework and Novel Roll-Invariant Parameter-Based Unsupervised Classification Scheme Using a Geodesic Distance
- auteur
- Debanshu Ratha, E. Pottier, Avik Bhattacharya, Alejandro Frery
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2020, 58 (5), pp.3509-3525. ⟨10.1109/TGRS.2019.2957514⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Application of Sparse Spherical Harmonic Expansion for Fast Antenna Far-Field Measurements
- auteur
- N. Mezieres, Benjamin Fuchs, Laurent Le Coq, J.-M. Lerat, R. Contreres, G.L. Fur
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (5), pp.746-750. ⟨10.1109/LAWP.2020.2978170⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a gate driver for SiC MOSFET module for applications up to 1200 V
- auteur
- Christophe Batard, Nicolas Ginot, Christophe Bouguet
- article
- IET Power Electronics, 2020, 13 (7), pp.ID PAPER PEL-2019-0422. ⟨10.1049/iet-pel.2019.0422⟩
- Accès au bibtex
-
- titre
- Carbon Fibers Loaded Composites for Microwave Absorbing Application: Effect of Fiber Length and Dispersion Process on Dielectric Properties
- auteur
- R. Benzerga, M. Badard, C. Mejean, A. El Assal, C. Le Paven, A. Sharaiha
- article
- Journal of Electronic Materials, 2020, 49 (5), pp.2999-3008. ⟨10.1007/s11664-020-07998-y⟩
- Accès au bibtex
-
- titre
- Control of shielding effectiveness of optically transparent films by modification of the edge termination geometry
- auteur
- Mathieu Croizer, Quentin Tricas, Philippe Besnier, Xavier Castel, Patrice Foutrel
- article
- IEEE Transactions on Electromagnetic Compatibility, 2020, 62 (6), pp.2431-2440. ⟨10.1109/TEMC.2020.2982644⟩
- Accès au texte intégral et bibtex
-
- titre
- EVM Closed-Form Expression for OFDM Signals With Tone Reservation-Based PAPR Reduction
- auteur
- Mariam El Hassan, Matthieu Crussière, Jean-François Hélard, Youssef Nasser, Oussama Bazzi
- article
- IEEE Transactions on Wireless Communications, 2020, 19 (4), pp.2352-2366. ⟨10.1109/TWC.2020.2964196⟩
- Accès au texte intégral et bibtex
-
- titre
- Minimax Bridgeness-Based Clustering for Hyperspectral Data
- auteur
- Steven Le Moan, Claude Cariou
- article
- Remote Sensing, 2020, 12 (7), pp.1162. ⟨10.3390/rs12071162⟩
- Accès au texte intégral et bibtex
-
- titre
- About monitoring the dynamics of phase transition in food and biology by microphotonics: detecting soft-matter process
- auteur
- Lucas Garnier, Rigoberto Castro-Beltran, A. Saint-Jalmes, H. Lhermite, Anne-Laure Fameau, V. Vié, Eric Gicquel, Hervé Cormerais, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2020, Integrated Photonics Platforms: Fundamental Research, Manufacturing and Applications, V11364, pp.113641T.1-8. ⟨10.1117/12.2564645⟩
- Accès au texte intégral et bibtex
-
- titre
- A Hadoop-Based Platform for Patient Classification and Disease Diagnosis in Healthcare Applications
- auteur
- Hassan Harb, Hussein Mroue, Ali Mansour, Abbass Nasser, Eduardo Motta Cruz
- article
- Sensors, 2020, Special Issue Sensor and Systems Evaluation for Telemedicine and eHealth, 20 (7), pp.1931-1 - 1931-20. ⟨10.3390/s20071931⟩
- Accès au texte intégral et bibtex
-
- titre
- New low loss soft thermoplastic blends for microwave applications
- auteur
- Tessnim Sghaier, Mohammed El Gibari, Benoit Guiffard
- article
- Journal of Physics D: Applied Physics, 2020, 53 (13), pp.135003. ⟨10.1088/1361-6463/ab5756⟩
- Accès au bibtex
-
- titre
- Microphotonics for monitoring the supramolecular thermoresponsive behavior of fatty acid surfactant solutions
- auteur
- R. Castro-Beltrán, Lucas Garnier, A. Saint-Jalmes, Hervé Lhermite, H. Cormerais, Anne-Laure Fameau, Eric Gicquel, Bruno Bêche
- article
- Optics Communications, 2020, 468, pp.125773. ⟨10.1016/j.optcom.2020.125773⟩
- Accès au texte intégral et bibtex
-
- titre
- Phaseless Near Field Antenna Measurements from Two Surface Scans - Numerical and Experimental Investigations
- auteur
- Benjamin Fuchs, Michael Mattes, Sébastien Rondineau, Laurent Le Coq
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (3), pp.2315-2322. ⟨10.1109/TAP.2019.2938744⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental Validation of a Correcting Coupling Mechanism to Extend the Scanning Range of Narrow Band Phased Array Antennas
- auteur
- Aurélien Ayissi Manga, Raphaël Gillard, Renaud Loison, Isabelle Leroy-Naneix, Christian Renard
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (3), pp.2078-2086. ⟨10.1109/TAP.2019.2957079⟩
- Accès au texte intégral et bibtex
-
- titre
- Individualized growth prediction of mice skin tumors with maximum likelihood estimators
- auteur
- Spyridon Patmanidis, Alexandros C. Charalampidis, Ioannis Kordonis, Katerina Strati, Georgios D. Mitsis, George P. Papavassilopoulos
- article
- Computer Methods and Programs in Biomedicine, 2020, 185, pp.105165. ⟨10.1016/j.cmpb.2019.105165⟩
- Accès au bibtex
-
- titre
- Modeling of EM Wave Coherent Scattering From a Rough Multilayered Medium With the Scalar Kirchhoff Approximation for GPR Applications
- auteur
- Nicolas Pinel, Cédric Le Bastard, Christophe Bourlier
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2020, 58 (3), pp.1654-1664. ⟨10.1109/TGRS.2019.2947356⟩
- Accès au texte intégral et bibtex
-
- titre
- LoRa+: An extension of LoRaWAN protocol to reduce infrastructure costs by improving the Quality of Service
- auteur
- Hussein Mroue, Benoît Parrein, Sofiane Hamrioui, Przemyslaw Bakowski, Abbass Nasser, Eduardo Motta Cruz, Wilfried Vince
- article
- Internet of Things, 2020, 9, pp.100176. ⟨10.1016/j.iot.2020.100176⟩
- Accès au bibtex
-
- titre
- Discriminative feature representation for Noisy image quality assessment
- auteur
- Yunbo Gu, Hui Tang, Tianling Lv, Yang Chen, Zhiping Wang, Lu Zhang, Jian Yang, Huazhong Shu, Limin Luo, Gouenou Coatrieux
- article
- Multimedia Tools and Applications, 2020, 79 (11-12), pp.7783-7809. ⟨10.1007/s11042-019-08424-0⟩
- Accès au bibtex
-
- titre
- Estimation of Variance and Spatial Correlation Width for Fine-Scale Measurement Error in Digital Elevation Model
- auteur
- Mikhail Uss, Benoit Vozel, Vladimir Lukin, Kacem Chehdi
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2020, 58 (3), pp.1941-1956. ⟨10.1109/TGRS.2019.2951178⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband Active Region Metasurface Antennas
- auteur
- Marco Faenzi, D. Gonzalez-Ovejero, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (3), pp.1261-1272. ⟨10.1109/TAP.2019.2940365⟩
- Accès au texte intégral et bibtex
-
- titre
- Treatment of Depression in Primary Care with Computerized Psychological Therapies: Systematic Reviews
- auteur
- Francisco Rodriguez-Pulido, Gema Castillo, Sofiane Hamrioui, Laura Delgado Martin, Pilar Vazquez-Beltran, Isabel de La Torre-Díez, Manuel A. Franco-Martin
- article
- Journal of Medical Systems, 2020, 44 (3), ⟨10.1007/s10916-020-1543-7⟩
- Accès au bibtex
-
- titre
- Low Complexity Portable MIMO Radar System for the Characterization of Complex Environments at High Resolution
- auteur
- Lekhmissi Harkati, Ray Abdo, Stéphane Avrillon, Laurent Ferro-Famil
- article
- IET Radar Sonar and Navigation, 2020, 14 (7), pp.992-1000. ⟨10.1049/iet-rsn.2019.0576⟩
- Accès au bibtex
-
- titre
- Tetragonal tungsten bronze phase thin films in the K–Na–Nb–O system: Pulsed laser deposition, structural and dielectric characterizations
- auteur
- Barthélemy Aspe, Valérie Demange, Anne Waroquet, Xavier Castel, Brice Gautier, Quentin Simon, David Albertini, Mustapha Zaghrioui, Kevin Nadaud, S. Députier, Francis Gouttefangeas, Ronan Sauleau, Maryline Guilloux-Viry
- article
- Journal of Alloys and Compounds, 2020, 827, pp.154341. ⟨10.1016/j.jallcom.2020.154341⟩
- Accès au texte intégral et bibtex
-
- titre
- Forest SAR Tomography: Principles and Applications
- auteur
- Hossein Aghababaee, Giampaolo Ferraioli, Laurent Ferro-Famil, Yué Huang, Mauro Mariotti d'Alessandro, Vito Pascazio, Gilda Schirinzi, Stefano Tebaldini
- article
- IEEE geoscience and remote sensing magazine, 2020, 8 (2), pp.30-45. ⟨10.1109/MGRS.2019.2963093⟩
- Accès au bibtex
-
- titre
- An Improved Fabrication Technique for the 3-D Frequency Selective Surface based on Water Transfer Printing Technology
- auteur
- M. Harnois, M. Himdi, W.Y. Yong, S.K.A. Rahim, K. Tekkouk, N. Cheval
- article
- Scientific Reports, 2020, 10 (1), pp.1714. ⟨10.1038/s41598-020-58657-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Localization of unknown electromagnetic source using 3D-antenna arrays
- auteur
- S. Pala, S. Palliyani, Mohamed Himdi, O. Lafond, D.G. Kurup
- article
- International Journal of Microwave and Wireless Technologies, 2020, 12 (1), pp.86-94. ⟨10.1017/S1759078719000886⟩
- Accès au bibtex
-
- titre
- Temporally downsampled cerebral CT perfusion image restoration using deep residual learning
- auteur
- Haichen Zhu, Dan Tong, Lu Zhang, Shijie Wang, Weiwen Wu, Hui Tang, Yang Chen, Limin Luo, Jian Zhu, Baosheng Li
- article
- International Journal of Computer Assisted Radiology and Surgery, 2020, 15 (2), pp.193-201. ⟨10.1007/s11548-019-02082-1⟩
- Accès au texte intégral et bibtex
-
- titre
- A Low-Profile and High-Gain Frequency Beam Steering Subterahertz Antenna Enabled by Silicon Micromachining
- auteur
- Adrian Gomez-Torrent, Maria Garcia-Vigueras, Laurent Le Coq, Adham Mahmoud, Mauro Ettorre, Ronan Sauleau, Joachim Oberhammer
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (2), pp.672-682. ⟨10.1109/TAP.2019.2943328⟩
- Accès au texte intégral et bibtex
-
- titre
- Exposure Assessment in Millimeter-Wave Reverberation Chamber Using Murine Phantoms
- auteur
- Abdou Khadir Fall, Christophe Lemoine, Philippe Besnier, Ronan Sauleau, Yves Le Dréan, Maxim Zhadobov
- article
- Bioelectromagnetics, 2020, 41 (2), pp.121-135. ⟨10.1002/bem.22243⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband cloverleaf Vivaldi antenna with beam tilt characteristics
- auteur
- Abijuru Delphine, Mohamad Rijal Hamid, Norhudah Seman, Mohamed Himdi
- article
- International Journal of RF and Microwave Computer-Aided Engineering, 2020, 30 (5), pp.1-8. ⟨10.1002/mmce.22158⟩
- Accès au bibtex
-
- titre
- A Globally Convergent Adaptive Indirect Field-Oriented Torque Controller for Induction Motors
- auteur
- Romeo Ortega, Anton Pyrkin, Alexey Bobtsov, Denis Efimov, Stanislav Aranovskiy
- article
- Asian Journal of Control, 2020, 22 (1), pp.11-24. ⟨10.1002/asjc.1904⟩
- Accès au texte intégral et bibtex
-
- titre
- Eco-designed Conformable Inorganic Electronics to Improve the End of Life of Smart Objects: Sensor Processing and Applications
- auteur
- Maxime Harnois, Fatima Garcia-Castro, Gaëtan Herry, Olivier De Sagazan, France Le Bihan
- article
- ACS Applied Electronic Materials, 2020, 2 (2), pp.563-570. ⟨10.1021/acsaelm.9b00807⟩
- Accès au texte intégral et bibtex
-
- titre
- High performance of 3D silicon nanowires array@CrN for electrochemical capacitors
- auteur
- Abdelouadoud Guerra, Emile Haye, Amine Achour, Maxime Harnois, Toufik Hadjersi, Jean-Francois Colomer, Jean-Jacques Pireaux, Stéphane Lucas, Rabah Boukherroub
- article
- Nanotechnology, 2020, 31 (3), pp.035407. ⟨10.1088/1361-6528/ab4963⟩
- Accès au texte intégral et bibtex
-
- titre
- Inductively Coupled Plasma Chemical Vapor Deposition for Silicon‐Based Technology Compatible with Low‐Temperature (≤220 °C) Flexible Substrates
- auteur
- Kai Yang, Olivier de Sagazan, Laurent Pichon, Anne-Claire Salaün, Nathalie Coulon
- article
- physica status solidi (a), 2020, 217 (5), pp.1900556. ⟨10.1002/pssa.201900556⟩
- Accès au bibtex
-
- titre
- EyeTrackUAV2: a Large-Scale Binocular Eye-Tracking Dataset for UAV Videos
- auteur
- Anne-Flore Perrin, Vassilios Krassanakis, Lu Zhang, Vincent Ricordel, Matthieu Perreira da Silva, Olivier Le Meur
- article
- Drones, 2020, Drones 2020, 4 (2), pp.2. ⟨10.3390/drones4010002⟩
- Accès au texte intégral et bibtex
-
- titre
- Is OpenCL Driven Reconfigurable Hardware Suitable for Virtualising 5G Infrastructure?
- auteur
- Federico Civerchia, Maxime Pelcat, Luca Maggiani, Koteswararao Kondepu, Piero Castoldi, Luca Valcarenghi
- article
- IEEE Transactions on Network and Service Management, 2020, 17 (1-1), ⟨10.1109/TNSM.2020.2964392⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D Printed Large Bandwidth New Yagi-UDA Antenna
- auteur
- Asmae Hachi, Hassan Lebbar, Mohamed Himdi
- article
- Progress In Electromagnetics Research Letters, 2020, 88, pp.129-135
- Accès au bibtex
-
- titre
- NeuPow: A CAD Methodology for High Level Power Estimation Based on Machine Learning
- auteur
- Yehya Nasser, Carlo Sau, Jean-Christophe Prévotet, Tiziana Fanni, Francesca Palumbo, Maryline Hélard, Luigi Raffo
- article
- ACM Transactions on Design Automation of Electronic Systems, 2020, 25 (5), pp.1-29. ⟨10.1145/3388141⟩
- Accès au texte intégral et bibtex
-
- titre
- Frequency tunable, flexible and low cost piezoelectric micro-generator for energy harvesting
- auteur
- Julien Le Scornec, Benoit Guiffard, Raynald Seveno, Vincent Le Cam
- article
- Sensors and Actuators A: Physical , 2020, 312, pp.1-11. ⟨10.1016/j.sna.2020.112148⟩
- Accès au texte intégral et bibtex
-
- titre
- Monitoring the evaporation of a sessile water droplet by means of integrated photonic resonator
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Octave Pin, Quentin Liddell, Hervé Cormerais, Etienne Gaviot, Bruno Bêche
- article
- Journal of Physics D: Applied Physics, 2020, 53 (12), pp.125107.1-10. ⟨10.1088/1361-6463/ab651d⟩
- Accès au bibtex
-
- titre
- Integrated Transcriptomic, Phenotypic, and Functional Study Reveals Tissue-Specific Immune Properties of Mesenchymal Stromal Cells
- auteur
- Cédric Ménard, Joelle Dulong, David Roulois, Benjamin Hebraud, Léa Verdière, Céline Pangault, Vonick Sibut, Isabelle Bezier, Nadège Bescher, Céline Monvoisin, Mélanie Gadelorge, Nicolas Bertheuil, Erwan Flécher, Louis Casteilla, Philippe Collas, Luc Sensebe, Philippe Bourin, Nicolas Espagnolle, Karin Tarte
- article
- STEM CELLS, 2020, 38 (1), pp.146-159. ⟨10.1002/stem.3077⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband Passive Two-Feed-Per-Beam Pillbox Architecture for High Beam Crossover Level
- auteur
- Thomas Potelon, Mauro Ettorre, Terry Bateman, Jim Francey, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2020, 68 (1), pp.575-580. ⟨10.1109/TAP.2019.2934353⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Coplanar Slotted SIW Antenna Arrays for Beam-Tilting and 5G Applications
- auteur
- Imane Serhsouh, Mohamed Himdi, Hassan Lebbar
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (1), pp.4-8. ⟨10.1109/LAWP.2019.2948294⟩
- Accès au bibtex
-
- titre
- Multi-rate coprime sampling for frequency estimation with increased degrees of freedom
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- Signal Processing, 2020, 166, pp.107258. ⟨10.1016/j.sigpro.2019.107258⟩
- Accès au texte intégral et bibtex
-
- titre
- Statistical assessment of abrupt change detections for NILM
- auteur
- Lu Zhang, François Auger, Zhaoxia Jing, Huu Kien Bui, Jiang Xiao
- article
- Electrical Measurement and Instrumentation, 2020, 57 (1), pp.1-8. ⟨10.19753/j.issn1001-1390.2020.001.014⟩
- Accès au bibtex
-
- titre
- Efficient multi-output scene coordinate prediction for fast and accurate camera relocalization from a single RGB image
- auteur
- Nam-Duong Duong, Catherine Soladie, Amine Kacete, Pierre-Yves Richard, Jérôme Royan
- article
- Computer Vision and Image Understanding, 2020, 190, pp.102850. ⟨10.1016/j.cviu.2019.102850⟩
- Accès au bibtex
-
- titre
- Salient video object detection using a virtual border and guided filter
- auteur
- Qiong Wang, Lu Zhang, Wenbin Zou, Kidiyo Kpalma
- article
- Pattern Recognition, 2020, 97, pp.106998. ⟨10.1016/j.patcog.2019.106998⟩
- Accès au texte intégral et bibtex
-
- titre
- A Wideband and Low-Sidelobe Series-Fed Patch Array at 5.8 GHz for Radar Applications
- auteur
- Giulia Sacco, P. d'Atanasio, Stefano Pisa
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (1), pp.9-13. ⟨10.1109/LAWP.2019.2950369⟩
- Accès au bibtex
-
- titre
- A Conformal Band-Notched Ultrawideband Antenna with Monopole-Like Radiation Characteristics
- auteur
- B. Mohamadzade, R.B.V.B. Simorangkir, R.M. Hashmi, Y. Chao-Oger, M. Zhadobov, R. Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2020, 19 (1), pp.203-207. ⟨10.1109/LAWP.2019.2958036⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Radiation Pattern of Planar Antenna Using Metamaterial for 5G Applications
- auteur
- A. E. Bashar, B. M. Huda, B. Z. A. Zuhairiah, H. B. D. Samsul, Mohamed Himdi, Raimi Dewan, M. K. A. Rahim, N. Al-Fadhali
- article
- Materials, 2020, 13, pp.582
- Accès au bibtex
-
- titre
- A High-Resolution and Low-Complexity DOA Estimation Method with Unfolded Coprime Linear Arrays
- auteur
- Wei He, Xiao Yang, Yide Wang
- article
- Sensors, 2020, 20 (1), pp.218. ⟨10.3390/s20010218⟩
- Accès au texte intégral et bibtex
-
- titre
- RWG Basis Functions for Accurate Modeling of Substrate Integrated Waveguide Slot-Based Antennas
- auteur
- Matthieu Bertrand, Guido Valerio, Mauro Ettorre, Massimiliano Casaletti
- article
- IEEE Transactions on Magnetics, 2020, 56 (1), ⟨10.1109/TMAG.2019.2948491⟩
- Accès au texte intégral et bibtex
-
- titre
- Bias Propagation and Estimation in Homogeneous Differentiators for a Class of Mechanical Systems
- auteur
- Stanislav Aranovskiy, Igor Ryadchikov, Evgeny Nikulchev, Jian Wang, Dmitry Sokolov
- article
- IEEE Access, 2020, 8, pp.19450-19459. ⟨10.1109/ACCESS.2020.2968219⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanically Reconfigurable Linear Phased Array Antenna Based on Single-Block Waveguide Reflective Phase Shifters With Tuning Screws
- auteur
- Lucas Polo-López, Jose Luis Masa-Campos, Alfonso Tomas Muriel-Barrado, Pablo Sanchez-Olivares, Eduardo Garcia-Marin, Juan Corcoles, Jorge Ruiz-Cruz
- article
- IEEE Access, 2020, 8, pp.113487-113497. ⟨10.1109/ACCESS.2020.3003193⟩
- Accès au bibtex
-
- titre
- The technological challenges of microelectronics for the next generations of connected sensors
- auteur
- O.A. Bonnaud
- article
- International Journal of Plasma Environmental Science and Technology, 2020, 14 (1), pp.1-8. ⟨10.34343/ijpest.2020.14.e01002⟩
- Accès au bibtex
-
- titre
- Internet of things and enhanced living environments Measuring and mapping air quality using cyber-physical systems and mobile computing technologies
- auteur
- Gonçalo Marques, Nuno Miranda, Akash Kumar Bhoi, Begonya Garcia-Zapirain, Sofiane Hamrioui, Isabel de La Torre Díez
- article
- Sensors, 2020, 20 (3), pp.720. ⟨10.3390/s20030720⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of a rear system based on titanium nitride for a flexible CuInSe2 solar cell
- auteur
- B.E. Messaid, C.L. Paven, R.Z. Talaighil, R. Benzerga, L.L. Gendre, Florent Marlec, F. Bensouici
- article
- Optik, 2020, 206, pp.164305. ⟨10.1016/j.ijleo.2020.164305⟩
- Accès au texte intégral et bibtex
-
- titre
- Sentinel-1 InSAR Coherence for Land Cover Mapping: A Comparison of Multiple Feature-Based Classifiers
- auteur
- Alexander Jacob, Claudia Notarnicola, Gopika Suresh, Oleg Antropov, Shaojia Ge, Jaan Praks, Yifang Ban, E. Pottier, Jordi Joan Mallorqui Franquet, Javier Duro, Marcus Engdahl, Fernando Vicente-Guijalba, Carlos Lopez-Martinez, Juan Lopez-Sanchez, Marius Litzinger, Harald Kristen, Alejandro Mestre-Quereda, Dariusz Ziolkowski, Marco Lavalle
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2020, 13, pp.535-552. ⟨10.1109/JSTARS.2019.2958847⟩
- Accès au texte intégral et bibtex
-
- titre
- A Wavelet Threshold Denoising-based Imbalance Fault Detection Method for Marine Current Turbines
- auteur
- Zhichao Li, Tianzhen Wang, Yide Wang, Yassine Amirat, Mohamed Benbouzid, Demba Diallo
- article
- IEEE Access, 2020, 8, pp.29815-29825. ⟨10.1109/ACCESS.2020.2972935⟩
- Accès au texte intégral et bibtex
-
- titre
- An enhanced spatial smoothing technique with ESPRIT algorithm for direction of arrival estimation in coherent scenarios
- auteur
- Jingjing Pan, Meng Sun, Yide Wang, Xiaofei Zhang
- article
- IEEE Transactions on Signal Processing, 2020, 68, pp.3635-3643. ⟨10.1109/TSP.2020.2994514⟩
- Accès au texte intégral et bibtex
-
- titre
- ICP-CVD μ-Si Layers Optimization for Strain Gauges on Flexible Substrates
- auteur
- F. Garcia Castro, O. de Sagazan, N Coulon, C. Simon, F. Le Bihan
- article
- Sensors and Actuators A: Physical , 2020, 315, pp.112261. ⟨10.1016/j.sna.2020.112261⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-THz Circularly Polarized Horn Antenna Using Wire Electrical Discharge Machining for 6G Wireless Communications
- auteur
- Basem Aqlan, Mohamed Himdi, Laurent Le Coq, Hamsakutty Vettikalladi
- article
- IEEE Access, 2020, 8, pp.117245-117252. ⟨10.1109/ACCESS.2020.3003853⟩
- Accès au texte intégral et bibtex
-
- titre
- Lossy compression of multichannel remote sensing images with quality control
- auteur
- V. Lukin, I. Vasilyeva, S. Krivenko, F. Li, S. Abramov, O. Rubel, B. Vozel, K. Chehdi, K. Egiazarian
- article
- Remote Sensing, 2020, 12 (22), pp.1-35. ⟨10.3390/rs12223840⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual linearly polarised 3D printed Phoenix cell for wide band metal only reflectarrays
- auteur
- Tony Makdissy, Raphaël Gillard, Z An, Sébastien Vaudreuil
- article
- IET Microwaves Antennas and Propagation, 2020, 14 (12), pp.1411-1416. ⟨10.1049/iet-map.2020.0265⟩
- Accès au bibtex
-
- titre
- Decentralized spectrum learning for radio collision mitigation in ultra-dense IoT networks: LoRaWAN case study and experiments
- auteur
- Christophe Moy, Lilian Besson, Guillaume Delbarre, Laurent Toutain
- article
- Annals of Telecommunications - annales des télécommunications, 2020, 75 (11-12), pp.711-727. ⟨10.1007/s12243-020-00795-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-efficient cross-layer resource allocation scheme for OFDMA systems
- auteur
- Ayman Khalil, J.-F. Hélard
- article
- Annals of Telecommunications - annales des télécommunications, 2020, ⟨10.1007/s12243-020-00825-9⟩
- Accès au bibtex
-
- titre
- Efficient Discrimination and Localization of Multimodal Remote Sensing Images Using CNN-Based Prediction of Localization Uncertainty
- auteur
- Mykhail Uss, Benoit Vozel, Vladimir Lukin, Kacem Chehdi
- article
- Remote Sensing, 2020, 12 (4), pp.703. ⟨10.3390/rs12040703⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving energy efficiency in massive MIMO: joint digital beam-steering and tone-reservation PAPR reduction
- auteur
- Christian A. Schmidt, Matthieu Crussière, Jean Francois Helard, Andrea M. Tonello
- article
- IET Communications, 2020, 14 (14), pp.2250-2258. ⟨10.1049/iet-com.2019.1129⟩
- Accès au bibtex
-
- titre
- Experimental Validation of a 2-Bit Reconfigurable Unit-Cell for Transmitarrays at Ka-Band
- auteur
- Antonio Clemente, Fatimata Diaby, Luca Di Palma, Laurent Dussopt, Ronan Sauleau
- article
- IEEE Access, 2020, 8, pp.114991-114997. ⟨10.1109/ACCESS.2020.3003698⟩
- Accès au texte intégral et bibtex
-
- titre
- Power balance and efficiency of metasurface antennas
- auteur
- M. Bodehou, D. Gonzalez-Ovejero, C. Craeye, S. Maci, I. Huynen, E. Martini
- article
- Scientific Reports, 2020, 10 (1), pp.17508. ⟨10.1038/s41598-020-74674-w⟩
- Accès au texte intégral et bibtex
-
- titre
- Mutual Coupling Reduction in Microstrip Array Antenna by Employing Cut Side Patches and EBG Structures
- auteur
- Bahare Mohamadzade, Ali Lalbakhsh, Roy B. V. B. Simorangkir, Alireza Rezaee, Raheel M. Hashmi
- article
- Progress In Electromagnetics Research M, 2020, 89, pp.179-187. ⟨10.2528/PIERM19100703⟩
- Accès au bibtex
-
- titre
- Reconfigurable SIW Antenna for Fixed Frequency Beam Scanning and 5G Applications
- auteur
- Imane Serhsouh, Mohamed Himdi, Hassan Lebbar, Hamsakutty Vettikalladi
- article
- IEEE Access, 2020, 8, pp.60084-60089. ⟨10.1109/ACCESS.2020.2983001⟩
- Accès au texte intégral et bibtex
-
- titre
- A coplanar waveguide tapered slot antenna with beam switching capabilities
- auteur
- D. Abijuru, M.R. Hamid, N. Seman, M. Himdi
- article
- Indonesian Journal of Electrical Engineering and Computer Science, 2020, 20 (1), pp.275-280. ⟨10.11591/ijeecs.v20.i1.pp275-280⟩
- Accès au texte intégral et bibtex
-
- titre
- Integral Equation Analysis of Terahertz Backscattering From Circular Dielectric Rod With Partial Graphene Cover
- auteur
- Sergii V. Dukhopelnykov, Ronan Sauleau, Alexander I. Nosich
- article
- IEEE Journal of Quantum Electronics, 2020, 56 (6), ⟨10.1109/JQE.2020.3015482⟩
- Accès au bibtex
-
- titre
- Optimal Multiplexing of Spatially Encoded Information across Custom-Tailored Configurations of a Metasurface-Tunable Chaotic Cavity
- auteur
- Philipp del Hougne, Matthieu Davy, Ulrich Kuhl
- article
- Physical Review Applied, 2020, 13 (4), ⟨10.1103/PhysRevApplied.13.041004⟩
- Accès au bibtex
-
- titre
- Multilook Polarimetric SAR Change Detection Using Stochastic Distances Between Matrix-Variate Gd⁰ Distributions
- auteur
- Nizar Bouhlel, Stephane Meric
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2020, 58 (10), pp.6823-6843. ⟨10.1109/TGRS.2020.2976766⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a Printed Metamaterial-Inspired Electrically Small Huygens Source Antenna for Cognitive Radio Applications
- auteur
- Imen Ben Trad, Hatem Rmili, Muntasir Sheikh, Bandar Hakim, Jean Marie Floc’h
- article
- Applied Computational Electromagnetics Society Journal, 2020, 35 (7), pp.837-842
- Accès au bibtex
-
- titre
- Multiple Harmonic Current Injection System for Audible Noise Analysis of AC Filter Capacitors in Converter Stations
- auteur
- Jingang Han, Shouzhi Zheng, Gang Yao, Hao Chen, Yide Wang, Tianhao Tang
- article
- IEEE Access, 2020, 8, pp.94024-94032. ⟨10.1109/ACCESS.2020.2993458⟩
- Accès au texte intégral et bibtex
-
- titre
- H-YOLO: A Single-Shot Ship Detection Approach Based on Region of Interest Preselected Network
- auteur
- Gang Tang, Shibo Liu, Iwao Fujino, Christophe Claramunt, Yide Wang, Shaoyang Men
- article
- Remote Sensing, 2020, 12 (24), pp.4192. ⟨10.3390/rs12244192⟩
- Accès au texte intégral et bibtex
-
- titre
- Coplanar waveguide low pass filter based on square complementary split ring resonator with wide rejection
- auteur
- M. Bendaoued, R. Mandry, L. El Abdellaoui, A. Fouad, Mohamed Latrach, A. Lakhssassi
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2020, 18 (5), pp.2314-2319. ⟨10.12928/TELKOMNIKA.v18i5.15862⟩
- Accès au texte intégral et bibtex
-
- titre
- DOA and Range Estimation using a Uniform Linear Antenna Array without a Priori Knowledge of the Source Number
- auteur
- Jianzhong Li, Yide Wang, Zhigang Ren, Xiaobo Gu, Ming Yin, Zongze Wu
- article
- IEEE Transactions on Antennas and Propagation, 2020, pp.1-1. ⟨10.1109/TAP.2020.3030997⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic decision-making process in the opportunistic spectrum access
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, A. Assoum, Denis Le Jeune, Christophe Osswald
- article
- Advances in Science, Technology and Engineering Systems Journal, 2020, 5 (4), pp.223-233. ⟨10.25046/aj050428⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Performance of QPSK Modulation Over Downlink NOMA: From Error Probability Derivation to SDR-Based Validation
- auteur
- Jean-Romain Garnier, Alexis Fabre, Haïfa Farès, Rémi Bonnefoi
- article
- IEEE Access, 2020, 8, pp.66495-66507. ⟨10.1109/ACCESS.2020.2983299⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarization-Based Reconfigurable Tags for Robust Ambient Backscatter Communications
- auteur
- R. Fara, D.-T. Phan-Huy, A. Ourir, Y. Kokar, J.-C. Prévotet, M. Helard, M. Di Renzo, J. de Rosny
- article
- IEEE Open Journal of the Communications Society, 2020, 1, pp.1140-1152. ⟨10.1109/OJCOMS.2020.3013239⟩
- Accès au texte intégral et bibtex
-
- titre
- Controlled Stratification Based on Kriging Surrogate Model: An Algorithm for Determining Extreme Quantiles in Electromagnetic Compatibility Risk Analysis
- auteur
- T. Houret, Philippe Besnier, S. Vauchamp, P. Pouliguen
- article
- IEEE Access, 2020, 8, pp.3837-3847. ⟨10.1109/ACCESS.2019.2961851⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenna/Body Coupling in the Near-Field at 60 GHz: Impact on the Absorbed Power Density
- auteur
- Massinissa Ziane, Ronan Sauleau, Maxim Zhadobov
- article
- Applied Sciences, 2020, 10 (21), pp.7392. ⟨10.3390/app10217392⟩
- Accès au texte intégral et bibtex
-
- titre
- Tunable VVC Frame Partitioning based on Lightweight Machine Learning
- auteur
- Thomas Amestoy, Alexandre Mercat, Wassim Hamidouche, Daniel Menard, Cyril Bergeron
- article
- IEEE Transactions on Image Processing, 2020, 29, pp.1313-1328. ⟨10.1109/TIP.2019.2938670⟩
- Accès au texte intégral et bibtex
-
- titre
- Change Detection in Multilook Polarimetric SAR Imagery With Determinant Ratio Test Statistic
- auteur
- N. Bouhlel, V. Akbari, S. Meric
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2020, pp.1-15. ⟨10.1109/TGRS.2020.3043517⟩
- Accès au texte intégral et bibtex
-
- titre
- FPGA Implementation of a Chaos-based Stream Cipher and Evaluation of its Performances
- auteur
- Fethi Dridi, Carinelle Atamech, Safwan El Assad, Wajih Elhadj Youssef, Mohsen Machhout
- article
- International Journal of Chaotic Computing, 2020, 7 (1), pp.179-186. ⟨10.20533/ijcc.2046.3359.2020.0023⟩
- Accès au bibtex
-
- titre
- Closed-Form Expressions of Ergodic Capacity and MMSE Achievable Sum Rate for MIMO Jacobi and Rayleigh Fading Channels
- auteur
- Amor Nafkha, Nizar Demni
- article
- IEEE Access, 2020, 8, pp.149476-149486. ⟨10.1109/ACCESS.2020.3016925⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving k-nearest neighbor approaches for density-based pixel clustering in hyperspectral remote sensing images
- auteur
- Claude Cariou, S.L. Moan, K. Chehdi
- article
- Remote Sensing, 2020, 12 (22), pp.1-29. ⟨10.3390/rs12223745⟩
- Accès au texte intégral et bibtex
-
- titre
- μ-Si strain gauge array on flexible substrate for dynamic pressure measurement
- auteur
- F. Garcia Castro, O. de Sagazan, N Coulon, A. Homs Corbera, D. Fassini, J. Cramer, F. Le Bihan
- article
- Sensors and Actuators A: Physical , 2020, 315, pp.112274. ⟨10.1016/j.sna.2020.112274⟩
- Accès au texte intégral et bibtex
-
- titre
- Feasibility Study and Porting of the Damped Least Square Algorithm on FPGA
- auteur
- Carlo Sau, Tiziana Fanni, Claudio Rubattu, Luca Fanni, Luigi Raffo, Francesca Palumbo
- article
- IEEE Access, 2020, 8, pp.175483-175500. ⟨10.1109/ACCESS.2020.3025367⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy bill reduction by optimizing both active and reactive power in an electrical microgrid
- auteur
- A. Boulal, H.E. Chakir, M. Drissi, H. Ouadi
- article
- International Review of Electrical Engineering, 2020, 15 (6), pp.456-464. ⟨10.15866/iree.v15i6.17909⟩
- Accès au bibtex
-
- titre
- Dual-polarized aperture-coupled patch antennas with application to retrodirective and monopulse arrays
- auteur
- Paul Le Bihan, P.D. Hilario Re, Davide Comite, M. Kuznetcov, S.K. Podilchak, C. Tucker, K. Maccoll, Y. Zhaksylyk, M. García-Vigueras, M. Sellathurai, G. Goussetis
- article
- IEEE Access, 2020, 8, pp.7549-7557. ⟨10.1109/ACCESS.2019.2961601⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptation of the higher education in engineering to the advanced manufacturing technologies
- auteur
- Olivier Bonnaud, A. Bsiesy
- article
- Advances in Technology Innovation, 2020, 5 (2), pp.65-75
- Accès au bibtex
-
- titre
- Experimental Comparison of Velocity Observers: A Scissored Pair Control Moment Gyroscope Case Study
- auteur
- Stanislav Aranovskiy, Igor Ryadchikov, Evgeny Nikulchev, Jian Wang, Dmitry Sokolov
- article
- IEEE Access, 2020, 8, pp.21694-21702. ⟨10.1109/ACCESS.2020.2968221⟩
- Accès au texte intégral et bibtex
-
- titre
- A Compact C-Band Bandpass Filter with an Adjustable Dual-Band Suitable for Satellite Communication Systems
- auteur
- Ali Lalbakhsh, Amirhossein Ghaderi, Wahab Mohyuddin, Roy B. V. B. Simorangkir, Nima Bayat-Makou, Muhammad Sajjad Ahmad, Gwan Hui Lee, Kang Wook Kim
- article
- Electronics, 2020, 9 (7), pp.1088. ⟨10.3390/electronics9071088⟩
- Accès au texte intégral et bibtex
-
- titre
- Inferring visual biases in uav videos from eye movements
- auteur
- Anne-Flore Perrin, Lu Zhang, Olivier Le Meur
- article
- Drones, 2020, 4 (3), pp.1-25. ⟨10.3390/drones4030031⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust position sensing with wave fingerprints in dynamic complex propagation environments
- auteur
- Philipp del Hougne
- article
- Physical Review Research, 2020, 2 (4), pp.043224. ⟨10.1103/PhysRevResearch.2.043224⟩
- Accès au texte intégral et bibtex
-
- titre
- Beam Steering Reconfigurable Compact Antenna Based on Hybridization between Split Ring Resonators
- auteur
- Kammel Rachedi, Julien de Rosny, Yvan Kokar, Dinh Thuy Phan-Huy, Abdelwaheb Ourir
- article
- Progress In Electromagnetics Research M, 2020, 91, pp.189-196. ⟨10.2528/PIERM20021004⟩
- Accès au bibtex
-
Conference papers
- titre
- Optimal Design of Inductively Degenerated Common-Source LNAs in Multi-Stage LNAs
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Tchanguiz Razban, Yann Mahé
- article
- The 2nd Iranian Conference on Microelectronics (ICM2020), Dec 2020, Teheran, Iran. Paper ID#ICM-1057
- Accès au bibtex
-
- titre
- Interception of Frequency-Hopping Signals for TEMPEST Attacks
- auteur
- Corentin Lavaud, Robin Gerzaguet, Matthieu Gautier, Olivier Berder, Erwan Nogues, Stephane Molton
- article
- Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, Dec 2020, Virtuelle, France
- Accès au texte intégral et bibtex
-
- titre
- Microstrip Stop-band RF Filter at Microwave Frequencies Using CLLs Elements
- auteur
- Saber Dakhli, Smari M., Jean Marie Floc’h, Fethi Choubani
- article
- IC_ASET'2020, Dec 2020, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- Design of a Novel Compact and Superdirective Two and Three Elements Antenna Array
- auteur
- Saber Dakhli, L Laadhar, Jean Marie Floc’h, Mazhar Sheikh, Hatem Rmili
- article
- IC_ASET'2020, Dec 2020, HAMMAMET, Tunisia
- Accès au bibtex
-
- titre
- Application of Fundamental In-Body Radiation Limitations to Practical Design of Antennas for Implantable Bioelectronics
- auteur
- D. Nikolayev, W. Joseph, M. Zhadobov, L. Martens, R. Sauleau, A.K. Skrivervik
- article
- 2020 IEEE MTT-S International Microwave Biomedical Conference, IMBioC 2020, Dec 2020, Toulouse, France. pp.9384901, ⟨10.1109/IMBIoC47321.2020.9384901⟩
- Accès au texte intégral et bibtex
-
- titre
- Computational microdosimetry at cellular level at millimeter wave frequencies
- auteur
- Z. Haider, Y.L. Drean, R. Sauleau, M. Zhadobov
- article
- 2020 IEEE MTT-S International Microwave Biomedical Conference, IMBioC 2020, Dec 2020, Toulouse, France. pp.9384902, ⟨10.1109/IMBIoC47321.2020.9384902⟩
- Accès au bibtex
-
- titre
- Scissored pair control moment gyroscope inverted pendulum
- auteur
- Stanislav Aranovskiy, Igor Ryadchikov, Nikita Mikhalkov, Dmitry Kazakov, Alexey Simulin, Dmitry Sokolov
- article
- 14th International Symposium "Intelligent Systems - 2020", Dec 2020, Moscow, Russia
- Accès au texte intégral et bibtex
-
- titre
- Performance of DSTM MIMO Systems with 2, 4 and 8 Transmit Antennas Using Extensions of the Weyl Group
- auteur
- I. Dawi, Gheorghe I. Zaharia, J.-F. Hélard, Y. Nasser, A. Khalil
- article
- 14th International Conference on Signal Processing and Communication Systems, ICSPCS 2020, Dec 2020, Adelaide, Australia. pp.9310067, ⟨10.1109/ICSPCS50536.2020.9310067⟩
- Accès au bibtex
-
- titre
- Design and implementation on FPGA board of a chaos-based stream cipher
- auteur
- Fethi Dridi, Carinelle Atamech, Safwan El Assad, Wajih Elhadj Youssef, Mohsen Machhout
- article
- International Conference for Internet Technology and Secured Transactions (Virtual Conference), Dec 2020, London, United Kingdom. Paper ID 478
- Accès au bibtex
-
- titre
- Novel Sext-Band Band-Pass Filter with Non-Coupled Structure Based on Multi-Shorted-Stub Resonators
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier
- article
- 2020 Asia-Pacific Microwave Conference (APMC2020), Dec 2020, Hong Kong, China
- Accès au texte intégral et bibtex
-
- titre
- A Stream Cipher Based on Fractional Pseudo Chaotic Random Number Generator
- auteur
- Chunxiao Yang, Ina Taralova, Jean-Jacques Loiseau, Safwan El-Assad
- article
- 2020 15th International Conference for Internet Technology and Secured Transactions (ICITST), Dec 2020, London, United Kingdom. pp.6, ⟨10.23919/ICITST51030.2020.9351350⟩
- Accès au texte intégral et bibtex
-
- titre
- A User-Centric Frequency Reuse in Non-full Interference Cellular Networks
- auteur
- Mohammadreza Mardani, Philippe Mary, Jean-Yves Baudais
- article
- IEEE Global Communications Conference (Globecom 2020), Dec 2020, Taipei, Taiwan
- Accès au texte intégral et bibtex
-
- titre
- Prediction-Aware Quality Enhancement of VVC Using CNN
- auteur
- Fatemeh Nasiri, Wassim Hamidouche, Luce Morin, Nicolas Dhollande, Gildas Cocherel
- article
- 2020 IEEE International Conference on Visual Communications and Image Processing (VCIP), Dec 2020, Macau, France. pp.310-313, ⟨10.1109/VCIP49819.2020.9301884⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards Audio-Visual Saliency Prediction for Omnidirectional Video with Spatial Audio
- auteur
- Fang-Yi Chao, Cagri Ozcinar, Lu Zhang, Wassim Hamidouche, Olivier Deforges, Aljosa Smolic
- article
- 2020 IEEE International Conference on Visual Communications and Image Processing (VCIP), Dec 2020, Macau, France. pp.355-358, ⟨10.1109/VCIP49819.2020.9301766⟩
- Accès au bibtex
-
- titre
- Localization cues preservation in hearing aids by combining noise reduction and dynamic range compression
- auteur
- Adrien Llave, Simon Leglaive, Renaud Seguier
- article
- Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC), Dec 2020, Auckland, New Zealand
- Accès au texte intégral et bibtex
-
- titre
- Silicon Nanowires based bio-sensors for the detection of Bacteria
- auteur
- Anne-Claire Salaün, Y. Benserhir, Laurent Pichon, Florence Geneste, R. Rogel, Anne Gougeon-Jolivet
- article
- 3rd IEEE Sensors France workshop, Nov 2020, Lille, France
- Accès au bibtex
-
- titre
- In depth study of optical behavior of a novel leaky waveguide deflector
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Kevin Heggarty, Christian Larat, Jean-Pierre Vilcot, Hong Wu Li
- article
- 28th Telecommunications Forum TELFOR 2020, Nov 2020, Fully online event, Serbia. ⟨10.1109/TELFOR51502.2020.9306629⟩
- Accès au bibtex
-
- titre
- Electro optic nanocomposites for high performance microwave photonic applications
- auteur
- Zahraa Jradi, Mohammed El Gibari, Hong Wu Li, Olivier Chauvet
- article
- 28th Telecommunications Forum TELFOR 2020, Nov 2020, Belgrade (Fully online event), Serbia
- Accès au bibtex
-
- titre
- Analog, compact and economical solution for the compensation of implantable piezoresistive blood pressure sensors for wireless medical monitoring
- auteur
- Serigne Modou Die Mbacke, Mohammed El Gibari, Benjamin Lauzier, Chantal Gauthier, Hong Wu Li
- article
- 28th Telecommunications Forum TELFOR 2020, Nov 2020, Fully online event, Serbia
- Accès au bibtex
-
- titre
- Caractérisation et analyse des performances des capacités ferroélectriques à BST dans le domaine des ondes millimétriques
- auteur
- Aurelian Crunteanu, Areski Ghalem, Laure Huitema, Caroline Borderon, Raphaël Renoud, Hartmut W Gundel
- article
- 16èmes Journées de Caractérisation Microondes et Matériaux (JCMM), Toulouse, 30 mars – 1er avril 2020/ en ligne 23-25 nov 2020, Nov 2020, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- Réalisation d’une capacité ferroélectrique accordable en température
- auteur
- Caroline Borderon, Stéphane Ginestar, Raphaël Renoud, H. W. Gundel
- article
- 16èmes Journées de Caractérisation Microondes et Matériaux (JCMM), Toulouse, 30 mars – 1er avril 2020/ en ligne 23-25 nov 2020, Nov 2020, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- Etude des propriétés diélectriques en couches minces d’une nouvelle phase dans le système K-Na-Nb-O pour des applications en hyperfréquences
- auteur
- Barthélemy Aspe, Valérie Demange, Xavier Castel, Quentin Simon, Mustapha Zaghrioui, Kevin Nadaud, Stéphanie Députier, Francis Gouttefangeas, Ronan Sauleau, Maryline Guilloux-Viry
- article
- JCMM 2020 “16èmes Journées de Caractérisation Microondes et Matériaux”, Nov 2020, Toulouse, France. pp.33-36
- Accès au bibtex
-
- titre
- A Robustness Comparison of Measured Narrowband CSI vs RSSI for IoT Localization
- auteur
- Ahmed Abdel Ghany, Bernard Uguen, Dominique Lemur
- article
- 2020 IEEE 92nd Vehicular Technology Conference: VTC2020-Fall, Nov 2020, Victoria (virtual), Canada. ⟨10.1109/VTC2020-Fall49728.2020.9348854⟩
- Accès au texte intégral et bibtex
-
- titre
- Blindage CEM optiquement transparent à ajustement dynamique en efficacité
- auteur
- Quentin Tricas, Patrice Foutrel, Philippe Besnier, Xavier Castel, Claire Le Paven-Thivet
- article
- Journée des Doctorants, SAFRAN Electronics & Defense, Nov 2020, Massy, France
- Accès au bibtex
-
- titre
- New results on Q-routing protocol for wireless networks
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux
- article
- EAI ADHOCNETS 2020, Nov 2020, Paris, France. ⟨10.1007/978-3-030-67369-7_3⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and realization of a broadband printed dipole array for the radio-localization of mobile phones from drones
- auteur
- J. M. Floc'H, I Ben Trad, A. Ferreol, P. Thaly
- article
- 2nd International Conference on Smart Innovation, Ergonomics and Applied Human Factors (SEAHF), Nov 2020, Online, France. ⟨10.1201/9781003181545-16⟩
- Accès au bibtex
-
- titre
- Compact and Multiband CPW Printed Monopole Antenna based on CLL elements for Wireless Applications
- auteur
- Moheddine Smari, Saber Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- ICTCT 2020, Nov 2020, Online, France. ⟨10.1201/9781003181545-1⟩
- Accès au bibtex
-
- titre
- A study on the impact of training data in CNN-based super-resolution for low bitrate end-to-end video coding
- auteur
- Fatemeh Nasiri, Wassim Hamidouche, Luce Morin, Gildas Cocherel, Nicolas Dhollande
- article
- Tenth International Conference on Image Processing Theory, Tools and Applications (IPTA), Nov 2020, Paris, France. pp.1-5, ⟨10.1109/IPTA50016.2020.9286717⟩
- Accès au texte intégral et bibtex
-
- titre
- Parallelisation of the Wide-Band Wide-Field Spectral Deconvolution Framework DDFacet on Distributed Memory HPC System
- auteur
- Nicolas Monnier, Erwan Raffin, Cyril Tasse, Jean-François Nezan, Oleg M Smirnov
- article
- ADASS, Nov 2020, Grenada, Spain
- Accès au texte intégral et bibtex
-
- titre
- A Photonic Transmitter for Beam Switching in mm-wave Wireless Links
- auteur
- A.J. Pascual, T. Batte, O. de Sagazan, G. Carpintero, R. Sauleau, D. Gonzalez-Ovejero
- article
- 45th International Conference on Infrared, Millimeter, and Terahertz Waves, IRMMW-THz 2020, Nov 2020, Buffalo (virtual), United States. pp.9370475, ⟨10.1109/IRMMW-THz46771.2020.9370475⟩
- Accès au texte intégral et bibtex
-
- titre
- Application and improvement of fast antenna testing via sparse spherical harmonic expansion
- auteur
- N. Mezieres, Benjamin Fuchs, L.L. Coq, J.M. Lerat, G.L. Fur, R. Contreres
- article
- 2020 Antenna Measurement Techniques Association Symposium, AMTA 2020, Nov 2020, Newport, United States. pp.9280911
- Accès au bibtex
-
- titre
- Design and realization of broadband printed dipole array for the Radio-localization of mobile phones from drones
- auteur
- Jean Marie Floc’h, Anne Ferreol, Imen Ben Trad, Pierre Thaly
- article
- ICTCT 2020, Nov 2020, ON LINE, France
- Accès au bibtex
-
- titre
- Toward Dynamically Adapting Wireless Intra-Chip Channels to Traffic Needs with a Programmable Metasurface
- auteur
- Mohammadreza F Imani, Sergi Abadal, Philipp del Hougne
- article
- 1st ACM International Workshop on Nanoscale Computing, Communication, and Applications, NanoCoCoA 2020, held in conjunction with the 18th ACM Conference on Embedded Networked Sensor Systems, SenSys 2020, Nov 2020, Yokohama, France. pp.20-25, ⟨10.1145/3416006.3431274⟩
- Accès au texte intégral et bibtex
-
- titre
- Geometric Distance for Fast Micro-Expression Detection
- auteur
- H. Lu, D. Li, Kidiyo Kpalma, M. Yang
- article
- 20th IEEE International Conference on Communication Technology, ICCT 2020, Oct 2020, Nanning, China. pp.1405-1411, ⟨10.1109/ICCT50939.2020.9295694⟩
- Accès au bibtex
-
- titre
- Users' Power Multiplexing Limitations in NOMA System over Gaussian Channel
- auteur
- Ahlem Haddad, Amor Nafkha, Faouzi Bader, Djamel Slimani
- article
- The IEEE 8th International Conference on Wireless Networks and Mobile Communications (WINCOM’2020), Reïms, France. Oct 2020., Oct 2020, Reïms ( Virtual Conference ), France
- Accès au texte intégral et bibtex
-
- titre
- Quality-Driven Dynamic VVC Frame Partitioning for Efficient Parallel Processing
- auteur
- Thomas Amestoy, Wassim Hamidouche, Cyril Bergeron, Daniel Menard
- article
- 27th IEEE International Conference on Image Processing (ICIP 2020), Oct 2020, Abu Dhabi, United Arab Emirates. pp.3129-3133, ⟨10.1109/ICIP40778.2020.9190928⟩
- Accès au texte intégral et bibtex
-
- titre
- CNN ORIENTED COMPLEXITY REDUCTION OF VVC INTRA ENCODER
- auteur
- Alexandre Tissier, Wassim Hamidouche, J Vanne, F Galpin, Daniel Menard
- article
- IEEE International Conference on Image Processing (ICIP 2020), IEEE, Oct 2020, Abu Dhabi, United Arab Emirates. ⟨10.1109/ICIP40778.2020.9190797⟩
- Accès au texte intégral et bibtex
-
- titre
- A Fixation-Based 360° Benchmark Dataset For Salient Object Detection
- auteur
- Yi Zhang, Lu Zhang, Wassim Hamidouche, Olivier Deforges
- article
- 2020 IEEE International Conference on Image Processing (ICIP), Oct 2020, Abu Dhabi, France. pp.3458-3462, ⟨10.1109/ICIP40778.2020.9191158⟩
- Accès au bibtex
-
- titre
- Polynomial Approximation with Non-Uniform Segmentation for Bivariate Functions
- auteur
- Mathieu Percelay, Justine Bonnot, Florian Arrestier, Daniel Menard
- article
- IEEE Workshop on Signal Processing Systems (SiPS), Oct 2020, Coimbra, Portugal
- Accès au bibtex
-
- titre
- Benchmark of MEO Multibeam Satellite Adaptive Antenna and Payload Architectures for Broadband Systems
- auteur
- F. Vidal, H. Legay, G. Goussetis, T. Strober, J.-D. Gayrard
- article
- 10th Advanced Satellite Multimedia Systems Conference and the 16th Signal Processing for Space Communications Workshop, ASMS/SPSC 2020, Oct 2020, Graz, Austria. pp.9268898, ⟨10.1109/ASMS/SPSC48805.2020.9268898⟩
- Accès au bibtex
-
- titre
- Towards real time interception of Frequency Hopping signals
- auteur
- Corentin Lavaud, Robin Gerzaguet, Matthieu Gautier, Olivier Berder, Erwan Nogues, Stephane Molton
- article
- IEEE International Workshop on Signal Processing Systems, Oct 2020, Virtual, France
- Accès au texte intégral et bibtex
-
- titre
- Approximate Buffers for Reducing Memory Requirements: Case study on SKA
- auteur
- Hugo Miomandre, Jean-François Nezan, Daniel Menard, Adam Campbell, Anthony Griffin, Seth Hall, Andrew Ensor
- article
- 34th 2020 IEEE Workshop on Signal Processing Systems (SiPS), Oct 2020, Coimbra, Portugal. pp.9195262, ⟨10.1109/SiPS50750.2020.9195262⟩
- Accès au texte intégral et bibtex
-
- titre
- A Compact Flexible UWB Antenna for Biomedical Applications: Especially for Breast Cancer Detection
- auteur
- N. Hammouch, H. Ammor, M. Himdi
- article
- 6th International Conference on Wireless Technologies, Embedded and Intelligent Systems, WITS 2020, Oct 2020, Fez, Morocco. pp.1061-1072, ⟨10.1007/978-981-33-6893-4_96⟩
- Accès au bibtex
-
- titre
- Technologies of optically transparent antennas from VHF/UHF to the millimeter-wave band
- auteur
- Mohamed Himdi, Xavier Castel
- article
- WITS-2020 “The 6th international conference on WIreless Technologies, embedded and intelligent Systems”, Oct 2020, Fez, Morocco
- Accès au bibtex
-
- titre
- Theoretical performance of the gradient-based tone reservation PAPR reduction algorithm
- auteur
- Hassan Oulyadi, Matthieu Crussière, J.-F. Helard, Y. Nasser, O. Bazzi
- article
- 16th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2020, Oct 2020, Thessaloniki, Greece. pp.9253428, ⟨10.1109/WiMob50308.2020.9253428⟩
- Accès au bibtex
-
- titre
- Joint beamforming and PAPR reduction in massive MIMO: Analysis of gain in energy efficiency
- auteur
- C.A. Schmidt, J.-F. Helard, Matthieu Crussière
- article
- 16th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2020, Oct 2020, Thessaloniki, Greece. pp.9253423, ⟨10.1109/WiMob50308.2020.9253423⟩
- Accès au bibtex
-
- titre
- Channel Characterization and Validation of Ray Tracing Simulations with Measurements at 60 GHz in Office Environment
- auteur
- Muhammad Usman Sheikh, Marwan El Hajj, Riku Jantti, Jyri Hamalainen, Gheorghe I. Zaharia, Ghaïs El Zein, Hanna Farhad, Sawsan Sadek
- article
- 2020 27th International Conference on Telecommunications (ICT), Oct 2020, Bali, Indonesia. ⟨10.1109/ICT49546.2020.9239586⟩
- Accès au bibtex
-
- titre
- Millimeter-Wave and Sub-THz Modulated Metasurface Antennas
- auteur
- D. Gonzalez-Ovejero, X. Morvan, L. Le Coq, O. de Sagazan
- article
- 2020 Fourteenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials), Sep 2020, New York City, France. pp.281-283, ⟨10.1109/Metamaterials49557.2020.9285129⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a Reflecting Luneburg Lens by Metal-Only Metasurface
- auteur
- J. Ruiz-Garcia, E. Martini, C. Della Giovampaola, R. Sauleau, D. Gonzalez-Ovejero, S. Maci
- article
- 2020 Fourteenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials), Sep 2020, New York City, United States. pp.024-026, ⟨10.1109/Metamaterials49557.2020.9285040⟩
- Accès au texte intégral et bibtex
-
- titre
- POLSAR ANALYSIS OF COHERENT AND DIFFUSE DOUBLE-BOUNCE SCATTERING OCCURING WITHIN A VEGETATED MEDIUM
- auteur
- Ray Abdo, Laurent Ferro-Famil
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Sep 2020, Waikoloa, United States. ⟨10.1109/IGARSS39084.2020.9324367⟩
- Accès au bibtex
-
- titre
- CHARACTERIZATION OF ALPINE SNOWPACKS USING A LOW COMPLEXITY PORTABLE MIMO RADAR SYSTEM
- auteur
- Lekhmissi Harkati, Ray Abdo, Stéphane Avrillon, Laurent Ferro-Famil, Isabelle Gouttevin, Yannick Deliot, Hugo Merzisen, Pascal Salze, Franck Delbert, Philipe Lapalus, Yves Lejeune, Erwan Le Gac, Herve Bellot, Xavier Ravana, Fatima Karbou
- article
- IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Sep 2020, Waikoloa, United States. ⟨10.1109/IGARSS39084.2020.9323102⟩
- Accès au bibtex
-
- titre
- Probability of failure using the Kriging - Controlled stratification method and statistical inference
- auteur
- T. Houret, Philippe Besnier, S. Vauchamp, P. Pouliguen
- article
- EMC EUROPE 2020 - International Symposium on Electromagnetic Compatibility, Sep 2020, Rome, Italy. pp.1-6, ⟨10.1109/EMCEUROPE48519.2020.9245860⟩
- Accès au bibtex
-
- titre
- A compact absorbing FSS structure for antenna decoupling in the 5G 3.5 GHz band
- auteur
- Faissal Merzaki, Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier, Thierry Levavasseur, Patrick Caldamone, Patrick Parneix
- article
- EMC Europe 2020, Sep 2020, Rome, Italy. 6 pp
- Accès au texte intégral et bibtex
-
- titre
- A Test Bench for Measuring the Sensitivity Threshold of FM Receivers in the Presence of Interference Through Direct Injection of the Radio Signal
- auteur
- Abdivall Maouloud, Marco Klingler, Philippe Besnier
- article
- 2020 International Symposium on Electromagnetic Compatibility - EMC EUROPE, Sep 2020, Rome (virtual), Italy. pp.1-6, ⟨10.1109/EMCEUROPE48519.2020.9245696⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic wavelets in lossy and near-lossless image compression
- auteur
- V.O. Makarichev, V.V. Lukin, I.V. Brysina, B. Vozel, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXVI 2020, Sep 2020, Edinburgh, United Kingdom. pp.1153313, ⟨10.1117/12.2573970⟩
- Accès au bibtex
-
- titre
- Plasmon Resonance of Graphene Strip Placed on Dielectric Rod in the Microwave Range
- auteur
- S.V. Dukhopelnykov, R. Sauleau
- article
- 2020 IEEE Ukrainian Microwave Week, UkrMW 2020, Sep 2020, Kharkiv, Ukraine. pp.538-541, ⟨10.1109/UkrMW49653.2020.9252664⟩
- Accès au bibtex
-
- titre
- Comparison of learning-based and maximum-likelihood estimators of image noise variance for real-life and synthetic anisotropic textures
- auteur
- M. Uss, B. Vozel, V. Lukin, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXVI 2020, Sep 2020, Edinburgh, United Kingdom. pp.1153303, ⟨10.1117/12.2573934⟩
- Accès au bibtex
-
- titre
- ModeNet: Mode Selection Network For Learned Video Coding
- auteur
- Théo Ladune, Pierrick Philippe, Wassim Hamidouche, Lu Zhang, Olivier Déforges
- article
- Machine Learning for Signal Processing (MLSP) 2020, Sep 2020, Espoo, Finland
- Accès au texte intégral et bibtex
-
- titre
- Natural Scene Statistics for Detecting Adversarial Examples in Deep Neural Networks
- auteur
- Anouar Kherchouche, Sid Ahmed Fezza, Wassim Hamidouche, Olivier Déforges
- article
- 2020 IEEE 22nd International Workshop on Multimedia Signal Processing (MMSP), Sep 2020, Tampere, France. pp.1-6, ⟨10.1109/MMSP48831.2020.9287056⟩
- Accès au bibtex
-
- titre
- NoiseBreaker: Gradual Image Denoising Guided by Noise Analysis
- auteur
- Florian Lemarchand, Thomas Findeli, Erwan Nogues, Maxime Pelcat
- article
- IEEE Workshop on Multimedia Signal Processing 2020 (MMSP2020), Sep 2020, Tampere (virtual ), Finland
- Accès au texte intégral et bibtex
-
- titre
- Automation of Processing Multichannel Remote Sensing Images Based on Performance Prediction
- auteur
- V. Lukin, S. Abramov, M. Uss, O. Rubel, N. Kussul, B. Vozel, K. Egiazarian
- article
- 2020 IEEE Ukrainian Microwave Week, UkrMW 2020, Sep 2020, Kharkiv, Ukraine. pp.139-144, ⟨10.1109/UkrMW49653.2020.9252654⟩
- Accès au bibtex
-
- titre
- Optical Flow and Mode Selection for Learning-based Video Coding
- auteur
- Théo Ladune, Pierrick Philippe, Wassim Hamidouche, Lu Zhang, Olivier Déforges
- article
- MMSP 2020, IEEE 22nd International Workshop on Multimedia Signal Processing, Sep 2020, Tampere, Finland
- Accès au texte intégral et bibtex
-
- titre
- Frequency Reconfigurable Multiband Planar Antenna For Multistandard Communications
- auteur
- Moheddine Smari, Saber Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- SoftCOM 2020, Sep 2020, Hvar, Croatia
- Accès au bibtex
-
- titre
- Compact and Frequency-Reconfigurable Printed Antenna for Heterogeneous Wireless Systems
- auteur
- Saber Dakhli, Moheddine Smari, Jean Marie Floc’h, Fethi Choubani
- article
- SoftCOM 2020, Sep 2020, Hvar, Croatia
- Accès au bibtex
-
- titre
- Circular Dipole Array With Omnidirectional and Beamsteering Capabilities for 5G Communications
- auteur
- Ameni Cherif, Saber Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- SoftCOM 2020, Sep 2020, Hvar, Croatia
- Accès au bibtex
-
- titre
- Stator Flux Finite-time Observer for Non-Salient Permanent Magnet Synchronous Motors
- auteur
- Alexey Bobtsov, Anton Pyrkin, Stanislav Aranovskiy, Nikolay Nikolaev, Olga Slita, Olga Kozachek
- article
- 2020 28th Mediterranean Conference on Control and Automation (MED), Sep 2020, Saint-Raphaël, France. pp.958-963, ⟨10.1109/MED48518.2020.9183036⟩
- Accès au bibtex
-
- titre
- Experimental comparison of velocity estimators for a control moment gyroscope inverted pendulum
- auteur
- Dmitry Sokolov, Stanislav Aranovskiy, Alexander A Gusev, Igor Ryadchikov
- article
- AMC 2020 - 16th IEEE International Workshop on Advanced Motion Control, Sep 2020, Kristiansand / Virtual, Norway
- Accès au texte intégral et bibtex
-
- titre
- Dielectric characterization of Borofloat 33 and some classic glasses by the coaxial cable method
- auteur
- Abdoulaye Sissoko, Anne Chousseaud, Tchanguiz Razban, Badié Diourte
- article
- International IOT, Electronics and Mechatronics Conference (online conference), Sep 2020, Vancouver, Canada. pp.#1570669201, ⟨10.1109/IEMTRONICS51293.2020.9216429⟩
- Accès au bibtex
-
- titre
- Obsolescence in EMC Risk Assessment: A Case Study on EFT Immunity of Microcontrollers
- auteur
- Qazi Mashaal Khan, Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- International Symposium on Electromagnetic Compatibility (EMC Europe 2020), Sep 2020, Rome (virtual conference), Italy. ⟨10.1109/EMCEUROPE48519.2020.9245783⟩
- Accès au texte intégral et bibtex
-
- titre
- Reduction of Radiated Far-Field Emission and Susceptibility Using a Suspended Metal Loop
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- International Symposium on Electromagnetic Compatibility (EMC Europe 2020), Sep 2020, Rome, Italy
- Accès au bibtex
-
- titre
- Modular Multilevel Converter with Distributed Galvanic Insulation: A Decentralized Voltage Balancing Algorithm with Smart Gate Drivers
- auteur
- Corentin Darbas, Nicolas Ginot, Jean-Christophe Olivier, Frédéric Poitiers
- article
- European Conference on Power Electronics and Applications, Sep 2020, Lyon, France. paper ID 0337
- Accès au bibtex
-
- titre
- Crystal-polarity engineered gallium phosphide microdisks grown on silicon
- auteur
- Rasool Saleem-Urothodi, Julie Le Pouliquen, Tony Rohel, Rozenn Bernard, Christelle Pareige, Alejandro Lorenzo-Ruiz, Alexandre Beck, Antoine Letoublon, Olivier de Sagazan, C. Cornet, Yannick Dumeige, Yoan Léger
- article
- IOP conference- PHOTON2020, Sep 2020, virtual, United Kingdom
- Accès au bibtex
-
- titre
- Cluster Extrapolation for FDD Downlink MIMO Precoding
- auteur
- Matthieu Roy, Stephane Paquelet, Matthieu Crussière
- article
- WiMob 2020, Aug 2020, Londres, France
- Accès au texte intégral et bibtex
-
- titre
- Dual-Polarized Generalized Spatial Modulation for Wireless Terabit System
- auteur
- Nizar Bouhlel, Majed Saad, Faouzi Bader, Jacques Palicot
- article
- 31st IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC'2020), Aug 2020, London, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Vital Signs Monitoring for Different Chest Orientations Using an FMCW Radar
- auteur
- Giulia Sacco, E. Piuzzi, E. Pittella, S. Pisa
- article
- 2020 XXXIIIrd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2020, Rome, France. pp.1-4, ⟨10.23919/URSIGASS49373.2020.9232333⟩
- Accès au bibtex
-
- titre
- Preamble Design for Data-Aided Synchronization of Single Side Band Continuous Phase Modulation
- auteur
- K. Kassan, Haïfa Farès, D. Christian Glattli, Yves Louët
- article
- 33rd General Assembly and Scientific Symposium of the International Union of Radio Science, URSI GASS 2020, Aug 2020, Rome, Italy. pp.9232344, ⟨10.23919/URSIGASS49373.2020.9232344⟩
- Accès au bibtex
-
- titre
- A Wideband Series Fed Patch Array with Side Lobe Level Control
- auteur
- Giulia Sacco, P. d'Atanasio, S. Pisa
- article
- 2020 XXXIIIrd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2020, Rome, France. pp.1-4, ⟨10.23919/URSIGASS49373.2020.9232441⟩
- Accès au bibtex
-
- titre
- Towards More Energy Efficient MAC protocols for LoRaWAN Networks
- auteur
- Pape Abdoulaye Fam, Ibrahima Faye
- article
- 2020 XXXIIIrd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2020, Rome, Italy. pp.1-4, ⟨10.23919/URSIGASS49373.2020.9232446⟩
- Accès au bibtex
-
- titre
- DNNZip: Selective Layers Compression Technique in Deep Neural Network Accelerators
- auteur
- Habiba Lahdhiri, Maurizio Palesi, Salvatore Monteleone, Davide Patti, Giuseppe Ascia, Jordane Lorandel, Emmanuelle Bourdel, Vincenzo Catania
- article
- Euromicro Conference on Digital System Design DSD, Aug 2020, Portorož, Slovenia
- Accès au texte intégral et bibtex
-
- titre
- Stochastic modelled grid outage effect on home Energy Management
- auteur
- Jesse-James Prince Agbodjan, Pierre Haessig, Romain Bourdais, Hervé Guéguen
- article
- 2020 IEEE Conference on Control Technology and Applications (CCTA), Aug 2020, Montreal, Canada. pp.1080-1085, ⟨10.1109/CCTA41146.2020.9206157⟩
- Accès au bibtex
-
- titre
- Electric vehicle charging strategies including load demand response to address utility grid constraints: a real implementation
- auteur
- David Roszczypala, Christophe Batard, Frédéric Poitiers, Nicolas Ginot
- article
- International Conference on Electrical Engineering and Electronics (EEE’20), Aug 2020, Prague (virtually), Czech Republic
- Accès au bibtex
-
- titre
- Review of Recent Deep Learning Based Methods for Image-Text Retrieval
- auteur
- Jianan Chen, Lu Zhang, Cong Bai, Kidiyo Kpalma
- article
- IEEE 3rd International Conference on Multimedia Information Processing and Retrieval, Aug 2020, Shenzhen, China. ⟨10.1109/MIPR49039.2020.00042⟩
- Accès au texte intégral et bibtex
-
- titre
- Key Issues for the Construction of Salient Object Datasets with Large-Scale Annotation
- auteur
- Y. Zhang, Lu Zhang, Wassim Hamidouche, O. Déforges
- article
- 3rd International Conference on Multimedia Information Processing and Retrieval, MIPR 2020, Aug 2020, Shenzhen, China. pp.117-122, ⟨10.1109/MIPR49039.2020.00031⟩
- Accès au bibtex
-
- titre
- Investigation on icp-cvd as a polyvalent low cost technology dedicated to low temperature μ-si tft prototyping.
- auteur
- O. de Sagazan, A. Uvarov, E. Bestelink, R A Sporea, Emmanuel Jacques
- article
- 57th SID International Symposium, Seminar and Exhibition, Display Week, 2020, Aug 2020, San Jose, United States. pp.1538-1541, ⟨10.1002/sdtp.14182⟩
- Accès au bibtex
-
- titre
- The multimodal thin-film transistor (Mmt): A versatile low-power and high-gain device with inherent linear response
- auteur
- E. Bestelink, O. de Sagazan, M. Bateson, R A Sporea
- article
- 57th SID International Symposium, Seminar and Exhibition, Display Week, 2020, Aug 2020, San Jose, United States. pp.444-447, ⟨10.1002/sdtp.13900⟩
- Accès au bibtex
-
- titre
- Ultra-compact multi-level digital-to-analog converter based on linear multimodal thin-film transistors
- auteur
- E. Bestelink, O. de Sagazan, R A Sporea
- article
- 57th SID International Symposium, Seminar and Exhibition, Display Week, 2020, Aug 2020, San Jose, United States. pp.1375-1378, ⟨10.1002/sdtp.14141⟩
- Accès au bibtex
-
- titre
- Fall Detector Adapted to Nursing Home Needs through an Optical-Flow based CNN
- auteur
- Alexy Carlier, Paul Peyramaure, Ketty Favre, Muriel Pressigout
- article
- 42nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society - EMBC2020, Jul 2020, Montreal, Canada
- Accès au texte intégral et bibtex
-
- titre
- Detection of Adversarial Examples in Deep Neural Networks with Natural Scene Statistics
- auteur
- A. Kherchouche, S.A. Fezza, Wassim Hamidouche, O. Déforges
- article
- 2020 International Joint Conference on Neural Networks, IJCNN 2020, Jul 2020, Glasgow, United Kingdom. pp.9206959, ⟨10.1109/IJCNN48605.2020.9206959⟩
- Accès au bibtex
-
- titre
- Reconfigurable active transparent antennas
- auteur
- Mohamed Himdi, Xavier Castel
- article
- Distinguished Lecture Series, Faculty of Engineering UTM, Jul 2020, Johor Bahru, Malaysia
- Accès au bibtex
-
- titre
- Stator flux and load torque observers for PMSM
- auteur
- Alexey Bobtsov, Anton Pyrkin, Stanislav Aranovskiy, Nikolay Nikolaev, Olga Slita, Olga Kozachek, Dat Vo Quoc
- article
- IFAC World Congress, Jul 2020, Berlin, Germany. pp.5051-5056, ⟨10.1016/j.ifacol.2020.12.1111⟩
- Accès au bibtex
-
- titre
- On the Performance Evaluation of LoRaWAN with Re-transmissions under Jamming
- auteur
- I. Martinez, F. Nouvel, S. Lahoud, Tanguy Philippe, M.E. Helou
- article
- 2020 IEEE Symposium on Computers and Communications, ISCC 2020, Jul 2020, Rennes, France. pp.9219644, ⟨10.1109/ISCC50000.2020.9219644⟩
- Accès au bibtex
-
- titre
- SVM Assisted Primary User-Detection for Non-Cooperative Cognitive Radio Networks
- auteur
- Kais Bouallegue, Matthieu Crussière, Sofiane Kharbech
- article
- 2020 IEEE Symposium on Computers and Communications, ISCC 2020, Jul 2020, Rennes, France. pp.9219601, ⟨10.1109/ISCC50000.2020.9219601⟩
- Accès au bibtex
-
- titre
- Audio-Visual Perception of Omnidirectional Video for Virtual Reality Applications
- auteur
- Fang-Yi Chao, Cagri Ozcinar, Chen Wang, Emin Zerman, Lu Zhang, Wassim Hamidouche, Olivier Déforges, Aljosa Smolic
- article
- 2020 IEEE International Conference on Multimedia & Expo Workshops (ICMEW), Jul 2020, London, France. pp.1-6, ⟨10.1109/ICMEW46912.2020.9105956⟩
- Accès au bibtex
-
- titre
- Effect of Video Transcoding Parameters on Visual Object Tracking for Surveillance Systems
- auteur
- Taieb Chachou, Sid Ahmed Fezza, Ghalem Belalem, Wassim Hamidouche
- article
- 2020 IEEE International Conference on Multimedia & Expo Workshops (ICMEW), Jul 2020, London, France. pp.1-6, ⟨10.1109/ICMEW46912.2020.9105951⟩
- Accès au bibtex
-
- titre
- LIGHT FIELD IMAGE CODING USING DUAL DISCRIMINATOR GENERATIVE ADVERSARIAL NETWORK AND VVC TEMPORAL SCALABILITY
- auteur
- Nader Bakir, Wassim Hamidouche, Sid Ahmed Fezza, Khouloud Samrouth, Olivier Déforges
- article
- IEEE International Conference on Multimedia & Expo (ICME), Jul 2020, Londre, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- A Fast Heuristic to Pipeline SDF Graphs
- auteur
- Alexandre Honorat, Karol Desnos, Mickaël Dardaillon, Jean-François Nezan
- article
- Embedded Computer Systems: Architectures, Modeling, and Simulation, Jul 2020, Pythagorion, Samos Island, Greece. pp.139-151, ⟨10.1007/978-3-030-60939-9_10⟩
- Accès au texte intégral et bibtex
-
- titre
- Diffuse Field Cross-Correlation in a Reverberation Chamber
- auteur
- Meriem Tamart, Francois Sarrazin, Elodie Richalot, Matthieu Davy, Julien de Rosny
- article
- 2020 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jul 2020, Montréal, Canada. ⟨10.1109/IEEECONF35879.2020.9329710⟩
- Accès au texte intégral et bibtex
-
- titre
- A Flat and Thin Wideband Antenna with Band-Notch and Monopole-like Radiation Characteristics
- auteur
- B. Mohamadzade, R. M. Hashmi, S. M. Abbas, R. B. V. B. Simorangkir
- article
- IEEE International Symposium on Antennas and Propagation / North American Radio Science Meeting, Jul 2020, Montreal, Canada. ⟨10.1109/IEEECONF35879.2020.9330180⟩
- Accès au bibtex
-
- titre
- Design of a 3-Bit Transmitarray Antenna at 300 GHz using Asymmetric Linear Polarizers
- auteur
- Orestis Koutsos, Francesco Foglia Manzillo, Antonio Clemente, Ronan Sauleau
- article
- IEEE International Symposium on Antennas and Propagation / North American Radio Science Meeting, Jul 2020, Montreal, Canada. ⟨10.1109/IEEECONF35879.2020.9330125⟩
- Accès au bibtex
-
- titre
- A semantics-guided warping for semi-supervised video object instance segmentation
- auteur
- Q.F. Wang, Lu Zhang, K. Kpalma
- article
- 17th International Conference on Image Analysis and Recognition, ICIAR 2020, Jun 2020, Varzim, Portugal. pp.186-195, ⟨10.1007/978-3-030-50347-5_17⟩
- Accès au texte intégral et bibtex
-
- titre
- FINMINA A French National Project Dedicated to Educational Innovation in Microelectronics to Meet the Challenges of a Digital Society
- auteur
- Olivier Bonnaud
- article
- 7th International KES Conference on Smart Education and e-Learning, KES SEEL 2020, Jun 2020, Split, Croatia. pp.31-44, ⟨10.1007/978-981-15-5584-8_3⟩
- Accès au bibtex
-
- titre
- Implementation of dynamic programming algorithms for electric vehicle smartcharging in a real parking lot with supervision
- auteur
- David Roszczypala, Christophe Batard, Frédéric Poitiers, Nicolas Ginot
- article
- IEEE International Symposium on Industrial Electronics, Jun 2020, Delft (virtually), Netherlands
- Accès au bibtex
-
- titre
- Design of Frequency-Reconfigurable Triband Dipole Antenna Using Capacitive Loading
- auteur
- Saber Dakhli, M. Smari, Jean Marie Floc'H, F. Choubani
- article
- 16th IEEE International Wireless Communications and Mobile Computing Conference, IWCMC 2020, Jun 2020, Limassol, Cyprus. pp.1342-1346, ⟨10.1109/IWCMC48107.2020.9148133⟩
- Accès au bibtex
-
- titre
- NTIRE 2020 challenge on image demoireing: Methods and results
- auteur
- S. Yuan, R. Timofte, A. Leonardis, G. Slabaugh, X. Luo, J. Zhang, Y. Qu, M. Hong, Y. Xie, D. Xu, Y. Chu, Q. Sun, S. Liu, Z. Zong, N. Nan, C. Li, S. Kim, H. Nam, J. Kim, J. Jeong, M. Cheon, S.-J. Yoon, B. Kang, J. Lee, B. Zheng, X. Liu, L. Dai, J. Chen, X. Cheng, Z. Fu, J. Yang, C. Lee, A.G. Vien, H. Park, S. Nathan, M.P. Beham, S. Mohamed Mansoor Roomi, Florian Lemarchand, Maxime Pelcat, E. Nogues, D. Puthussery, P.S. Hrishikesh, C.V. Jiji, A. Sinha, X. Zhao
- article
- 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, CVPRW 2020, Jun 2020, Seattle, United States. pp.1882-1893, ⟨10.1109/CVPRW50498.2020.00238⟩
- Accès au bibtex
-
- titre
- Scheduling of Synchronous Dataflow Graphs with Partially Periodic Real-Time Constraints
- auteur
- Alexandre Honorat, Karol Desnos, Shuvra S Bhattacharyya, Jean-François Nezan
- article
- Real-Time Networks and Systems, Jun 2020, Paris, France. ⟨10.1145/3394810.3394820⟩
- Accès au texte intégral et bibtex
-
- titre
- MIMO Techniques for Wireless Terabits Systems under sub-THz Channel with RF Impairments
- auteur
- Majed Saad, Ali Chamas Al Ghouwayel, Hussein Hijazi, Faouzi Bader, Jacques Palicot
- article
- IEEE International Conference on Communications -ICC, Jun 2020, Dublin, Ireland
- Accès au texte intégral et bibtex
-
- titre
- Simultaneous Localization and Mapping in Millimeter Wave Networks with Angle Measurements
- auteur
- Remun Koirala, Benoit Denis, Bernard Uguen, Davide Dardari, Henk Wymeersch
- article
- 2020 IEEE International Conference on Communications Workshops (ICC Workshops), Jun 2020, Dublin, Ireland. pp.1-6, ⟨10.1109/ICCWorkshops49005.2020.9145046⟩
- Accès au texte intégral et bibtex
-
- titre
- On the saddlepoint approximation of the dependence testing bound in memoryless channels
- auteur
- Dadja Anade, Jean-Marie Gorce, Philippe Mary, Samir Perlaza
- article
- IEEE International Conference on Communications, Jun 2020, Dublin, Ireland. pp.1-5
- Accès au texte intégral et bibtex
-
- titre
- Minimizing electromagnetic exposure for wireless mm-size neural implants
- auteur
- Denys Nikolayev, Anja Skrivervik, Wout Joseph, Luc Martens, Ronan Sauleau, Maxim Zhadobov
- article
- BioEM 2020, Jun 2020, Oxford, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- A Tractable Model for Coverage in Non-full Interference Cellular Networks with Cell Center/Edge Users
- auteur
- Mohammadreza Mardani, Philippe Mary, Jean-Yves Baudais
- article
- IEEE SPAWC 2020, May 2020, Atlanta, Georgia, United States
- Accès au texte intégral et bibtex
-
- titre
- On Providing the Theoretical EVM Limit for Tone Reservation PAPR Reduction Technique
- auteur
- M. Elhassan, Matthieu Crussière, J.-F. Hélard, Y. Nasser, O. Bazzi
- article
- 21st IEEE International Workshop on Signal Processing Advances in Wireless Communications, SPAWC 2020, May 2020, Atlanta, United States. pp.9154249, ⟨10.1109/SPAWC48557.2020.9154249⟩
- Accès au bibtex
-
- titre
- Analysis and Design of X-Band LNA Using Parallel Technique
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Tchanguiz Razban, Yann Mahé
- article
- Iranian Conference on Electrical Engineering, May 2020, Tabriz, Iran. Paper icee28-01800071
- Accès au bibtex
-
- titre
- A Tractable Coverage Analysis in Dynamic Downlink Cellular Networks
- auteur
- Qiong Liu, Jean-Yves Baudais, Philippe Mary
- article
- IEEE SPAWC 2020, May 2020, Atlanta, Georgia, United States
- Accès au texte intégral et bibtex
-
- titre
- Power allocation for BER minimization in an uplink MUSA scenario
- auteur
- Wissal Ben Ameur, Philippe Mary, Marion Dumay, Jean-François Helard, Jean Schwoerer
- article
- 91st IEEE Vehicular Technology Conference, VTC Spring 2020, May 2020, Antwerp, Belgium. pp.9129616, ⟨10.1109/VTC2020-Spring48590.2020.9129616⟩
- Accès au bibtex
-
- titre
- Computed Hrirs and Ears Database for Acoustic Research
- auteur
- Slim Ghorbal, Renaud Seguier
- article
- AES 148th Convention, May 2020, Vienne, Austria
- Accès au bibtex
-
- titre
- Influence of Access Point Location on Dynamic Indoor Radio Channel at 60 GHz
- auteur
- Marwan El Hajj, Gheorghe I. Zaharia, Ghaïs El Zein, H. Farhat, Sawsan Sadek
- article
- 91st IEEE Vehicular Technology Conference, VTC Spring 2020, May 2020, Antwerp, Belgium. pp.9128473, ⟨10.1109/VTC2020-Spring48590.2020.9128473⟩
- Accès au bibtex
-
- titre
- On the importance of impedance for perceptual relevance of HRTF
- auteur
- Slim Ghorbal, Renaud Seguier
- article
- AES 148th Convention, May 2020, Vienne, Austria
- Accès au bibtex
-
- titre
- Digital Beamforming with PAPR Reduction An Approach for Energy Efficient Massive MIMO
- auteur
- Ca Schmidt, Matthieu Crussière, J.F. Hélard
- article
- 91st IEEE Vehicular Technology Conference, VTC Spring 2020, May 2020, Antwerp, Belgium. pp.9128621, ⟨10.1109/VTC2020-Spring48590.2020.9128621⟩
- Accès au bibtex
-
- titre
- A Pre-processing Algorithm Utilizing a Paired CRLB for TDoA Based IoT Positioning
- auteur
- Ahmed Abdel Ghany, Bernard Uguen, Dominique Lemur
- article
- 2020 IEEE 91st Vehicular Technology Conference (VTC2020-Spring), May 2020, Antwerp, Belgium. pp.9128385, ⟨10.1109/VTC2020-Spring48590.2020.9128385⟩
- Accès au texte intégral et bibtex
-
- titre
- Plataformas Micro-Fotonicas Monitoreando Transiciones de Fase en Sistemas Biologicosy Alimentarios
- auteur
- Rigoberto Castro -Beltran, Lucas Garnier, Arnaud Saint-Jalmes, Hervé Lhermite, Anne-Laure Fameau, V. Vié, Eric Gicquel, Hervé Cormerais, Bruno Bêche
- article
- XVII encuentro Participacion de la Mujer en la Cienca, May 2020, Guanajuato, México. pp.1-7
- Accès au texte intégral et bibtex
-
- titre
- Extending 2D Saliency Models for Head Movement Prediction in 360-degree Images using CNN-based Fusion
- auteur
- Ibrahim Djemai, Sid Ahmed Fezza, Wassim Hamidouche, Olivier Deforges
- article
- IEEE International Symposium on Circuits and Systems (ISCAS), May 2020, Seville, Spain
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Parameter Variability in Integrated Devices by Partial Least Squares Regression
- auteur
- M. Larbi, R. Trinchero, F.G. Canavero, Philippe Besnier, M. Swaminathan
- article
- 24th IEEE Workshop On Signal and Power Integrity, SPI 2020, May 2020, Cologne, Germany. pp.9218175, ⟨10.1109/SPI48784.2020.9218175⟩
- Accès au bibtex
-
- titre
- On fixed-time parameter estimation under interval excitation
- auteur
- Denis Efimov, Stanislav Aranovskiy, Alexey Bobtsov, Tarek Raïssi
- article
- ECC 2020 - 18th European Control Conference, May 2020, Saint-Petersburg, Russia
- Accès au texte intégral et bibtex
-
- titre
- On parameter tuning and convergence properties of the DREM procedure
- auteur
- Marina Korotina, Stanislav Aranovskiy, Rosane Ushirobira, Alexey Vedyakov
- article
- ECC 2020 - 18th European Control Conference, May 2020, Saint Petersburg, Russia. ⟨10.23919/ECC51009.2020.9143808⟩
- Accès au texte intégral et bibtex
-
- titre
- Generalized Spatial Modulation for Wireless Terabits Systems under sub-THz Channel with RF Impairments
- auteur
- Majed Saad, Faouzi Bader, Ali Al Ghouwayel, Hussein Hijazi, Nizar Bouhel, Jacques Palicot
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2020, Barcelona, Spain. ⟨10.1109/ICASSP40776.2020.9053208⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved Nearest Neighbor Density-Based Clustering Techniques with Application to Hyperspectral Images
- auteur
- Claude Cariou, Kacem Chehdi, Steven Le Moan
- article
- ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2020, Barcelona, Spain. pp.4127-4131, ⟨10.1109/ICASSP40776.2020.9053489⟩
- Accès au bibtex
-
- titre
- LIGHTWEIGHT HARDWARE IMPLEMENTATION OF VVC TRANSFORM BLOCK FOR ASIC DECODER
- auteur
- I. Farhat, W. Hamidouche, A Grill, Daniel Menard, O. Deforges
- article
- International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2020, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- OPENDENOISING: AN EXTENSIBLE BENCHMARK FOR BUILDING COMPARATIVE STUDIES OF IMAGE DENOISERS
- auteur
- Florian Lemarchand, Eduardo Fernandes Montesuma, Maxime Pelcat, Erwan Nogues
- article
- ICASSP 2020-IEEE International Conference on Acoustics, Speech, and Signal Processing, May 2020, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- ELECTRO-MAGNETIC SIDE-CHANNEL ATTACK THROUGH LEARNED DENOISING AND CLASSIFICATION
- auteur
- Florian Lemarchand, Cyril Marlin, Florent Montreuil, Erwan Nogues, Maxime Pelcat
- article
- ICASSP 2020-IEEE International Conference on Acoustics, Speech, and Signal Processing, May 2020, Barcelona, Spain. ⟨10.1109/ICASSP40776.2020.9053913⟩
- Accès au texte intégral et bibtex
-
- titre
- Binary Probability Model for Learning Based Image Compression
- auteur
- Théo Ladune, Pierrick Philippe, Wassim Hamidouche, Lu Zhang, Olivier Deforges
- article
- ICASSP (International Conference on Acoustics, Speech, and Signal Processing) 2020, IEEE, May 2020, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- VERSATILE VIDEO CODING AND SUPER-RESOLUTION FOR EFFICIENT DELIVERY OF 8K VIDEO WITH 4K BACKWARD-COMPATIBILITY
- auteur
- Charles Bonnineau, Wassim Hamidouche, Jean-Francois Travers, Olivier Deforges
- article
- International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2020, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- MANet: Multi-scale aggregated network for light field depth estimation
- auteur
- Yan Li, Lu Zhang, Qiong Wang, Gauthier Lafruit
- article
- ICASSP, May 2020, Barcelona, Spain
- Accès au bibtex
-
- titre
- A Recurrent Variational Autoencoder for Speech Enhancement
- auteur
- Simon Leglaive, Xavier Alameda-Pineda, Laurent Girin, Radu Horaud
- article
- ICASSP 2020 - IEEE International Conference on Acoustics, Speech and Signal Processing, IEEE, May 2020, Barcelone (virtual), Spain. pp.371-375, ⟨10.1109/ICASSP40776.2020.9053164⟩
- Accès au texte intégral et bibtex
-
- titre
- FOURTH ORDER CUMULANT BASED ACTIVE DIRECTION OF ARRIVAL ESTIMATION USING COPRIME ARRAYS
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- International Conference on Acoustics, Speech, and Signal Processing (ICASSP), IEEE, May 2020, Barcelona, Spain. pp.4547-4551
- Accès au bibtex
-
- titre
- Dataset Augmentation and Dimensionality Reduction of Pinna-Related Transfer Functions
- auteur
- Corentin Guezenoc, Renaud Seguier
- article
- Audio Engineering Society Convention, May 2020, Vienna, Austria. ⟨10.17743/aesconv.2020.978-1-942220-32-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Prediction of Visual Quality Metrics in Lossy Image Compression
- auteur
- S. S. Krivenko, F Li, V. V. Lukin, Benoit Vozel, O. Krylova
- article
- 40th IEEE International Conference on Electronics and Nanotechnology, ELNANO 2020, Apr 2020, Kyiv, Ukraine. pp.478-483, ⟨10.1109/ELNANO50318.2020.9088819⟩
- Accès au bibtex
-
- titre
- Backward Scattering from a Circular Dielectric Rod with a Conformal Strip of Graphene
- auteur
- S.V. Dukhopelnykov, Ronan Sauleau
- article
- 40th IEEE International Conference on Electronics and Nanotechnology, ELNANO 2020, Apr 2020, Kyiv, Ukraine. pp.67-70, ⟨10.1109/ELNANO50318.2020.9088912⟩
- Accès au bibtex
-
- titre
- Development of electronic nano-sensors for the specific in situ detection of Escherichia coli
- auteur
- Y. Benserhir, Anne-Claire Salaün, S. Dutertre, Olivier Loréal, F. Geneste, Laurent Pichon, A. Jolivet-Gougeon
- article
- European Congress of Clinical Microbiology & Infectious Diseases, Apr 2020, Paris, France
- Accès au bibtex
-
- titre
- A Comparative Study of Sequence Identification Algorithms in IoT Context
- auteur
- Pierre-Samuel Greau-Hamard, Moïse Djoko-Kouam, Yves Louët
- article
- 2nd International Conference on Advances in Signal Processing and Artificial Intelligence (ASPAI' 2020), Apr 2020, Berlin, Germany. pp.137-143
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation de thermoplastiques additivés activables par laser pour applications micro-ondes
- auteur
- Gildas Bengloan, Anne Chousseaud, Bruno Froppier, Heba El-Shaarawy, Eduardo Motta Cruz
- article
- Journées de Caractérisation et Microondes et Matériaux, Mar 2020, Toulouse, France. pp.jcmm2020:303713
- Accès au bibtex
-
- titre
- Modèle linéaire de propagation de perturbation de l’impédance de surface sur le champ électromagnétique diffracté
- auteur
- Simon Loillier, Stéphane Méric, Renaud Loison, Geneviève Mazé-Merceur
- article
- Journées de Caractérisation Microondes et Matériaux 2020, Mar 2020, Toulouse, France
- Accès au bibtex
-
- titre
- Comparison of Antenna Radiation Efficiency Measurement Techniques in Reverberation Chamber Using or Not a Reference Antenna
- auteur
- Wafa Krouka, Francois Sarrazin, Jérôme Sol, Philippe Besnier, Elodie Richalot
- article
- European Conference on Antennas and Propagation (EuCAP), Mar 2020, Copenhagen, Denmark. ⟨10.23919/EuCAP48036.2020.9135507⟩
- Accès au texte intégral et bibtex
-
- titre
- Radar Cross Section Measurement within Reverberation Chamber: Stirrer position issues
- auteur
- Ariston Reis, Francois Sarrazin, Philippe Pouliguen, Jérôme Sol, Philippe Besnier, Elodie Richalot
- article
- European Conference on Antennas and Propagation, Mar 2020, Copenhagen, Denmark. ⟨10.23919/EuCAP48036.2020.9135531⟩
- Accès au texte intégral et bibtex
-
- titre
- Three-Dimensional Frequency Selective Surface for Single-Polarized Filtering Applications with Angular Stability
- auteur
- P. Le Bihan, M. García-Vigueras, Erwan Fourn, R. Gillard, Isabelle Le Roy Naneix, Stefan Varault, C. Renard
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135366, ⟨10.23919/EuCAP48036.2020.9135366⟩
- Accès au bibtex
-
- titre
- Parallel-Plate Waveguide Lens for Mechanical Beam Scanning Using Gap Waveguide Feed System
- auteur
- T. Strober, S Tubau, Hervé Legay, E. Girard, G. Goussetis, Mauro Ettorre
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135399, ⟨10.23919/EuCAP48036.2020.9135399⟩
- Accès au bibtex
-
- titre
- Transmit-Arrays at Ka-band for Harsh Environment
- auteur
- K.T. Pham, Ronan Sauleau, Erwan Fourn, A. Clemente
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135615, ⟨10.23919/EuCAP48036.2020.9135615⟩
- Accès au bibtex
-
- titre
- Analytic Design of Dual-Band, Dual-Polarized LP-to-CP Polarization Converters
- auteur
- Michele del Mastro, Mauro Ettorre, Anthony Grbic
- article
- 14th European Conference on Antennas and Propagation (EuCAP), Mar 2020, Copenhagen, Denmark. ⟨10.23919/EuCAP48036.2020.9135304⟩
- Accès au bibtex
-
- titre
- Towards a Si/GaAs Based Flat-Panel Quasi-Optical Metasurface Antenna with Switchable Beam Characteristics
- auteur
- Okan Yurduseven, W.C. Lee, D. Gonzalez-Ovejero, Mauro Ettorre, Ronan Sauleau, V. Fusco, G. Chattopadhyay, Nacer Chahat
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135231, ⟨10.23919/EuCAP48036.2020.9135231⟩
- Accès au texte intégral et bibtex
-
- titre
- A Compact and Broadband Four-Way Dual Polarization Waveguide Power Divider for Antenna Arrays
- auteur
- C Stoumpos, J.-P. Fraysse, S Tubau, G. Goussetis, Ronan Sauleau, Hervé Legay
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135912, ⟨10.23919/EuCAP48036.2020.9135912⟩
- Accès au bibtex
-
- titre
- Dual-Band Beams Generation with Metasurface based on the EFIE
- auteur
- M. Bodehou, D. Gonzalez-Ovejero, C. Craeye, I. Huynen
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen (on line), Denmark. pp.9135966, ⟨10.23919/EuCAP48036.2020.9135966⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-Wide Band Non-Dispersive Leaky-Wave Antenna Based on Glide-Symmetric Meandered Transmission Lines
- auteur
- M Ebrahimpouri, Oscar Quevedo-Teruel, Mauro Ettorre, A. Grbic
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135678, ⟨10.23919/EuCAP48036.2020.9135678⟩
- Accès au bibtex
-
- titre
- P-i-n Diode Based Electronically Steerable Transmitarrays for SOTM at Ka-Band
- auteur
- F. Foglia Manzillo, Maciej Smierzchalski, A. Clemente, Ronan Sauleau
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135693, ⟨10.23919/EuCAP48036.2020.9135693⟩
- Accès au bibtex
-
- titre
- Design Concerns for In-body Antennas Based on Frequency Analysis of Fundamental Radiation Limitations
- auteur
- Zvonimir Sipus, Marko Bosiljevac, Denys Nikolayev, Anja Skrivervik
- article
- 2020 14th European Conference on Antennas and Propagation (EuCAP), Mar 2020, Copenhagen, France. pp.1-5, ⟨10.23919/EuCAP48036.2020.9135679⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint Antenna-Channel Modelling for in-to-out-Body Propagation of Dairy Cows at 868 MHz
- auteur
- S. Benaissa, L. Verloock, Denys Nikolayev, M. Deruyck, G. Vermeeren, L. Martens, F.A.M. Tuyttens, B. Sonck, D. Plets, W. Joseph
- article
- 2020 14th European Conference on Antennas and Propagation (EuCAP), Mar 2020, Copenhagen, France. pp.1-4, ⟨10.23919/EuCAP48036.2020.9135635⟩
- Accès au texte intégral et bibtex
-
- titre
- A Technique for Including Edge Diffraction Effects on RCS Evaluation at Fresnel Region Ranges
- auteur
- I.V. Mihai, R. Tamas, Ala Sharaiha
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135205, ⟨10.23919/EuCAP48036.2020.9135205⟩
- Accès au bibtex
-
- titre
- Optimal Frequency of Operation and Radiation Efficiency Limitations of Implantable Antennas
- auteur
- D Nikolayev, Z. Sipus, M. Bosiljevac, W.A. Joseph, M. Zhadobov, Ronan Sauleau, Luc Martens, A.K. Skrivervik
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9136006, ⟨10.23919/EuCAP48036.2020.9136006⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-Optical Excitation of a Circularly-Polarized Metasurface Antenna at K-band
- auteur
- Jorge Ruiz-Garcia, M. Faenzi, A. Mahmoud, Mauro Ettorre, Patrick Potier, Philippe Pouliguen, Ronan Sauleau, D. Gonzalez-Ovejero
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135303, ⟨10.23919/EuCAP48036.2020.9135303⟩
- Accès au texte intégral et bibtex
-
- titre
- Measurements of a Dynamic 60 GHz Radio Channel in an Open-Space Office
- auteur
- Marwan El Hajj, Gheorghe I. Zaharia, Ghaïs El Zein, H. Farhal, Sawsan Sadek
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135796, ⟨10.23919/EuCAP48036.2020.9135796⟩
- Accès au bibtex
-
- titre
- Combined Antenna-Channel Characterization for Wireless Communication from Horse Hoof to Base Station
- auteur
- J. Goethals, G. Vermeeren, D Nikolayev, M Deruyck, Luc Martens, W.A. Joseph
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135365, ⟨10.23919/EuCAP48036.2020.9135365⟩
- Accès au texte intégral et bibtex
-
- titre
- Preliminary Co-Design of L and X-band Stacked Arrays with Scanning Capabilities
- auteur
- Brandon Sun, Renaud Loison, Raphaël Gillard, Eric Estebe, Christian Renard
- article
- EuCAP 2020, Mar 2020, Copenhague, Denmark
- Accès au texte intégral et bibtex
-
- titre
- All-Metal Graded Index Gutman Lens Antenna - A More Compact Luneburg Lens
- auteur
- P Bantavis, C.G. Gonzalez, C. Diallo, Ronan Sauleau, G. Goussetis, S Tubau, Hervé Legay
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135859, ⟨10.23919/EuCAP48036.2020.9135859⟩
- Accès au bibtex
-
- titre
- Rapid Analysis of Arbitrary-Shaped Conformal Beam-Scanning Arrays
- auteur
- D Nikolayev, Agnese Mazzinghi, Anja K. Skrivervik
- article
- 14th European Conference on Antennas and Propagation (EuCAP), Mar 2020, Copenhagen, Denmark. ⟨10.23919/EuCAP48036.2020.9135832⟩
- Accès au texte intégral et bibtex
-
- titre
- Beamwidth Control of a Helical Antenna Using Truncated Conical Plasma Reflectors
- auteur
- M. Valipour, F. Sadeghikia, A.K. Horestani, M. Himdi
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135712, ⟨10.23919/EuCAP48036.2020.9135712⟩
- Accès au bibtex
-
- titre
- A Design Methodology for Reconfigurable Reflectarrays with a Deformable Ground
- auteur
- C Benteyn, R. Gillard, Erwan Fourn, G. Goussetis, Hervé Legay, L Datashvili
- article
- 14th European Conference on Antennas and Propagation, EuCAP 2020, Mar 2020, Copenhagen, Denmark. pp.9135257, ⟨10.23919/EuCAP48036.2020.9135257⟩
- Accès au bibtex
-
- titre
- An adaptive Uplink SCMA Scheme Based on Channel State Information
- auteur
- Manel Rebhi, Kais Hassan, Kosai Raoof, Pascal Chargé
- article
- Future Networks (5G and beyond) Workshop, Mar 2020, Paris, France
- Accès au bibtex
-
- titre
- Passive reconstruction of the impulse response between two antennas in a reverberation chamber Reconstruction passive de la reponse impulsionnelle entre deux antennes en chambre réverbérante
- auteur
- Meriem Tamart, Francois Sarrazin, Elodie Richalot, Matthieu Davy, Julien de Rosny
- article
- Journées Scientifiques de l’URSI France, Mar 2020, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Towards Malicious Exploitation of Energy Management Mechanisms
- auteur
- Safouane Noubir, Maria Mendez Real, Sébastien Pillement
- article
- Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France. paper #257
- Accès au bibtex
-
- titre
- Fast Kriging-based Error Evaluation for Approximate Computing Systems
- auteur
- Justine Bonnot, Daniel Menard, Karol Desnos
- article
- Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2020, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- SPEAR: Hardware-based Implicit Rewriting for Square-root Circuit Verification
- auteur
- Atif Yasin, Tiankai Su, Sébastien Pillement, Maciej Ciesielski
- article
- Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France. paper #304
- Accès au bibtex
-
- titre
- DynaLoc: Real-Time Camera Relocalization from a Single RGB Image in Dynamic Scenes based on an Adaptive Regression Forest
- auteur
- Nam-Duong Duong, Amine Kacete, Catherine Soladie, Pierre-Yves Richard, Jérôme Royan
- article
- 15th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, VISIGRAPP 2020, Feb 2020, Valletta, Malta
- Accès au texte intégral et bibtex
-
- titre
- Electronically-steerable transmitarray antennas for SATCOM terminals A system perspective
- auteur
- A. Clemente, F. Foglia Manzillo, Maciej Smierzchalski, Ronan Sauleau
- article
- 2020 International Workshop on Antenna Technology, iWAT 2020, Feb 2020, Bucharest, Romania. pp.9083855, ⟨10.1109/iWAT48004.2020.1570608649⟩
- Accès au bibtex
-
- titre
- A modified physical optics approach for extrapolating fresnel region RCS measurements at high incidence angles
- auteur
- I.V. Mihai, R.D. Tamas, Ala Sharaiha
- article
- 2020 International Workshop on Antenna Technology, iWAT 2020, Feb 2020, Bucharest, Romania. pp.9083835, ⟨10.1109/iWAT48004.2020.1570609771⟩
- Accès au bibtex
-
- titre
- Analyzing Mental Health Diseases in a Spanish Region Using Software Based on Graph Theory Algorithms
- auteur
- S.G. Alonso, A. de Bustos Molina, Sofiane Hamrioui, M.L. Coronado, Mf Martin-Eauclaire, A. Khanna, Isabel de La Torre Díez
- article
- 3rd International Conference on Innovative Computing and Communication, ICICC 2020, Feb 2020, New Delhi, India. pp.701-708, ⟨10.1007/978-981-15-5113-0_57⟩
- Accès au bibtex
-
- titre
- Problématique du coût d’une filière énergétique
- auteur
- Mohammed-Brahim Tayeb
- article
- 2ème édition de la conférence ouest-africaine sur les énergies renouvelables, COA_ER 2020, Feb 2020, Saint-Louis, Senegal
- Accès au bibtex
-
- titre
- How programming models can manage the problem of scaling
- auteur
- Jean-Francois Nezan
- article
- Computing for SKA Colloquium 2020 (C4SKA), Feb 2020, Auckland, New Zealand
- Accès au bibtex
-
- titre
- Towards Probabilistic Timing Analysis for SDFGs on Tile Based Heterogeneous MPSoCs
- auteur
- Ralf Stemmer, Hai-Dang Vu, Kim Grüttner, Sébastien Le Nours, Wolfgang Nebel, Sébastien Pillement
- article
- 10th European Congress on Embedded Real Time Software and Systems (ERTS 2020), Jan 2020, Toulouse, France. #paper 59
- Accès au texte intégral et bibtex
-
- titre
- Random crystal polarity of Gallium phosphide microdisks on silicon
- auteur
- Rasool S Urothodi, Alejandro Lorenzo-Ruiz, Julie Le Pouliquen, Olivier de Sagazan, Tony Rohel, Rozenn Bernard, Karine Tavernier, Christophe Levallois, Alexandre Beck, Charles Cornet, Yannick Dumeige, Yoan Léger
- article
- Journées Nanomatériaux de Rennes, Jan 2020, Rennes, France
- Accès au bibtex
-
- titre
- IDRISS: Intrusion Detection for IT Systems Security. Toward a semantic modelling of sidechannels
- auteur
- Erwan Nogues, Fred Maurice Ngolè Mboula
- article
- EUSIPCO 2020, 2020, Amsterdam, Netherlands
- Accès au bibtex
-
- titre
- TERACUBE: THz instrument concept for CubeSat
- auteur
- Raphael Moreno, Jeanne Treuttel, David González-Ovejero, Lina Gatilova, Boris Segret, Emmanuel Lellouch
- article
- 14th Europlanet Science Congress 2020, 2020, à renseigner, Unknown Region. ⟨10.5194/epsc2020-350⟩
- Accès au texte intégral et bibtex
-
Book sections
- titre
- Design of Compact and Dual-band Printed Dipole Network
- auteur
- Jean Marie Floc’h, A. Mersani, Hatem Rmili, Saber Dakhli
- article
- Recent Developments in Engineering Research vol. 8, Book Publisher International (a part of SCIENCEDOMAIN International), 2020, ⟨10.9734/bpi/rder/v8⟩
- Accès au bibtex
-
- titre
- Circular Dipole Array with Omnidirectional and Beamsteering Capabilities for 5G Communications in the Sub-6GHz Range
- auteur
- Ameni Cherif, Saber Dakhli, Jean Marie Floc’h, Fethi Choubani, Hatem Rmili
- article
- Recent Developments in Engineering Research Vol. 8, Book Publisher International (a part of SCIENCEDOMAIN International), 2020, Recent Developments in Engineering Research Vol. 8, ⟨10.9734/bpi/rder/v8⟩
- Accès au bibtex
-
- titre
- Local binary pattern and its variants: application to face analysis
- auteur
- Jade Lizé, Vincent Débordès, Hua Lu, Kidiyo Kpalma, Joseph Ronsin
- article
- Advances in Smart Technologies: Applications and Case Studies - Selected Papers from the First International Conference on Smart Information and Communication Technologies, SmartICT 2019, September 26-28, 2019, Saidia, Morocco, pp.94-102, 2020, ⟨10.1007/978-3-030-53187-4_11⟩
- Accès au texte intégral et bibtex
-
Habilitation à diriger des recherches
- titre
- Contributions à la commande prédictive hiérarchisée et distribuée pour les systèmes complexes
- auteur
- Romain Bourdais
- article
- Automatique / Robotique. Université de Rennes 1, 2020
- Accès au texte intégral et bibtex
-
- titre
- Image Quality Assessment and Saliency Detection: human visual perception modeling and applications
- auteur
- Lu Zhang
- article
- Signal and Image Processing. Université de Rennes 1 (UR1), 2020
- Accès au texte intégral et bibtex
-
Lectures
- titre
- Pré-requis minimal du vectoriel au lycée pour préparer le début du supérieur : quelques notions sur le vectoriel et ses notations, ses calculs, sa géométrie
- auteur
- Bruno Bêche
- article
- Licence. France. 2020, pp.15
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Optically transparent antennas technologies works up to the millimeter-wave band
- auteur
- Mohamed Himdi, Xavier Castel
- article
- 2020
- Accès au bibtex
-
- titre
- EuMW 2019: Special Issue
- auteur
- A Ghiotto, Alexandru Takacs, Jean-Christophe Nallatamby, J.A. del Río Portilla, Jean-Yves Dauvignac, Stéphane Meric
- article
- 2020, pp.541-542. ⟨10.1017/S1759078720001014⟩
- Accès au bibtex
-
Books
- titre
- Advances in Smart Technologies Applications and Case Studies Selected Papers from the First International Conference on Smart Information and Communication Technologies, SmartICT 2019, September 26-28, 2019, Saidia, Morocco
- auteur
- Ali El Moussati, Kidiyo Kpalma, Mohammed Belkasmi, Sylvain Guégan, Mohammed Saber
- article
- 2020, ⟨10.1007/978-3-030-53187-4⟩
- Accès au bibtex
-
Patents
- titre
- Système antennaire
- auteur
- Maëlle Sergolle, Mohamed Himdi, Xavier Castel, Philippe Besnier
- article
- France, N° de brevet: FR20/10521. 2020
- Accès au bibtex
-
- titre
- SYSTEME D'EMISSION / RECEPTION RADIOFREQUENCE, INSTALLATION ET PROCEDE ASSOCIES
- auteur
- Sebastien Palud, Seydouba Fofana, Benjamin Fuchs, Franck Colombel, Stéphane Avrillon
- article
- France, N° de brevet: FR2008873. 2020
- Accès au bibtex
-
- titre
- SYSTEME D'EMISSION / RECEPTION RADIOFREQUENCE, INSTALLATION ET PROCEDE ASSOCIES
- auteur
- Seydouba Fofana, Sebastien Palud, Benjamin Fuchs, Franck Colombel, Stéphane Avrillon
- article
- France, N° de brevet: FR2008872. 2020
- Accès au bibtex
-
- titre
- Method for Determining a Sedimentation or Creaming Rate (by resonant electromagnetism)
- auteur
- Bruno Bêche, Hervé Lhermite, Véronique Vie, Lucas Garnier
- article
- United States, Patent n° : U.S. Application Number n° 16/966,416 US2020363307. 2020
- Accès au bibtex
-
- titre
- Antennes à capteurs de type clusters ioniques
- auteur
- Antoine Denis, David Rondeau, Mohamed Himdi, Xavier Castel, Stéphane Cordier
- article
- France, N° de brevet: FR20/02272. 2020
- Accès au bibtex
-
- titre
- Navigation assistance method for a mobile carrier.
- auteur
- Paul Chauchat, Axel Barrau, Silvere Bonnabel
- article
- France, Patent n° : WO2021156569A1. 2020
- Accès au bibtex
-
Poster communications
- titre
- Perovskite and tetragonal tungsten bronze phase thin films in the K-Na-Nb-O system: structural and dielectric characterizations
- auteur
- Barthélemy Aspe, Valérie Demange, Xavier Castel, Brice Gautier, Quentin Simon, David Albertini, Mustapha Zaghrioui, Kevin Nadaud, Stéphanie Députier, Valérie Bouquet, Ronan Sauleau, Maryline Guilloux-Viry
- article
- C’Nano 2020 “The Nanoscience Meeting”, Dec 2020, Toulouse, France. 1, 2 pp., 2020, Proceedings of the C’Nano 2020 conference
- Accès au bibtex
-
- titre
- Matériaux composites stratifiés à faibles pertes diélectriques : Modèle théorique et caractérisations diélectriques
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier, Patrick Parneix
- article
- JCMM 2020 “16èmes Journées de Caractérisation Microondes et Matériaux”, Nov 2020, Toulouse, France. 1, pp.25-28, 2020, Actes de colloque des JCMM
- Accès au bibtex
-
- titre
- Cost-effective and compact analog compensation method of thermal drift of implantable piezoresistive blood pressure sensors
- auteur
- Serigne Modou Die Mbacke, Mohammed El Gibari, Benjamin Lauzier, Chantal Gauthier, Hong Wu Li
- article
- SI: Printemps de la cardiologie 2020 (Digital conference), Oct 2020, Grenoble, France. 12 (2-4), pp.218-219, 2020, ⟨10.1016/j.acvdsp.2020.03.050⟩
- Accès au bibtex
-
- titre
- Formal Verification of Constrained Arithmetic Circuits Using Computer Algebraic Approach
- auteur
- Tiankai Su, Atif Yasin, Sébastien Pillement, Maciej Ciesielski
- article
- IEEE International Symposium on VLSI, Jul 2020, Limassol, Cyprus. , paper #1570638028, 2020, ISVLSI'20
- Accès au bibtex
-
- titre
- Routage et apprentissage par renforcement
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux
- article
- Journées non thématiques GDR-RSD 2020, Jan 2020, Nantes, France
- Accès au texte intégral et bibtex
-
Reports
- titre
- An upper bound on the error induced by saddlepoint approximations - Applications to information theory
- auteur
- Dadja Anade, Jean-Marie Gorce, Philippe Mary, Samir Perlaza
- article
- [Research Report] RR-9329, INRIA Grenoble - Rhône-Alpes. 2020, pp.1-55
- Accès au texte intégral et bibtex
-
- titre
- Faisabilité de l'estimation de l'orientation du dé
- auteur
- Jean-Yves Baudais, J.-F. Diouris
- article
- [Rapport de recherche] IETR UMR CNRS 6164. 2020
- Accès au bibtex
-
Theses
- titre
- Back to Single-Carrier for Beyond-5G Communications above 90GHz : Novel Index Modulation techniques for low-power Wireless Terabits system in sub-THz bands
- auteur
- Majed Saad
- article
- Signal and Image processing. CentraleSupélec, 2020. English. ⟨NNT : 2020CSUP0009⟩
- Accès au texte intégral et bibtex
-
- titre
- Circular and dual-linear polarized continuous transverse stub arrays for SatCom applications
- auteur
- Michele del Mastro
- article
- Electronics. Université de Rennes, 2020. English. ⟨NNT : 2020REN1S086⟩
- Accès au texte intégral et bibtex
-
- titre
- Personalized Expression Synthesis Using a Hybrid Geometric Machine Learning Method and Mental Representation Analysis of Joyful Expression
- auteur
- Sarra Zaied
- article
- Signal and Image processing. CentraleSupélec, 2020. English. ⟨NNT : 2020CSUP0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of Impedance Matching in Antenna Arrays
- auteur
- Irfan Ali Tunio
- article
- Electronics. UNIVERSITE DE NANTES, 2020. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Lightweight Hardware Design of a Chaos-Based Stream Cipher for Secure Video Applications
- auteur
- Guillaume Gautier
- article
- Cryptography and Security [cs.CR]. INSA de Rennes, 2020. English. ⟨NNT : 2020ISAR0017⟩
- Accès au texte intégral et bibtex
-
- titre
- Response time analysis of parameterized dataflow applications on heterogeneous SW/HW systems
- auteur
- Claudio Rubattu
- article
- Embedded Systems. INSA de Rennes, 2020. English. ⟨NNT : 2020ISAR0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Direction of Arrival Estimation with Coprime Arrays
- auteur
- Xiao Yang
- article
- Electronics. UNIVERSITE DE NANTES, 2020. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling, Scheduling, Pipelining and Configuration of Synchronous Dataflow Graphs with Throughput Constraints
- auteur
- Alexandre Honorat
- article
- Signal and Image processing. INSA de Rennes, 2020. English. ⟨NNT : 2020ISAR0010⟩
- Accès au texte intégral et bibtex
-
- titre
- Réalisation et optimisation énergétique d’une station de recharge de véhicules électriques avec stockage fixe et panneaux photovoltaïques
- auteur
- David Roszczypala
- article
- Energie électrique. NANTES UNIVERSITE, 2020. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Utilisation de modèles par rayons pour les systèmes multi-antennes massifs : analyse statistique et traitements numériques
- auteur
- Matthieu Roy
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2020. Français. ⟨NNT : 2020ISAR0020⟩
- Accès au texte intégral et bibtex
-
- titre
- Extension and Analysis of Dataflow Models of Computation for Embedded Runtimes
- auteur
- Florian Arrestier
- article
- Signal and Image processing. INSA de Rennes, 2020. English. ⟨NNT : 2020ISAR0022⟩
- Accès au texte intégral et bibtex
-
- titre
- Systèmes embarqués temps réel fiables et adaptables
- auteur
- Dimitry Solet
- article
- Electronique. UNIVERSITE DE NANTES, 2020. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Fonctionnalisation de surface par nébullisation gazeuse en spéctrométrie de masse pour applications senseurs et systèmes antennaires
- auteur
- Antoine Denis
- article
- Electronique. Université Rennes 1, 2020. Français. ⟨NNT : 2020REN1S093⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception et mesure d'un réseau d'antennes reconfigurable sur la bande 3,4 - 3,8 GHz : contribution à la réduction de la consommation énergétique
- auteur
- Seydouba Fofana
- article
- Electronique. Université Rennes 1, 2020. Français. ⟨NNT : 2020REN1S031⟩
- Accès au texte intégral et bibtex
-
- titre
- Parameters estimation with coprime samplers and arrays
- auteur
- Zhe Fu
- article
- Engineering Sciences [physics]. UNIVERSITE DE NANTES, 2020. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Pilotage et surveillance de MOSFET SiC : intégration de fonctions intelligentes dans les gate drivers
- auteur
- Julien Weckbrodt
- article
- Electronique. UNIVERSITE DE NANTES, 2020. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Pilotage et surveillance de MOSFET SiC : Intégration de fonctions intelligentes dans les drivers de grille
- auteur
- Julien Weckbrodt
- article
- Sciences de l'ingénieur [physics]. Université de nantes, 2020. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Sondes de lumière résonante et traitement des signaux pour le suivi dynamique de processus de la matière molle
- auteur
- Lucas Garnier
- article
- Optique / photonique. Université Rennes 1, 2020. Français. ⟨NNT : 2020REN1S018⟩
- Accès au texte intégral et bibtex
-
- titre
- Nouvelles approches de conception en vue de la limitation des risques de compatibilité électromagnétique et de fuite d'information sur les équipements électroniques embarqués
- auteur
- Florent Delaporte
- article
- Electronique. INSA de Rennes, 2020. Français. ⟨NNT : 2020ISAR0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Run-Time Management for Energy Efficiency of Cluster-based Multi/Many-Core Systems
- auteur
- Simei Yang
- article
- Electronics. Université de Nantes, 2020. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Light Field Image and Video Compression
- auteur
- Nader Bakir
- article
- Image Processing [eess.IV]. INSA de Rennes, 2020. English. ⟨NNT : 2020ISAR0009⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and design of compact antennas in cavity based on metasurfaces for multiband GNSS applications
- auteur
- Laura Garcia Gamez
- article
- Electronics. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S014⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint localization and communication in 5G millimeter wave networks
- auteur
- Remun Koirala
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes; Università degli studi (Bologne, Italie), 2020. English. ⟨NNT : 2020REN1S005⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- ϵ Suboptimality Based Early Stop in Dual Decomposition for Model Predictive Control
- auteur
- Xiang Dai, Romain Bourdais, Hervé Guéguen
- article
- 2020
- Accès au texte intégral et bibtex
-
- titre
- Spectral Decomposition Method for Large Sea Surface Generation and Radar Backscatter Modeling
- auteur
- Aymeric Mainvis, Vincent Fabbro, Christophe Bourlier, Henri-Jose Mametsa
- article
- 2020
- Accès au texte intégral et bibtex
-
2019
Journal articles
- titre
- Spectral Decomposition Method for Large Sea Surface Generation and Radar Backscatter Modeling
- auteur
- Aymeric Mainvis, Vincent Fabbro, Christophe Bourlier, Henri‐jose Mametsa
- article
- Journal of Geophysical Research. Oceans, 2019, 124 (12), pp.8505-8521. ⟨10.1029/2018JC014070⟩
- Accès au bibtex
-
- titre
- Halide perovskite high-k field-effect transistors with dynamically reconfigurable ambipolarity
- auteur
- Noelia Devesa Canicoba, Nicolò Zagni, Fangze Liu, Gary Mccuistian, Kasun Fernando, Hugo Bellezza, Boubacar Traore, Régis Rogel, Hsinhan Tsai, Laurent Le Brizoual, Wanyi Nie, Jared J Crochet, Sergei Tretiak, Claudine Katan, Jacky Even, Mercouri G Kanatzidis, Bruce Alphenaar, Jean-Christophe Blancon, Muhammad A Alam, Aditya D Mohite
- article
- ACS Materials Letters, 2019, 1 (6), pp.633-640. ⟨10.1021/acsmaterialslett.9b00357⟩
- Accès au bibtex
-
- titre
- Improvement of 60 GHz transparent patch antenna array performance through specific double-sided micrometric mesh metal technology
- auteur
- Alexis Martin, Olivier Lafond, Mohamed Himdi, Xavier Castel
- article
- IEEE Access, 2019, 7 (1), pp.2256-2262. ⟨10.1109/ACCESS.2018.2886478⟩
- Accès au texte intégral et bibtex
-
- titre
- Associations of autozygosity with a broad range of human phenotypes
- auteur
- David J. Clark, Yukinori Okada, Kristjan Moore, Dan Mason, Nicola Pirastu, Ilaria Gandin, Hannele Mattsson, Catriona Barnes, Kuang Lin, Jing Hua Zhao, Patrick Deelen, Rebecca Rohde, Claudia Schurmann, Xiuqing Guo, Franco Giulianini, Weihua Zhang, Carolina Medina-Gomez, Robert Karlsson, Yanchun Bao, Traci Bartz, Clemens Baumbach, Ginevra Biino, Matthew Bixley, Marco Brumat, Jin-Fang Chai, Tanguy Corre, Diana Cousminer, Annelot Dekker, David W Eccles, Kristel van Eijk, Christian Fuchsberger, He Gao, Marine Germain, Scott Gordon, Hugoline de Haan, Sarah Harris, Edith Hofer, Alicia Huerta-Chagoya, Catherine Igartua, Iris Jansen, Yucheng Jia, Tim Kacprowski, Torgny Karlsson, Marcus Kleber, Shengchao Alfred Li, Ruifang Li-Gao, Anubha Mahajan, Koichi Matsuda, Karina Meidtner, Weihua Meng, May Montasser, Peter van Der Most, Matthias Munz, Teresa Nutile, Teemu Palviainen, Gauri Prasad, Rashmi Prasad, Tallapragada Divya Sri Priyanka, Federica Rizzi, Erika Salvi, Bishwa Sapkota, Daniel Shriner, Line Skotte, Melissa Smart, Albert Vernon Smith, Ashley van Der Spek, Cassandra Spracklen, Rona J Strawbridge, Salman Tajuddin, Stella Trompet, Constance Turman, Niek Verweij, Clara Viberti, Lihua Wang, Helen Warren, Robyn Wootton, Lisa Yanek, Jie Yao, Noha Yousri, Wei Zhao, Adebowale Adeyemo, Saima Afaq, Carlos Alberto Aguilar-Salinas, Masato Akiyama, Matthew Albert, Matthew Allison, Maris Alver, Tin P. Aung, Fereidoun Azizi, Amy Bentley, Heiner Boeing, Eric Boerwinkle, Judith Borja, Gert de Borst, Erwin Bottinger, Linda Broer, Harry Campbell, Stephen Chanock, Miao-Li Chee, Guanjie Chen, Yii-Der Chen, Zhengming Chen, Yen-Feng Chiu, Massimiliano Cocca, Francis Collins, Maria Pina Concas, Janie Corley, Giovanni Cugliari, Rob van Dam, Anna Damulina, Maryam Daneshpour, Felix Day, Graciela Delgado, Klodian Dhana, Alexander Doney, Marcus Dörr, Ayo Doumatey, Nduna Dzimiri, S Sunna Ebenesersdóttir, Joshua Elliott, Paul I Elliott, Ralf Ewert, Janine Felix, Krista Fischer, Barry I Freedman, Giorgia Girotto, Anuj Goel, Martin Gögele, Mark Goodarzi, Mariaelisa Graff, Einat Granot-Hershkovitz, Francine Grodstein, Simonetta Guarrera, Daniel Gudbjartsson, Kamran Guity, Bjarni Gunnarsson, Yu Guo, Saskia Hagenaars, Christopher Haiman, Avner Halevy, Tamara B. Harris, Mehdi Hedayati, David van Heel, Makoto Hirata, Imo Höfer, Chao Agnes Hsiung, Jinyan Huang, Yi-Jen Hung, M Arfan Ikram, Anuradha Jagadeesan, Pekka Jousilahti, Yoichiro Kamatani, Masahiro Kanai, Nicola Kerrison, Thorsten Kessler, Kay-Tee Khaw, Chiea Chuen Khor, Dominique de Kleijn, Woon-Puay Koh, Ivana Kolcic, Peter Kraft, Bernhard Krämer, Zoltan Kutalik, Johanna Kuusisto, Claudia Langenberg, Lenore Launer, Deborah Lawlor, I-Te Lee, Wen-Jane Lee, Markus Lerch, Liming Li, Jianjun Liu, Marie Loh, Stephanie London, Stephanie Loomis, Yingchang Lu, Jian’an Luan, Reedik Mägi, Ani Manichaikul, Paolo Manunta, Gísli Másson, Nana Matoba, Xue Mei, Christa Meisinger, Thomas Meitinger, Massimo Mezzavilla, Lili Milani, Iona Millwood, Yukihide Momozawa, Amy Moore, Pierre-Emmanuel Morange, Hortensia Moreno-Macías, Trevor Mori, Alanna Morrison, Taulant Muka, Yoshinori Murakami, Alison Murray, Renée de Mutsert, Josyf Mychaleckyj, Mike Nalls, Matthias Nauck, Matt Neville, Ilja Nolte, Ken K. Ong, Lorena Orozco, Sandosh Padmanabhan, Gunnar Pálsson, James Pankow, Cristian Pattaro, Alison Pattie, Ozren Polasek, Neil Poulter, Peter Pramstaller, Lluis Quintana-Murci, Katri Räikkönen, Sarju Ralhan, Dabeeru Rao, Wouter van Rheenen, Stephen Rich, Paul Ridker, Cornelius Rietveld, Antonietta Robino, Frank van Rooij, Daniela Ruggiero, Yasaman Saba, Charumathi Sabanayagam, Maria Sabater-Lleal, Cinzia Felicita Sala, Veikko Salomaa, Kevin Sandow, Helena Schmidt, Laura J. Scott, William Scott, Bahareh Sedaghati-Khayat, Bengt Sennblad, Jessica van Setten, Peter Sever, Wayne H-H Sheu, Yuan Shi, Smeeta Shrestha, Sharvari Rahul Shukla, Jon Sigurdsson, Timo Tonis Sikka, Jai Rup Singh, Blair Smith, Alena Stančáková, Alice Stanton, John M Starr, Lilja Stefansdottir, Leon Straker, Patrick Sulem, Gardar Sveinbjornsson, Morris Swertz, Adele Taylor, Kent D Taylor, Natalie Terzikhan, Yih-Chung Tham, Gudmar Thorleifsson, Unnur Thorsteinsdóttir, Annika Tillander, Russell Tracy, Teresa Tusié-Luna, Ioanna Tzoulaki, Simona Vaccargiu, Jagadish Vangipurapu, Jan Veldink, Veronique Vitart, Uwe U. Völker, Eero Vuoksimaa, Salma Wakil, Melanie Waldenberger, Gurpreet Wander, Ya Xing Wang, Nicholas J. Wareham, Sarah Wild, Chittaranjan Yajnik, Jian-Min Yuan, Lingyao Zeng, Liang Zhang, Jie Zhou, Najaf Amin, Folkert Asselbergs, Stephan Bakker, Diane Becker, Benjamin Lehne, David Bennett, Leonard van den Berg, Sonja I Berndt, Dwaipayan Bharadwaj, Lawrence Bielak, Murielle Bochud, Mike Boehnke, Claude Bouchard, Jonathan Bradfield, Jennifer Brody, Archie Campbell, Shai Carmi, Mark Caulfield, David Cesarini, John Chambers, Giriraj Ratan Chandak, Ching-Yu Cheng, Marina Ciullo, Marilyn Cornelis, Daniele Cusi, George Davey Smith, Ian Deary, Rajkumar Dorajoo, Cornelia M. van Duijn, David Ellinghaus, Jeanette Erdmann, Johan Eriksson, Evangelos Evangelou, Michele Evans, Jessica Faul, Bjarke Feenstra, Mary F. Feitosa, Sylvain Foisy, Andre Franke, Yechiel Friedlander, Paolo Gasparini, Christian Gieger, Clicerio Gonzalez, Philippe Goyette, Struan Grant, Lyn Griffiths, Leif C. Groop, Vilmundur Gudnason, Ulf Gyllensten, Hakon Hakonarson, Anders Hamsten, Pim van Der Harst, Chew-Kiat Heng, Andrew Hicks, Hagit Hochner, Heikki Huikuri, Steven Hunt, Vincent Jaddoe, Philip L de Jager, Magnus Johannesson, Åsa Johansson, Jost Jonas, J Wouter Jukema, Juhani Junttila, Jaakko Kaprio, Sharon Kardia, Fredrik Karpe, Meena Kumari, Markku Laakso, Sander van Der Laan, Jari Lahti, Matthias Laudes, Rodney Lea, Wolfgang Lieb, Thomas Lumley, Nicholas M Martin, Winfried März, Giuseppe Matullo, Mark I. Mccarthy, Sarah M Medland, Tony Merriman, Andres Metspalu, Brian Meyer, Karen L. Mohlke, Grant W. Montgomery, Dennis Mook-Kanamori, Patricia Munroe, Kari E. North, Dale R. Nyholt, Jeffery O’connell, Carole C. Ober, Albertine Oldehinkel, Walter Palmas, Colin Palmer, Gerard Pasterkamp, Etienne Patin, Craig Pennell, Louis Pérusse, Patricia Peyser, Mario Pirastu, Tinca Polderman, David Porteous, Danielle Posthuma, Bruce Psaty, John Rioux, Fernando Rivadeneira, Charles N Rotimi, Jerome Rotter, Igor Rudan, Hester den Ruijter, Dharambir Sanghera, Naveed Sattar, Reinhold Schmidt, Matthias B Schulze, Heribert Schunkert, Robert A. Scott, Alan Shuldiner, Xueling Sim, Neil Small, Jennifer Smith, Nona Sotoodehnia, E-Shyong Tai, Alexander Teumer, Nicholas J. Timpson, Daniela Toniolo, David-Alexandre Trégouët, Tiinamaija Tuomi, Peter Vollenweider, Carol Wang, David Weir, John Whitfield, Cisca Wijmenga, Tien-Yin Wong, John W. Wright, Jingyun Yang, Lei Yu, Babette Zemel, Alan Zonderman, Markus Perola, Patrik K. E. Magnusson, André Uitterlinden, Jaspal Kooner, Daniel Chasman, Ruth J. F. Loos, Nora Franceschini, Lude Franke, Chris Haley, Caroline Hayward, Robin G. Walters, John Perry, Tōnu Esko, Agnar Helgason, Kari Stefansson, Peter Joshi, Michiaki Kubo, James Wilson
- article
- Nature Communications, 2019, 10 (1), pp.4957. ⟨10.1038/s41467-019-12283-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient compressed sensing based non-sample spaced sparse channel estimation in OFDM system
- auteur
- Hui Xie, Yide Wang, Guillaume Andrieux, Xinmin Ren
- article
- IEEE Access, 2019, 7 (1), pp.133362-133370. ⟨10.1109/ACCESS.2019.2941152⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimised PLC transmission over pulse-width modulated network
- auteur
- Anne-Sophie Descamps, Nabil Zaraneh, Christophe Batard, Nicolas Ginot
- article
- IET Electric Power Applications, 2019, 13 (12), pp.1976 - 1984. ⟨10.1049/iet-epa.2019.0294⟩
- Accès au bibtex
-
- titre
- Multi-Physics Modeling and Numerical Analysis of Tubular Linear Switched Reluctance Motors
- auteur
- Mustapha Zaouia, Sofiane Hamrioui, Pascal Lorenz
- article
- Iranian Journal of Science and Technology, Transactions of Electrical Engineering, 2019, 43 (4), pp.871-881. ⟨10.1007/s40998-018-0164-3⟩
- Accès au bibtex
-
- titre
- Untargeted metabolomics unveil alterations of biomembranes permeability in human HaCaT keratinocytes upon 60 GHz millimeter-wave exposure
- auteur
- Pierre Le Pogam, Yann Le Page, Denis Habauzit, Mickael Doué, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan, David Rondeau
- article
- Scientific Reports, 2019, 9 (1), pp.9343. ⟨10.1038/s41598-019-45662-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface Antennas: New Models, Applications and Realizations
- auteur
- Marco Faenzi, Gabriele Minatti, D. Gonzalez-Ovejero, Francesco Caminita, Enrica Martini, Cristian Della Giovampaola, Stefano Maci
- article
- Scientific Reports, 2019, 9 (1), ⟨10.1038/s41598-019-46522-z⟩
- Accès au texte intégral et bibtex
-
- titre
- A database of high-resolution MS/MS spectra for lichen metabolites
- auteur
- Damien Olivier-Jimenez, Marylene Chollet-Krugler, David Rondeau, Mehdi A Beniddir, Solenn Ferron, Thomas Delhaye, Pierre-Marie Allard, Jean-Luc Wolfender, Harrie J M Sipman, Robert Lücking, Joël Boustie, Pierre Le Pogam
- article
- Scientific Data , 2019, 6 (1), pp.294. ⟨10.1038/s41597-019-0305-1⟩
- Accès au texte intégral et bibtex
-
- titre
- DIRECTIONAL ULTRA-WIDEBAND MONOPOLE ANTENNAS
- auteur
- A. Hachi, H. Lebbar, Mohamed Himdi, D. Kurup
- article
- Far East Journal of Electronics and Communications, 2019, 21 (1-2), pp.53-65. ⟨10.17654/EC021120053⟩
- Accès au bibtex
-
- titre
- Enhancement of the magnetoelectric response of polyurethane polymer / piezoceramic bi-layer materials
- auteur
- Rabah Belouadah, Laurence Seveyrat, Benoit Guiffard, Daniel Guyomar
- article
- Sensors and Actuators A: Physical , 2019, 299, pp.111582. ⟨10.1016/j.sna.2019.111582⟩
- Accès au bibtex
-
- titre
- Optimal Adaptive Quantization based on Temporal Distortion Propagation model for HEVC
- auteur
- Maxime Bichon, Julien Le Tanou, Michael Ropert, Wassim Hamidouche, Luce Morin
- article
- IEEE Transactions on Image Processing, 2019, 28 (11), pp.5419-5434. ⟨10.1109/TIP.2019.2919180⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive state observers design using dynamic regressor extension and mixing
- auteur
- Anton Pyrkin, Alexey Bobtsov, Romeo Ortega, Alexey Vedyakov, Stanislav Aranovskiy
- article
- Systems and Control Letters, 2019, 133, pp.104519. ⟨10.1016/j.sysconle.2019.104519⟩
- Accès au bibtex
-
- titre
- Design criteria of X-wave launchers for millimeter-wave applications
- auteur
- Walter Fuscaldo, Santi Concetto Pavone, Davide Comite, Guido Valerio, Matteo Albani, Mauro Ettorre, Alessandro Galli
- article
- International Journal of Microwave and Wireless Technologies, 2019, 11 (9), pp.939-947. ⟨10.1017/S175907871900062X⟩
- Accès au texte intégral et bibtex
-
- titre
- Urban Surface Reconstruction in SAR Tomography by Graph-Cuts
- auteur
- Clément Rambour, Loïc Denis, Florence Tupin, Hélène Oriot, Yue Huang, Laurent Ferro-Famil
- article
- Computer Vision and Image Understanding, 2019, 188, pp.102791. ⟨10.1016/j.cviu.2019.07.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Transfer Restless Multi-Armed Bandit Policy for Energy Efficient Heterogeneous Cellular Network
- auteur
- Navikkumar Modi, Philippe Mary, Christophe Moy
- article
- EURASIP Journal on Advances in Signal Processing, 2019, 46 (1), ⟨10.1186/s13634-019-0637-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-Wave Heating in In Vitro Studies: Effect of Convection in Continuous and Pulse-Modulated Regimes
- auteur
- Rosa Orlacchio, Maxim Zhadobov, Stanislav I. Alekseev, Denys Nikolayev, Ronan Sauleau, Yann Le Page, Yves Le Drean
- article
- Bioelectromagnetics, 2019, 40 (8), pp.553-568. ⟨10.1002/bem.22223⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient learning from adaptive control under sufficient excitation
- auteur
- Yongping Pan, Stanislav Aranovskiy, Alexey Bobtsov, Haoyong Yu
- article
- International Journal of Robust and Nonlinear Control, 2019, 29 (10), pp.3111-3124. ⟨10.1002/rnc.4541⟩
- Accès au bibtex
-
- titre
- An adaptive FIR filter for trajectory prediction and latency reduction in direct Human-Computer interactions
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Géry Casiez
- article
- Control Engineering Practice, 2019, 91, pp.104093. ⟨10.1016/j.conengprac.2019.07.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing nonorthogonality of eigenfunctions and its impact on transport through open systems
- auteur
- Matthieu Davy, Azriel Genack
- article
- Physical Review Research, 2019, 1 (3), ⟨10.1103/PhysRevResearch.1.033026⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling of Multilayered Media Green’s Functions With Rough Interfaces
- auteur
- François Jonard, Frédéric André, Nicolas Pinel, Craig Warren, Harry Vereecken, Sébastien Lambot
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2019, 57 (10), pp.7671-7681. ⟨10.1109/TGRS.2019.2915676⟩
- Accès au bibtex
-
- titre
- Direction of arrival estimation by a modified orthogonal propagator method with spline interpolation
- auteur
- Meng Sun, Yide Wang, Jingjing Pan
- article
- IEEE Transactions on Vehicular Technology, 2019, 68 (11), pp.11389 - 11393. ⟨10.1109/TVT.2019.2944516⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced CubeSat Antennas for Deep Space and Earth Science Missions: A review
- auteur
- Nacer Chahat, Emmanuel Decrossas, D. Gonzalez-Ovejero, Okan Yurduseven, Matthew Radway, Richard Hodges, Polly Estabrook, John Baker, David R. Bell, Thomas Cwik, Goutam Chattopadhyay
- article
- IEEE Antennas and Propagation Magazine, 2019, 61 (5), pp.37-46. ⟨10.1109/MAP.2019.2932608⟩
- Accès au bibtex
-
- titre
- Convergence of the Newton Structure Transfer Function to the Ideal Fractional Delay Filter
- auteur
- Stéphane Paquelet, Ali Zeineddine, Amor Nafkha, Pierre-Yves Jezequel, Christophe Moy
- article
- IEEE Signal Processing Letters, 2019, 26 (9), pp.1354-1358. ⟨10.1109/LSP.2019.2929439⟩
- Accès au bibtex
-
- titre
- Smart brute-force approach for distribution feeder reconfiguration problem
- auteur
- Guillaume Parlier, Hervé Guéguen, Feihu Hu
- article
- Electric Power Systems Research, 2019, 174, pp.105837. ⟨10.1016/j.epsr.2019.04.015⟩
- Accès au texte intégral et bibtex
-
- titre
- A comparison between Nonlinear Least Squares and Maximum Likelihood estimation for the prediction of tumor growth on experimental data of human and rat origin
- auteur
- Spyridon Patmanidis, Roberto Chignola, Alexandros C. Charalampidis, George P. Papavassilopoulos
- article
- Biomedical Signal Processing and Control, 2019, 54, pp.101639. ⟨10.1016/j.bspc.2019.101639⟩
- Accès au texte intégral et bibtex
-
- titre
- Dataflow-Functional High-Level Synthesis for Coarse-Grained Reconfigurable Accelerators
- auteur
- Claudio Rubattu, Francesca Palumbo, Carlo Sau, Rubén Salvador, Jocelyn Sérot, Karol Desnos, Luigi Raffo, Maxime Pelcat
- article
- IEEE Embedded Systems Letters, 2019, 11 (3), pp.69-72. ⟨10.1109/LES.2018.2882989⟩
- Accès au texte intégral et bibtex
-
- titre
- Introduction to the Special Section From the GLOBECOM 2018 Cognitive Radio and Networks Symposium
- auteur
- Faouzi Bader, Octavia Dobre
- article
- IEEE Transactions on Cognitive Communications and Networking, 2019, 5 (3), pp.780-782. ⟨10.1109/TCCN.2019.2937305⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetoelectric experiments and modelling in bi-layer composites consisting of polyurethane loaded with magnetic particles/piezoelectric ceramic
- auteur
- Abderrahmane Tabakh, Rabah Belouadah, Benoit Guiffard, Daniel Guyomar
- article
- Applied physics. A, Materials science & processing, 2019, 125 (9), pp.667. ⟨10.1007/s00339-019-2962-5⟩
- Accès au bibtex
-
- titre
- Circularly-Polarized Fabry-Perot Antenna Using a Hybrid Leaky-Wave Mode
- auteur
- Antoine Calleau, Maria Garcia-Vigueras, Hervé Legay, Ronan Sauleau, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (9), pp.5867-5876. ⟨10.1109/TAP.2019.2920266⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal derivation operator applied on the historic and school case of slab waveguides families eigenvalue equations: another method for computation of variational expressions
- auteur
- Lucas Garnier, Arthur Doliveira, Fabrice Mahé, Etienne Gaviot, Bruno Bêche
- article
- European Physical Journal: Applied Physics, 2019, 87 (1), pp.10501.1-10501-9. ⟨10.1051/epjap/2019190092⟩
- Accès au texte intégral et bibtex
-
- titre
- Tracking Position and Orientation through Millimeter Wave Lens MIMO in 5G Systems
- auteur
- A. Shahmansoori, Bernard Uguen, G. Destino, G. Seco-Granados, H. Wymeersch
- article
- IEEE Signal Processing Letters, 2019, 26 (8), pp.1222-1226. ⟨10.1109/LSP.2019.2925969⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Band Circularly Polarized Transmitarray Antenna for Satellite Communications at (20 to 30) GHz
- auteur
- Hamed Hasani, Joana S. Silva, Santiago Capdevila, Maria Garcia-Vigueras, Juan R. Mosig
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (8), pp.5325-5333. ⟨10.1109/TAP.2019.2912495⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised Segmentation of Multilook Polarimetric Synthetic Aperture Radar Images
- auteur
- Nizar Bouhlel, Stéphane Méric
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2019, 57 (8), pp.6104-6118. ⟨10.1109/TGRS.2019.2904401⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep learning-based digital subtraction angiography image generation
- auteur
- Yufeng Gao, Yu Song, Xiangrui Yin, Weiwen Wu, Lu Zhang, Yang Chen, Wanyin Shi
- article
- International Journal of Computer Assisted Radiology and Surgery, 2019
- Accès au bibtex
-
- titre
- Combined plasmon-resonance and photonic-jet effect in the THz wave scattering by dielectric rod decorated with graphene strip
- auteur
- Sergii V. Dukhopelnykov, Ronan Sauleau, M. García-Vigueras, Alexander I. Nosich
- article
- Journal of Applied Physics, 2019, 126 (2), pp.023104. ⟨10.1063/1.5093674⟩
- Accès au texte intégral et bibtex
-
- titre
- A Globally Exponentially Stable Speed Observer for a Class of Mechanical Systems: Experimental and Simulation Comparison with High-Gain and Sliding Mode Designs
- auteur
- Stanislav Aranovskiy, Romeo Ortega, Jose Guadalupe Romero, Dmitry Sokolov
- article
- International Journal of Control, 2019, 92 (7), pp.1620-1633. ⟨10.1080/00207179.2017.1404130⟩
- Accès au texte intégral et bibtex
-
- titre
- High performance silicon nanowires/ruthenium nanoparticles micro-supercapacitors
- auteur
- Yasmina Bencheikh, Maxime Harnois, Roxana Jijie, Ahmed Addad, Pascal Roussel, Sabine Szunerits, Toufik Hadjersi, Seddik El Hak Abaidia, Rabah Boukherroub
- article
- Electrochimica Acta, 2019, 311, pp.150-159. ⟨10.1016/j.electacta.2019.04.083⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced Signal Processing Methods for Ground-Penetrating Radar: Applications to civil engineering
- auteur
- Meng Sun, Jingjing Pan, Cédric Le Bastard, Yide Wang, Jianzhong Li
- article
- IEEE Signal Processing Magazine, 2019, 36 (4), pp.74-84. ⟨10.1109/MSP.2019.2900454⟩
- Accès au texte intégral et bibtex
-
- titre
- New millimeter wave generation scheme for MIMO-OFDM based Radio-over-Fiber system
- auteur
- M. El Yahyaoui, A. El Moussati, Ghaïs El Zein, Kamel Haddadi
- article
- Optics Communications, 2019, 442, pp.101-105. ⟨10.1016/j.optcom.2019.03.017⟩
- Accès au texte intégral et bibtex
-
- titre
- Parameter Estimation of Multilook Polarimetric SAR Data Based on Fractional Determinant Moments
- auteur
- N. Bouhlel
- article
- IEEE Geoscience and Remote Sensing Letters, 2019, 16 (7), pp.1075-1079. ⟨10.1109/LGRS.2019.2893689⟩
- Accès au bibtex
-
- titre
- Effect of Titanium Dioxide Film Thickness on Photocatalytic and Bactericidal Activities Against Listeria monocytogenes
- auteur
- Marion Barthomeuf, Xavier Castel, Laurent Le Gendre, Justine Louis, Martine Denis, Christine Pissavin
- article
- Photochemistry and Photobiology, 2019, 95 (4), pp.1035-1044. ⟨10.1111/php.13078⟩
- Accès au bibtex
-
- titre
- Social Robots for People with Aging and Dementia: A Systematic Review of Literature
- auteur
- Susel Góngora Alonso, Sofiane Hamrioui, Isabel de La Torre Díez, Eduardo Motta Cruz, Miguel López-Coronado, Manuel Franco
- article
- Telemedicine and e-Health, 2019, 25 (7), pp.533-540. ⟨10.1089/tmj.2018.0051⟩
- Accès au bibtex
-
- titre
- Gaussian Tone Reservation Clipping and Filtering for PAPR Mitigation
- auteur
- Yves Louet, Jacques Palicot, Désiré Guel
- article
- International Journal On Advances in Telecommunications, 2019, International Journal On Advances in Telecommunications, 12 (1&2)
- Accès au bibtex
-
- titre
- Eco-Friendly Materials for Daily-Life Inexpensive Printed Passive Devices: Towards "Do-It-Yourself" Electronics
- auteur
- Brice Le Borgne, Bo-Yan Chung, Mehmet O Tas, Simon King, Maxime Harnois, Radu A Sporea
- article
- Electronics, 2019, 8 (6), pp.699. ⟨10.3390/electronics8060699⟩
- Accès au texte intégral et bibtex
-
- titre
- An efficient and unified 2D-inverse integer cosine transform (IICT) FPGA-hardware implementation for HEVC standard
- auteur
- Ahmed Kammoun, Fatma Belghith, Hassen Loukil, Nouri Masmoudi
- article
- Analog Integrated Circuits and Signal Processing, 2019, 101 (3), pp.431-440. ⟨10.1007/s10470-019-01469-z⟩
- Accès au bibtex
-
- titre
- Parametric Optimization of a Non-Foster Circuit Embedded in an Electrically Small Antenna for Wideband and Efficient Performance
- auteur
- Hussein Jaafar, Dominique Lemur, Sylvain Collardey, Ala Sharaiha
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (6), pp.3619-3628. ⟨10.1109/TAP.2019.2902614⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Uncertainty Quantification of the Quality Factor of Reverberation Chambers
- auteur
- Luk R. Arnaut, Philippe Besnier, Jérôme Sol, Mihai Ionut Andries
- article
- IEEE Transactions on Electromagnetic Compatibility, 2019, 61 (3), pp.823-832. ⟨10.1109/TEMC.2018.2839345⟩
- Accès au texte intégral et bibtex
-
- titre
- ELASTIC- Enabling Massive-Antenna for Joint Spectrum Sensing and Sharing: How Many Antennas Do We Need?
- auteur
- Hussein Kobeissi, Youssef Nasser, Oussama Bazzi, Amor Nafkha, Yves Louet
- article
- IEEE Transactions on Cognitive Communications and Networking, 2019, 5 (2), pp.267-280. ⟨10.1109/TCCN.2019.2901847⟩
- Accès au texte intégral et bibtex
-
- titre
- A Technique for Radar Cross Section Measurements in the Fresnel Region
- auteur
- I.V. Mihai, R.D. Tamas, A. Sharaiha
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (6), pp.1149-1153. ⟨10.1109/LAWP.2019.2911159⟩
- Accès au bibtex
-
- titre
- ULSI and Thin Film Semiconductor Technologies: Evolution of Industry and Research Linked to Higher Education in France
- auteur
- Olivier Bonnaud
- article
- Electrochemical Society Transactions, 2019, Dielectric Science and Materials - 2019 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC vs. TFT 7), 90 (1), pp.3-18. ⟨10.1149/09001.0003ecst⟩
- Accès au bibtex
-
- titre
- Low-cost metal-only transmit array antennas at Ka band
- auteur
- Trung Kien Pham, Antonio Clemente, Erwan Fourn, Fatimata Diaby, Laurent Dussopt, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (6), pp.1243-1247. ⟨10.1109/LAWP.2019.2913571⟩
- Accès au texte intégral et bibtex
-
- titre
- New Spectrum Efficient Reconfigurable Filtered-OFDM Based L-Band Digital Aeronautical Communication System
- auteur
- Niharika Agrawal, S. Darak, Faouzi Bader
- article
- IEEE Transactions on Aerospace and Electronic Systems, 2019, 55 (3), pp.1108-1122. ⟨10.1109/taes.2019.2891092⟩
- Accès au texte intégral et bibtex
-
- titre
- Parameter identification of linear time‐invariant systems using dynamic regressor extension and mixing
- auteur
- Stanislav Aranovskiy, Alexey Belov, Romeo Ortega, Nikita Barabanov, Alexey Bobtsov
- article
- International Journal of Adaptive Control and Signal Processing, 2019, 33 (6), pp.1016-1030. ⟨10.1002/acs.3006⟩
- Accès au texte intégral et bibtex
-
- titre
- [4]Cyclo-N-ethyl-2,7-carbazole Synthesis, structural, electronic and charge transport properties
- auteur
- Fabien Lucas, Lambert Sicard, Olivier Jeannin, Joëlle Rault-Berthelot, Emmanuel Jacques, Cassandre Quinton, Cyril Poriel
- article
- Chemistry - A European Journal, 2019, 25 (32), pp.7740-7748. ⟨10.1002/chem.201901066⟩
- Accès au texte intégral et bibtex
-
- titre
- An 8×4 Continuous Transverse Stub Array fed by Coaxial Ports
- auteur
- Siyi Zhou, Mauro Ettorre, Anthony Grbic
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (6), pp.1303-1307. ⟨10.1109/LAWP.2019.2916643⟩
- Accès au texte intégral et bibtex
-
- titre
- A RECONFIGURABLE CHAOTIC CAVITY WITH FLUORESCENT LAMPS FOR MICROWAVE COMPUTATIONAL IMAGING
- auteur
- Ariel Christopher Tondo Yoya, Benjamin Fuchs, Cécile Leconte, Matthieu Davy
- article
- Progress In Electromagnetics Research, 2019
- Accès au texte intégral et bibtex
-
- titre
- Global Optimal Resource Allocation for Efficient FD-D2D Enabled Cellular Network
- auteur
- Hussein Chour, Eduard Jorswieck, Faouzi Bader, Youssef Nasser, Oussama Bazzi
- article
- IEEE Access, 2019, 7, pp.59690 - 59707. ⟨10.1109/ACCESS.2019.2914973⟩
- Accès au texte intégral et bibtex
-
- titre
- Ker-ONE A new hypervisor managing FPGA reconfigurable accelerators
- auteur
- T. Xia, Y. Tian, Jean-Christophe Prévotet, F. Nouvel
- article
- Journal of Systems Architecture, 2019, 98, pp.453-467. ⟨10.1016/j.sysarc.2019.05.003⟩
- Accès au texte intégral et bibtex
-
- titre
- A benchmark of DIBR Synthesized View Quality Assessment Metrics on a new database for Immersive Media Applications
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, Olivier Déforges
- article
- IEEE Transactions on Multimedia, 2019, 21 (5), pp.1235-1247. ⟨10.1109/TMM.2018.2875307⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive Simulation-based Framework for Error Characterization of Inexact Circuits
- auteur
- Justine Bonnot, Vincent Camus, Karol Desnos, Daniel Menard
- article
- Microelectronics Reliability, 2019, 96, pp.60-70. ⟨10.1016/j.microrel.2019.02.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Coprime sampling with embedded random delays
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- Signal Processing, 2019, 158, pp.150-155. ⟨10.1016/j.sigpro.2019.01.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Modified DOA Estimation with an Unfolded Co-prime Linear Array
- auteur
- Xiao Yang, Yide Wang, Pascal Chargé
- article
- IEEE Communications Letters, 2019, 23 (5), pp.859 - 862. ⟨10.1109/LCOMM.2019.2906182⟩
- Accès au texte intégral et bibtex
-
- titre
- Mass Spectrometry Evidence for Self-Rigidification of π-Conjugated Oligomers Containing 3,4-Ethylenedioxythiophene (EDOT) Groups using RRKM Theory and Internal Energy Calibration
- auteur
- David Rondeau, Yves Gimbert, Karoly Vekey, Laszlo Drahos, Mathieu Turbiez, Pierre Frère, Jean Roncali
- article
- European Journal of Mass Spectrometry, 2019, 25 (2), pp.239-250. ⟨10.1177/1469066718811712⟩
- Accès au texte intégral et bibtex
-
- titre
- Measurement of the rotational sense and velocity of an object using OAM wave in the radiofrequency band
- auteur
- Christian Brousseau, Kourosh Mahdjoubi, Olivier Emile
- article
- Electronics Letters, 2019, 55 (12), pp.709-711. ⟨10.1049/el.2019.0942⟩
- Accès au bibtex
-
- titre
- Energy optimisation of an OOK transmitter using high-order orthogonal modulation
- auteur
- Yue Peng, Guillaume Andrieux, Jean-François Diouris, Magaye Diop
- article
- International Journal of Electronics Letters, 2019, 7 (2), pp.182-193. ⟨10.1080/21681724.2018.1477184⟩
- Accès au texte intégral et bibtex
-
- titre
- A Millimeter Wave Multi-beam Transparent Transmitarray Antenna at Ka-band
- auteur
- Guang Liu, Mohammad Reza Dehghani Kodnoeih, Kien T. Pham, Eduardo Motta Cruz, D. Gonzalez-Ovejero, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (4), pp.631-635. ⟨10.1109/LAWP.2019.2899925⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental characterisation of in‐to‐out‐body path loss at 433 MHz in dairy cows
- auteur
- S. Benaissa, D. Plets, Denys Nikolayev, M. Deruyck, L. Verloock, G. Vermeeren, L. Martens, E. de Poorter, F.A.M. Tuyttens, B. Sonck, W. Joseph
- article
- Electronics Letters, 2019, 55 (7), pp.422-424. ⟨10.1049/el.2018.8150⟩
- Accès au bibtex
-
- titre
- Immune-to-Detuning Wireless In-Body Platform for Versatile Biotelemetry Applications
- auteur
- Denys Nikolayev, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Transactions on Biomedical Circuits and Systems, 2019, 13 (2), pp.403-412. ⟨10.1109/TBCAS.2019.2892330⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental validation of the surface state distribution model in the Suzuki theory to qualify the thin film surface materials
- auteur
- Laurent Pichon, K. Yang, Anne-Claire Salaün
- article
- Solid-State Electronics, 2019, 154, pp.12-15. ⟨10.1016/j.sse.2019.02.002⟩
- Accès au texte intégral et bibtex
-
- titre
- 4K Real Time Software Solution of Scalable HEVC for Broadcast Video Application
- auteur
- Ronan Parois, Wassim Hamidouche, Pierre-Loup Cabarat, Mickaël Raulet, Naty Sidaty, Olivier Déforges
- article
- IEEE Access, 2019, 7, pp.46748-46762. ⟨10.1109/ACCESS.2019.2904196⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of a novel radio frequency identification chipless tag with multifrequency response
- auteur
- Soumaya Sakouhi, Hedi Ragad, Mohamed Latrach
- article
- International Journal of RF and Microwave Computer-Aided Engineering, 2019, 29
- Accès au bibtex
-
- titre
- Solvothermal growth of BaTiO 3 thin films on Ti foils at T ≤ 200 °C with ferroelectric behavior
- auteur
- Elsy Bacha, Caroline Borderon, Raphaël Renoud, Hartmut Gundel, Mireille Richard-Plouet
- article
- Ferroelectrics, 2019, 536 (1), pp.105-112. ⟨10.1080/00150193.2018.1528932⟩
- Accès au bibtex
-
- titre
- Optimal Radiation of Body-Implanted Capsules
- auteur
- Denys Nikolayev, Wout Joseph, Maxim Zhadobov, Ronan Sauleau, Luc Martens
- article
- Physical Review Letters, 2019, 122 (10), pp.108101. ⟨10.1103/PhysRevLett.122.108101⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual mode modified double square ring resonator structure at 76 GHz
- auteur
- Bashar Ali Esmail, Huda Bin Majid, Zuhairiah Binti Zainal Abidin, Samsul Haimi Bin Dahlan, Mohamed Himdi, Muhammad Ramlee Kamarudin, Mohamad Kamal Rahim
- article
- Microwave and Optical Technology Letters, 2019, 61 (7), pp.1678-1682. ⟨10.1002/mop.31796⟩
- Accès au bibtex
-
- titre
- Overview of full-reference video quality metrics and their performance evaluations for videoconferencing application
- auteur
- Lu Zhang, Inès Saidi, Shishun Tian, Vincent Barriac, Olivier Déforges
- article
- Journal of Electronic Imaging, 2019, 28 (02), pp.1. ⟨10.1117/1.jei.28.2.023001⟩
- Accès au bibtex
-
- titre
- Synthesis and characterization of foam glass composites for electromagnetic absorption application
- auteur
- Younes Lamri, Ratiba Benzerga, Azzedine Ayadi, Laurent Le Gendre, Aicha El Assal
- article
- Materials Research Express, 2019, 6 (3), pp.035201. ⟨10.1088/2053-1591/aaf42e⟩
- Accès au bibtex
-
- titre
- Tissue-mimicking materials for breast phantoms up to 50 GHz
- auteur
- Simona Di Meo, Simona Di Meo, Lorenzo Pasotti, Ioannis Iliopoulos, Marco Pasian, Mauro Ettorre, Maxim Zhadobov, Giulia Matrone
- article
- Physics in Medicine and Biology, 2019, 64 (5), pp.055006. ⟨10.1088/1361-6560/aafeec⟩
- Accès au texte intégral et bibtex
-
- titre
- Direction of arrival estimation by modified orthogonal propagator method with linear prediction in low SNR scenarios
- auteur
- Meng Sun, Yide Wang, Jingjing Pan
- article
- Signal Processing, 2019, 156, pp.41-45. ⟨10.1016/j.sigpro.2018.10.013⟩
- Accès au texte intégral et bibtex
-
- titre
- A Linear Prediction and Support Vector Regression-Based Debonding Detection Method Using Step-Frequency Ground Penetrating Radar
- auteur
- Cédric Le Bastard, Jingjing Pan, Yide Wang, Meng Sun, Shreedhar Savant Todkar, Vincent Baltazart, Nicolas Pinel, Amine Ihamouten, Xavier Derobert, Christophe Bourlier
- article
- IEEE Geoscience and Remote Sensing Letters, 2019, 16 (3), pp.367-371. ⟨10.1109/LGRS.2018.2873045⟩
- Accès au texte intégral et bibtex
-
- titre
- A MIMO-NOMA Framework with Complex-Valued Power Coefficients
- auteur
- Di Tong, Yuehua Ding, Yonggui Liu, Yide Wang
- article
- IEEE Transactions on Vehicular Technology, 2019, 68 (3), pp.2244-2259. ⟨10.1109/TVT.2018.2890546⟩
- Accès au bibtex
-
- titre
- Maximum-Likelihood Parameter Estimation of the Product Model for Multilook Polarimetric SAR Data
- auteur
- Nizar Bouhlel, Stéphane Meric
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2019, 57 (3), pp.1596-1611. ⟨10.1109/tgrs.2018.2867616⟩
- Accès au texte intégral et bibtex
-
- titre
- Face Aging Simulation with a new Wrinkle Oriented Active Appearance Model
- auteur
- Victor Martin, Renaud Seguier, Aurélie Porcheron, Frédérique Morizot
- article
- Multimedia Tools and Applications, 2019, 78 (5), pp.6309-6327. ⟨10.1007/s11042-018-6311-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Observer Design for an Inverted Pendulum with Biased Position Sensors
- auteur
- Stanislav Aranovskiy, Andrei Biryuk, Evgeny V. Nikulchev, Igor Ryadchikov, Dmitry Sokolov
- article
- Izvestia Rossiiskoi Akademii Nauk.Teoriya i Systemy Upravleniya / Journal of Computer and Systems Sciences International, 2019, 58 (2), pp.297-304. ⟨10.1134/S1064230719020023⟩
- Accès au texte intégral et bibtex
-
- titre
- Differential received power measurements over off-body links for obstruction-resilient pedestrian navigation [Mesure différentielle de puissance reçue sur des liens radios entre corps humain et infrastructure en vue d'une navigation pédestre résiliente aux obstructions]
- auteur
- Bernard Uguen, B. Denis, R. d'Errico, N. Amiot
- article
- Comptes Rendus. Physique, 2019, 20 (3), pp.192-203. ⟨10.1016/j.crhy.2019.03.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Strength Analysis of the Main Structural Component in Ship-to-Shore Cranes Under Dynamic Load
- auteur
- Gang Tang, Chen Shi, Yide Wang, Xiong Hu
- article
- IEEE Access, 2019, 7, pp.23959-23966. ⟨10.1109/ACCESS.2019.2899400⟩
- Accès au texte intégral et bibtex
-
- titre
- Uniform Current Density Electrodes for a High-Resolution Deep-Brain-Stimulation Lead
- auteur
- Denys Nikolayev, Emmeric Tanghe, Wout Joseph, Thomas Tarnaud, Carolina Mora Lopez, Marleen Welkenhuysen, Luc Martens
- article
- Brain Stimulation, 2019, 12 (2), pp.e12-e13. ⟨10.1016/j.brs.2018.12.041⟩
- Accès au bibtex
-
- titre
- An Adaptive Cascaded ILA- and DLA-Based Digital Predistorter for Linearizing an RF Power Amplifier
- auteur
- Han Le Duc, Bruno Feuvrie, Matthieu Pastore, Yide Wang
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2019, 66 (3), pp.1031-1041. ⟨10.1109/TCSI.2018.2872465⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible conductive fabric/E-glass fibre composite ultra-wideband antenna for future wireless networks
- auteur
- Husameldin A. Elmobarak, Sharul A. K. Rahim, Xavier Castel, Mohamed Himdi
- article
- IET Microwaves Antennas and Propagation, 2019, 13 (4), pp.455-459. ⟨10.1049/iet-map.2018.5195⟩
- Accès au bibtex
-
- titre
- Context-aware and priority-based user association and resource allocation in heterogeneous wireless networks
- auteur
- Mohamad Zalghout, Ayman Khalil, Matthieu Crussière, Samih Abdul-Nabi, Jean-François Hélard
- article
- Computer Networks, 2019, 149, pp.76-92. ⟨10.1016/j.comnet.2018.11.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of titanium dioxide film thickness on photocatalytic and bactericidal activities against Listeria monocytogenes
- auteur
- Marion Barthomeuf, Xavier Castel, Laurent Le Gendre, Justine Louis, Martine Denis, Christine Pissavin
- article
- Photochemistry and Photobiology, 2019, 95 (4), pp.1035-1044. ⟨10.1111/php.13078⟩
- Accès au texte intégral et bibtex
-
- titre
- An Adaptive Quantizer for High Dynamic Range Content: Application to Video Coding
- auteur
- Yi Liu, Naty Sidaty, Wassim Hamidouche, Olivier Deforges, Giuseppe Valenzise, Emin Zerman
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2019, 29 (2), pp.531-545. ⟨10.1109/TCSVT.2017.2786746⟩
- Accès au texte intégral et bibtex
-
- titre
- On predicting the HEVC intra quad-tree partitioning with tunable energy and rate-distortion
- auteur
- Alexandre Mercat, Florian Arrestier, Maxime Pelcat, Wassim Hamidouche, Daniel Menard
- article
- Journal of Real-Time Image Processing, 2019, 16 (1), pp.161-174. ⟨10.1007/s11554-018-0809-5⟩
- Accès au texte intégral et bibtex
-
- titre
- An effective general-purpose NR-IQA model using natural scene statistics (NSS) of the luminance relative order
- auteur
- Tonghan Wang, Lu Zhang, Huizhen Jia
- article
- Signal Processing: Image Communication, 2019, 71, pp.100-109. ⟨10.1016/j.image.2018.11.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Method of Moments Simulation of Modulated Metasurface Antennas With a Set of Orthogonal Entire-Domain Basis Functions
- auteur
- Modeste Bodehou, David Gonzalez-Ovejero, Christophe Craeye, Isabelle Huynen
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (2), pp.1119-1130. ⟨10.1109/TAP.2018.2880075⟩
- Accès au texte intégral et bibtex
-
- titre
- A new interconnect method for radio frequency intra‐chip communications using transistors‐based distributed access
- auteur
- Mohamad Hamieh, Sébastien Quintanel, Yves Louët, Myriam Ariaudo
- article
- Microwave and Optical Technology Letters, 2019, 61 (2), pp.297-302. ⟨10.1002/mop.31590⟩
- Accès au bibtex
-
- titre
- Design and Realization of an Open EPR Resonator at ${X}$ -Band Frequencies
- auteur
- Stefano Pisa, Sara Della Monaca, Luigi Di Trocchio, Luca Ficcadenti, Andrea Mostacci, Erika Pittella, Giulia Sacco, Paola Fattibene
- article
- IEEE Transactions on Magnetics, 2019, 55 (2), pp.1-10. ⟨10.1109/TMAG.2018.2886148⟩
- Accès au bibtex
-
- titre
- A Modified Min-Norm for Time Delay and Interface Roughness Estimation by Ground Penetrating Radar: Experimental Results
- auteur
- Meng Sun, Cédric Le Bastard, Yide Wang, Jingjing Pan, Nicolas Pinel
- article
- IEEE Geoscience and Remote Sensing Letters, 2019, 16 (2), pp.188-191. ⟨10.1109/LGRS.2018.2872158⟩
- Accès au bibtex
-
- titre
- Experimental Characterization of a Circularly-Polarized 1-Bit Unit-Cell for Beam Steerable Transmitarrays at Ka-Band
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Philippe Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (2), pp.1300-1305. ⟨10.1109/TAP.2018.2880095⟩
- Accès au texte intégral et bibtex
-
- titre
- Raman Spectroscopy: Applications for Clinical Diagnosis of Bacterial Infections and Detection of Antibacterial Resistance
- auteur
- A. Jolivet-Gougeon, E Müller, Z Tamanai Shacoori, Laurent Pichon, Anne-Claire Salaün, A Fautrel, M Bonnaure Mallet, Olivier Loréal, Alain Moréac
- article
- Advances in Applied Microbial Biology, 2019
- Accès au bibtex
-
- titre
- High-Throughput Screening of the Alkoxide/Oxime-Based Library An Alternative to Organotin Compounds for the Alkoxysilane Condensation in Adhesives and Sealants
- auteur
- B. Colin, Olivier Lavastre, S. Fouquay, G. Michaud, F. Simon, J.-M. Brusson
- article
- ACS Combinatorial Science, 2019, 21 (4), pp.300-309. ⟨10.1021/acscombsci.8b00161⟩
- Accès au texte intégral et bibtex
-
- titre
- π-extended phosphepines: redox and optically active P-heterocycles with non-planar framework
- auteur
- Thomas Delouche, Anabella Mocanu, Thierry Roisnel, Rózsa Szűcs, Emmanuel Jacques, Zoltán Benkő, László Nyulászi, Pierre-Antoine Bouit, Muriel Hissler
- article
- Organic Letters, 2019, 21 (3), pp.802-806. ⟨10.1021/acs.orglett.8b04064⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Passive Cost-Effective Technique to Improve Radiated Immunity on PCBs
- auteur
- Mohsen Koohestani, Richard Perdriau, Jean-Luc Levant, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2019, 61 (6), pp.1733-1739. ⟨10.1109/TEMC.2018.2882732⟩
- Accès au texte intégral et bibtex
-
- titre
- A 900/1800 MHz dual-band high-efficiency rectenna
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- Microwave and Optical Technology Letters, 2019, 61 (5), pp.1278-1283. ⟨10.1002/mop.31704⟩
- Accès au bibtex
-
- titre
- Thickness-dependent microstructural and electromechanical properties in polyurethane films obtained by polymer solution casting
- auteur
- K. Wongtimnoi, J.-Y. Cavaillé, J.-M. Chenal, B. Guiffard, A. Bogner, L. Seveyrat
- article
- Journal of Applied Polymer Science, 2019, 136 (3), pp.46981. ⟨10.1002/app.46981⟩
- Accès au bibtex
-
- titre
- Image steganography based on digital holography and saliency map
- auteur
- Wenbin Zou, Zhaoyong Zhuang, Shuming Jiao, Lu Zhang, Kidiyo Kpalma
- article
- Optical Engineering, 2019, 58 (01), pp.1. ⟨10.1117/1.oe.58.1.013102⟩
- Accès au bibtex
-
- titre
- Algorithmic-level Approximate Computing Applied to Energy Efficient HEVC Decoding
- auteur
- Erwan Nogues, Daniel Ménard, Maxime Pelcat
- article
- IEEE Transactions on Emerging Topics in Computing, 2019, 7 (1), pp.5-17. ⟨10.1109/TETC.2016.2593644⟩
- Accès au bibtex
-
- titre
- Liquid cell with temperature control for in situ TEM chemical studies
- auteur
- M. Denoual, V Menon, T. Sato, O. de Sagazan, A. W. Coleman, H. Fujita
- article
- Measurement Science and Technology, 2019, 30 (1), pp.017001. ⟨10.1088/1361-6501/aaf110⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable CTS Antenna Fully Integrated in PCB Technology for 5G Backhaul Applications
- auteur
- Thomas Potelon, Mauro Ettorre, Laurent Le Coq, Terry Bateman, Jim Francey, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (6), pp.3609-3618. ⟨10.1109/TAP.2019.2902644⟩
- Accès au texte intégral et bibtex
-
- titre
- IoT-Based Services and Applications for Mental Health in the Literature
- auteur
- Isabel de La Torre Díez, Susel Góngora Alonso, Sofiane Hamrioui, Eduardo Motta Cruz, Lola Morón Nozaleda, Manuel Franco
- article
- Journal of Medical Systems, 2019, 43 (1)
- Accès au bibtex
-
- titre
- PAPR Distribution for Single Carrier M-QAM Modulations
- auteur
- Kouakou Kouassi, Guillaume Andrieux, Jean-François Diouris
- article
- Wireless Personal Communications, 2019, 104 (2), pp.727-738. ⟨10.1007/s11277-018-6046-1⟩
- Accès au bibtex
-
- titre
- A wide-angle scanning switched-beam antenna system in LTCC technology with high beam crossing levels for V-band communications
- auteur
- Francesco Foglia Manzillo, Maciej Smierzchalski, Laurent Le Coq, Mauro Ettorre, Jouko Aurinsalo, Kari T Kautio, Markku Lahti, Antti E I Lamminen, Jussi Saily, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (1), pp.541-553. ⟨10.1109/TAP.2018.2877467⟩
- Accès au texte intégral et bibtex
-
- titre
- A M-EKF fault detection strategy of insulation system for marine current turbine
- auteur
- Tianzhen Wang, Lei Liu, Jiahui Zhang, Emmanuel Schaeffer, Yide Wang
- article
- Mechanical Systems and Signal Processing, 2019, 115, pp.269 - 280. ⟨10.1016/j.ymssp.2018.04.021⟩
- Accès au bibtex
-
- titre
- Annealing effect on the electrical properties of IF (CN2)2-meta based OTFTs Thermal behavior and modeling of charge transport (vol 123, pg 286, 2018)
- auteur
- N. Arfaoui, M. Mandouani, I. Bouhadda, Cyril Poriel, R. Bourguiga, Emmanuel Jacques, M. Chevrier, S. Bebiche
- article
- Superlattices and Microstructures, 2019, 125, pp.80-80. ⟨10.1016/j.spmi.2018.10.021⟩
- Accès au bibtex
-
- titre
- Displacement-Tolerant Printed Spiral Resonator With Capacitive Compensated-Plates for Non-Radiative Wireless Energy Transfer
- auteur
- Lai Ly Pon, Sharul Kamal Abdul Rahim, Chee Yen Leow, Mohamed Himdi, Mohsen Khalily
- article
- IEEE Access, 2019, 7, pp.10037-10044. ⟨10.1109/ACCESS.2019.2891015⟩
- Accès au texte intégral et bibtex
-
- titre
- DESIGN AND EXPERIMENTAL VALIDATION OF MULTIFUNCTION ANTENNA WITH DIRECT MODULATION FOR RADAR AND COMMUNICATION
- auteur
- Samir Ouedraogo, Israel David Hinostroza Saenz, Régis Guinvarc'H, Raphaël Gillard
- article
- Progress In Electromagnetics Research, 2019, 164, pp.17-25. ⟨10.2528/PIER18061804⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal Thrust Allocation Strategy of Electric Propulsion Ship Based on Improved Non-Dominated Sorting Genetic Algorithm II
- auteur
- Diju Gao, Xuyang Wang, Tianzhen Wang, Yide Wang, Xiaobin Xu
- article
- IEEE Access, 2019, 7, pp.135247-135255. ⟨10.1109/ACCESS.2019.2942170⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband signal detection for cognitive radio applications with limited resources
- auteur
- Shaoyang Men, Pascal Chargé, Yide Wang, Jianzhong Li
- article
- EURASIP Journal on Advances in Signal Processing, 2019, 2019 (1), pp.2. ⟨10.1186/s13634-018-0600-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Reduced-Order Models for Fast Antenna Characterization
- auteur
- Benjamin Fuchs, Athanasios G. Polimeridis
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (8), pp.5673-5677. ⟨10.1109/TAP.2019.2922783⟩
- Accès au texte intégral et bibtex
-
- titre
- Pilot-Based TI-ADC Mismatch Error Calibration for IR-UWB Receivers
- auteur
- Christian A. Schmidt, Jose L. Figueroa, Juan E. Cousseau, Andrea M. Tonello
- article
- IEEE Access, 2019, 7, pp.74340-74350. ⟨10.1109/ACCESS.2019.2921091⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of Component Images of Multispectral Data by Denoising with Reference
- auteur
- Sergey Abramov, Mikhail Uss, Vladimir Lukin, Benoit Vozel, Kacem Chehdi, Karen Egiazarian
- article
- Remote Sensing, 2019, 11 (6), pp.611. ⟨10.3390/rs11060611⟩
- Accès au texte intégral et bibtex
-
- titre
- Shaped Continuous Parallel Plate Delay Lens With Enhanced Scanning Performance
- auteur
- François Doucet, Nelson J. G. Fonseca, Etienne Girard, Xavier Morvan, Laurent Le Coq, Hervé Legay, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (11), pp.6695-6704. ⟨10.1109/TAP.2019.2924904⟩
- Accès au texte intégral et bibtex
-
- titre
- Multifunctional Flexible Sensor Based on Laser-Induced Graphene
- auteur
- Tao Han, Anindya Nag, Roy B. V. B. Simorangkir, Nasrin Afsarimanesh, Hangrui Liu, Subhas Chandra Mukhopadhyay, Yongzhao Xu, Maxim Zhadobov, Ronan Sauleau
- article
- Sensors, 2019, 19 (16), ⟨10.3390/s19163477⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-Complexity High-Order Propagator Method for Near-Field Source Localization
- auteur
- Jianzhong Li, Yide Wang, Cédric Le Bastard, Zongze Wu, Shaoyang Men
- article
- Sensors, 2019, 19 (1), pp.54. ⟨10.3390/s19010054⟩
- Accès au texte intégral et bibtex
-
- titre
- Potentialities of flexoelectric effect in soft polymer films for electromechanical applications
- auteur
- Benoit Guiffard, Maria Saadeh, Pierre Frère, Raynald Seveno, Mohammed El Gibari, Tessnim Sghaier, Victor Ishrayelu Merupo, Adi Kassiba
- article
- Journal of Physics: Conference Series, 2019, Electrostatics 2019 and Dielectrics 2019 8–12 April 2019, Manchester, UK, 1322, pp.012041. ⟨10.1088/1742-6596/1322/1/012041⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of using sentinel-1 and -2 time-series to identifywinter land use in agricultural landscapes
- auteur
- J. Denize, Laurence Hubert-Moy, J. Betbeder, Samuel Corgne, J. Baudry, E. Pottier
- article
- Remote Sensing, 2019, 11 (1), pp.37. ⟨10.3390/rs11010037⟩
- Accès au texte intégral et bibtex
-
- titre
- A Sparse Autoencoder and Softmax Regression Based Diagnosis Method for the Attachment on the Blades of Marine Current Turbine
- auteur
- Yilai Zheng, Tianzhen Wang, Bin Xin, Tao Xie, Yide Wang
- article
- Sensors, 2019, 19 (4), pp.826. ⟨10.3390/s19040826⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-wave pulsed heating in vitro: cell mortality and heat shock response
- auteur
- Rosa Orlacchio, Yann Le Page, Yves Le Drean, Remy Le Guevel, Ronan Sauleau, Stanislav Alekseev, Maxim Zhadobov
- article
- Scientific Reports, 2019, 9 (1), pp.15249. ⟨10.1038/s41598-019-51731-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Effets de la mobilité de l'habitat dans les champs cultivés sur la qualité physico-chimique des sols dans l'Ouest nigérien
- auteur
- B. Seyni Bodo, J.M.K. Ambouta, Oumarou Malam Issa, A.D. Tidjani, Xavier Morvan, A. Conreux, B. Marin, M. Ponthieu, Gilles Fronteau
- article
- EWASH & TI : Environmental and Water Sciences, Public Health and Territorial Intelligence, 2019, 3 (1), p. 60-68
- Accès au bibtex
-
- titre
- Shoreline detection using optical remote sensing A review
- auteur
- S. Toure, O. Diop, K. Kpalma, A.S. Maiga
- article
- ISPRS International Journal of Geo-Information, 2019, 8 (2), pp.75. ⟨10.3390/ijgi8020075⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Coding Strategies Within File Delivery Protocol Framework for HbbTV Based Push-VoD Services Over DVB Networks
- auteur
- Ferdaouss Mattoussi, Matthieu Crussière, Jean-Francois Helard, Gheorghe I. Zaharia
- article
- IEEE Access, 2019, 7, pp.15489-15508. ⟨10.1109/ACCESS.2019.2893756⟩
- Accès au texte intégral et bibtex
-
- titre
- Probabilistic Approach Versus Machine Learning for One-Shot Quad-Tree Prediction in an Intra HEVC Encoder
- auteur
- Alexandre Mercat, Florian Arrestier, Maxime Pelcat, Wassim Hamidouche, Daniel Menard
- article
- Journal of Signal Processing Systems, 2019, 91 (9), pp.1021-1037. ⟨10.1007/s11265-018-1426-z⟩
- Accès au texte intégral et bibtex
-
- titre
- DDSA: a Defense against Adversarial Attacks using Deep Denoising Sparse Autoencoder
- auteur
- Yassine Bakhti, Sid Ahmed Fezza, Wassim Hamidouche, Olivier Déforges
- article
- IEEE Access, 2019, 7, pp.160397-160407. ⟨10.1109/ACCESS.2019.2951526⟩
- Accès au texte intégral et bibtex
-
- titre
- Sparsity based full rank polarimetric reconstruction of coherence matrix T
- auteur
- H. Aghababaee, G. Ferraioli, Laurent Ferro-Famil, G. Schirinzi, Yue Huang
- article
- Remote Sensing, 2019, 11 (11), pp.1288. ⟨10.3390/rs11111288⟩
- Accès au texte intégral et bibtex
-
- titre
- An Efficient DOA Estimation Method for Co-prime Linear Arrays
- auteur
- Xiao Yang, Yide Wang, Pascal Chargé, Yuehua Ding
- article
- IEEE Access, 2019, 7, pp.90874-90881. ⟨10.1109/ACCESS.2019.2926804⟩
- Accès au texte intégral et bibtex
-
- titre
- PAPIFY: Automatic Instrumentation and Monitoring of Dynamic Dataflow Applications Based on PAPI
- auteur
- Daniel Madronal, Florian Arrestier, Jaime Sancho, Antoine Morvan, Raquel Lazcano, Karol Desnos, Ruben Salvador, Daniel Menard, Eduardo Juarez, Cesar Sanz
- article
- IEEE Access, 2019, 7, pp.111801-111812. ⟨10.1109/ACCESS.2019.2934223⟩
- Accès au texte intégral et bibtex
-
- titre
- Washing Durability of PDMS-Conductive Fabric Composite Realizing Washable UHF RFID Tags
- auteur
- R.B.V.B. Simorangkir, D. Le, T. Bjorninen, A.S.M. Sayem, M. Zhadobov, R. Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (12), pp.2572-2576. ⟨10.1109/LAWP.2019.2943535⟩
- Accès au texte intégral et bibtex
-
- titre
- 17.2% efficiency CuIn 1− x Ga x Se 2 thin-film based mini-module thanks to alternative architecture yielding 81% fill factor
- auteur
- Justine Lorthioir, Ludovic Arzel, Stéphane Ginestar, Lionel Assmann, Nicolas Barreau
- article
- EPJ Photovoltaics, 2019, 10, pp.4. ⟨10.1051/epjpv/2019003⟩
- Accès au texte intégral et bibtex
-
- titre
- Estimating the Probability Density Function of the Electromagnetic Susceptibility from a Small Sample of Equipment
- auteur
- Thomas Houret, Philippe Besnier, Stéphane Vauchamp, Philippe Pouliguen
- article
- Progress In Electromagnetics Research B, 2019, 83, pp.93 - 109. ⟨10.2528/pierb18110703⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarimetric SAR time-series for identification of winter land use
- auteur
- J. Denize, Laurence Hubert-Moy, E. Pottier
- article
- Sensors, 2019, 19 (24), pp.5574. ⟨10.3390/s19245574⟩
- Accès au texte intégral et bibtex
-
- titre
- Single-Carrier Spatial Modulation for the Internet of Things Design and Performance Evaluation by Using Real Compact and Reconfigurable Antennas
- auteur
- D-T Phan-Huy, Yvan Kokar, K. Rachedi, Patrice Pajusco, Ali Mokh, T. Magounaki, R. Masood, C. Buey, P. Ratajczak, N. Malhouroux-Gaffet, J-M Conrat, Jean-Christophe Prévotet, A. Ourir, J. de Rosny, Matthieu Crussière, Maryline Hélard, A. Gati, T. Sarrebourse, M. Di Renzo
- article
- IEEE Access, 2019, 7, pp.18978-18993. ⟨10.1109/ACCESS.2019.2895754⟩
- Accès au texte intégral et bibtex
-
- titre
- АЛГОРИТМ ИДЕНТИФИКАЦИИ ЛИНЕЙНО МЕНЯЮЩЕЙСЯ ЧАСТОТЫ СИНУСОИДАЛЬНОГО СИГНАЛА
- auteur
- Le Van Tuan, M.M. Korotina, A.A. Bobtsov, Stanislav Aranovskiy
- article
- Scientific and Technical Journal of Information Technologies, Mechanics and Optics , 2019, 19 (1), pp.52-58. ⟨10.17586/2226-1494-2019-19-1-52-58⟩
- Accès au texte intégral et bibtex
-
- titre
- Sub-THz Antenna for High-Speed Wireless Communication Systems
- auteur
- Hamsakutty Vettikalladi, Waleed Tariq Sethi, Ahmad Fauzi Bin Abas, Wonsuk Ko, Majeed A. Alkanhal, Mohamed Himdi
- article
- International Journal of Antennas and Propagation, 2019, 2019, pp.9573647. ⟨10.1155/2019/9573647⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient CMOS systems with beam-lead interconnects for space instruments
- auteur
- Nacer Chahat, A. Tang, C. Lee, Ronan Sauleau, Goutam Chattopadhyay
- article
- IEEE Transactions on Terahertz Science and Technology, 2019, 5 (4), pp.637-644. ⟨10.1109/tthz.2015.2446200⟩
- Accès au bibtex
-
- titre
- DAMHSE Programming heterogeneous MPSoCs with hardware acceleration using dataflow-based design space exploration and automated rapid prototyping
- auteur
- L. Suriano, F. Arrestier, A. Rodríguez, Julien Heulot, Karol Desnos, Maxime Pelcat, E.D.L. Torre
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2019, 71, pp.102882. ⟨10.1016/j.micpro.2019.102882⟩
- Accès au bibtex
-
- titre
- Numerical Representation of Directed Acyclic Graphs for Efficient Dataflow Embedded Resource Allocation
- auteur
- Florian Arrestier, Karol Desnos, Eduardo Juarez, Daniel Menard
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2019, 18 (5), pp.101. ⟨10.1145/3358225⟩
- Accès au texte intégral et bibtex
-
- titre
- Long Slot Array Fed by a Nonuniform Corporate Feed Network in PPW Technology
- auteur
- Thomas Potelon, Mauro Ettorre, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (8), pp.5436-5445. ⟨10.1109/TAP.2019.2917581⟩
- Accès au texte intégral et bibtex
-
- titre
- Circuit Modeling of 3-D Cells to Design Versatile Full-Metal Polarizers
- auteur
- Carlos Molero, Maria Garcia-Vigueras
- article
- IEEE Transactions on Microwave Theory and Techniques, 2019, 67 (4), pp.1357-1369. ⟨10.1109/TMTT.2019.2898828⟩
- Accès au texte intégral et bibtex
-
- titre
- Ni-coated graphite felt modified with Ag nanoparticles: A new electrode material for electro-reductive dechlorination
- auteur
- Y.-Y. Lou, W. He, E. Verlato, M. Musiani, D. Floner, F. Fourcade, A. Amrane, C. Li, Z.-Q. Tian, O. Merdrignac-Conanec, N. Coulon, F. Geneste
- article
- Journal of Electroanalytical Chemistry, 2019, 849, pp.113357. ⟨10.1016/j.jelechem.2019.113357⟩
- Accès au texte intégral et bibtex
-
- titre
- Traffic-Condition-Prediction-Based HMA-FIS Energy-Management Strategy for Fuel-Cell Electric Vehicles
- auteur
- Gang Yao, Changbo Du, Quanbo Ge, Haoyu Jiang, Yide Wang, Mourad Aït-Ahmed, Luc Moreau
- article
- Energies, 2019, 12 (23), pp.4426. ⟨10.3390/en12234426⟩
- Accès au texte intégral et bibtex
-
- titre
- Mn-Doped Ba 0.8 Sr 0.2 TiO 3 Thin Films for Energy Storage Capacitors
- auteur
- Caroline Borderon, Kevin Nadaud, M. Coulibaly, R. Renoud, H. Gundel
- article
- International Journal of Advanced Research in Physical Science, 2019, 6 (2), pp.2349-7882
- Accès au texte intégral et bibtex
-
- titre
- Optimization Method of Wideband Multilayer Meander-Line Polarizer using Semi-Analytical approach and Application to 6-18GHz Polarizer including test with Horn Antenna
- auteur
- Wafa Abdouni-Abdallah, Muhammad Saeed Khan, Athanasios Konstantinidis, Anne-Claude Tarot, Aziz Ouacha
- article
- Advances in Science, Technology and Engineering Systems Journal, 2019, 4 (5), pp.132-138. ⟨10.25046/aj040517⟩
- Accès au bibtex
-
- titre
- Optimal Combination of Polarimetric Features for Vegetation Classification in PolSAR Image
- auteur
- Q. Yin, W. Hong, F. Zhang, E. Pottier
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2019, 12 (10), pp.3919-3931. ⟨10.1109/JSTARS.2019.2940973⟩
- Accès au texte intégral et bibtex
-
- titre
- Localization and Throughput Trade-Off in a Multi-User Multi-Carrier mm-Wave System
- auteur
- Remun Koirala, Benoit Denis, Bernard Uguen, Davide Dardari, Henk Wymeersch
- article
- IEEE Access, 2019, 7, pp.167099-167112. ⟨10.1109/ACCESS.2019.2953777⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Hybrid Broadcast/Broadband Networks With Multiple Broadcasting Stations
- auteur
- Ahmad Shokair, Matthieu Crussière, Jean-Francois Helard, Youssef Nasser, Oussama Bazzi
- article
- IEEE Access, 2019, 7, pp.141226-141240. ⟨10.1109/ACCESS.2019.2942139⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact GNSS Metasurface-Inspired Cavity Antennas
- auteur
- L. Garcia-Gamez, L. Bernard, Sylvain Collardey, H. Covic, R. Sauleau, K. Mahdjoubi, P. Potier, P. Pouliguen
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (12), pp.2652-2656. ⟨10.1109/LAWP.2019.2947791⟩
- Accès au texte intégral et bibtex
-
- titre
- Constitution of a Lichen Metabolite Data Base (LDB) through HRLC-MS/MS analysis of 250 lichen compounds
- auteur
- D. Olivier, M. Chollet-Krugler, David Rondeau, M. A. Beniddir, S. Ferron, H. Sipman, R. Luecking, P. Le Pogam-Alluard, J. Boustie
- article
- Planta Medica, 2019, 85 (18), pp.1412-1413. ⟨10.1055/s-0039-3399697⟩
- Accès au bibtex
-
- titre
- An UWB Physical Optics Approach for Fresnel-Zone RCS Measurements on a Complex Target at Non-Normal Incidence
- auteur
- I.V. Mihai, R. Tamas, A. Sharaiha
- article
- Sensors, 2019, 19 (24), ⟨10.3390/s19245454⟩
- Accès au texte intégral et bibtex
-
- titre
- Editorial to special issue "multispectral image acquisition, processing, and analysis
- auteur
- B. Vozel, V. Lukin, Y. Bazi
- article
- Remote Sensing, 2019, 11 (19), pp.2310. ⟨10.3390/rs11192310⟩
- Accès au texte intégral et bibtex
-
- titre
- Spherical mapping of the second-order phoenix cell for unbounded direct reflectarray copolar optimization
- auteur
- V. Richard, Renaud Loison, R. Gillard, H. Legay, M. Romier, J.-P. Martinaud, D. Bresciani, F. Delepaux
- article
- Progress In Electromagnetics Research C, 2019, 90, pp.109-124. ⟨10.2528/PIERC18102303⟩
- Accès au texte intégral et bibtex
-
- titre
- Internet of Mobile Things: Overview of LoRaWAN, DASH7, and NB-IoT in LPWANs standards and Supported Mobility
- auteur
- Wael Ayoub, Abed Ellatif Samhat, Fabienne Nouvel, Mohamad Mroue, Jean-Christophe Prévotet
- article
- Communications Surveys and Tutorials, IEEE Communications Society, 2019, 21 (2), pp.1561-1581. ⟨10.1109/comst.2018.2877382⟩
- Accès au texte intégral et bibtex
-
- titre
- Dielectric-Loaded Conformal Microstrip Antennas for Versatile In-Body Applications
- auteur
- D. Nikolayev, W. Joseph, A. Skrivervik, M. Zhadobov, L. Martens, R. Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (12), pp.2686-2690. ⟨10.1109/LAWP.2019.2948814⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparative Study of Three Steganographic Methods Using a Chaotic System and Their Universal Steganalysis Based on Three Feature Vectors
- auteur
- Dalia Battikh, Safwan El Assad, Thang Manh Hoang, Bassem Bakhache, Olivier Déforges, Mohamad Khalil
- article
- Entropy, 2019, Entropy Based Data Hiding, 21 (8), pp.748. ⟨10.3390/e21080748⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Printed Dipole Array for Omnidirectional Radiation Pattern
- auteur
- Jean Marie Floc’h
- article
- Wireless Engineering and Technology, 2019, 10 (02), pp.19-33. ⟨10.4236/wet.2019.102002⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurface antennas embedded in small circular cavities for telemetry applications
- auteur
- L. Bernard, M. Martinis, Sylvain Collardey, K. Mahdjoubi, R. Sauleau
- article
- Applied Sciences, 2019, 9 (12), pp.496. ⟨10.3390/app9122496⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Four-Element Phased Antenna Array for 5G Applications
- auteur
- Muhammad Kamran Ishfaq, Tharek Abd Rahman, Mohamed Himdi, Hassan Tariq Chattha, Yasir Saleem, Bilal Khawaja, Farhan Masud
- article
- IEEE Access, 2019, 7, pp.161103-161111. ⟨10.1109/ACCESS.2019.2949149⟩
- Accès au texte intégral et bibtex
-
- titre
- Learning or assessment of classification algorithms relying on biased ground truth data: what interest?
- auteur
- Kacem Chehdi, Claude Cariou
- article
- Journal of applied remote sensing, 2019, 13 (3), pp.034522. ⟨10.1117/1.JRS.13.034522⟩
- Accès au texte intégral et bibtex
-
- titre
- Accelerated computation of the Physical Optics approximation for near-field single- and double- bounces backscattering
- auteur
- Christophe Bourlier, Gildas Kubické, Philippe Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (12), pp.7518-7527. ⟨10.1109/TAP.2019.2930105⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-Profile 3D Microelectrodes with Near-Uniform Current Density for High-Resolution Neural Stimulation
- auteur
- Denys Nikolayev, Wout Joseph, Emmeric Tanghe, Marleen Welkenhuysen, Carolina Mora Lopez, Thomas Tarnaud, Luc Martens
- article
- Brain Stimulation, 2019, 12 (4), pp.e155-e157. ⟨10.1016/j.brs.2019.03.021⟩
- Accès au texte intégral et bibtex
-
- titre
- Initiation à la conception d’antennes Yagi-Uda pour des lycéens
- auteur
- Stéphane Avrillon
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2019, JPCNFM 2018 – 15e journées pédagogiques du CNFM (Coordination nationale pour la formation en micro-électronique et en nanotechnologies), 18, pp.1001. ⟨10.1051/j3ea/20191001⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytical Performance of Hybrid Beam Index Modulation
- auteur
- Ali Mokh, Mohamed Shehata, Matthieu Crussière, Maryline Hélard
- article
- IEEE Wireless Communications Letters, In press
- Accès au texte intégral et bibtex
-
- titre
- Near-field multibeam generation by tensorial metasurfaces
- auteur
- I. Iliopoulos, M. Teniou, Massimiliano Casaletti, P. Potier, P. Pouliguen, R. Sauleau, M. Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2019, 67 (9), pp.6068-6075. ⟨10.1109/TAP.2019.2918490⟩
- Accès au texte intégral et bibtex
-
- titre
- An 8 x 4 Continuous Transverse Stub Array Fed by Coaxial Ports
- auteur
- Siyi Zhou, Mauro Ettorre, Anthony Grbic
- article
- IEEE Antennas and Wireless Propagation Letters, 2019, 18 (6), pp.1303-1307. ⟨10.1109/LAWR2019.2916643⟩
- Accès au bibtex
-
Conference papers
- titre
- FPGA Implementation of a Pseudo-Chaotic Number Generator and Evaluation of its Performance
- auteur
- Fethi Dridi, Safwan El Assad, Wajih Elhadj Youssef, Mohsen Machhout
- article
- International Conference on Internet of Things, Embedded Systems and Communications (IINTEC'2019), Dec 2019, Hammamet, Tunisia. [F.Dridi et al] 4 p
- Accès au bibtex
-
- titre
- Blindage CEM optiquement transparent à ajustement dynamique en efficacité
- auteur
- Quentin Tricas, Patrice Foutrel, Philippe Besnier, Xavier Castel, Claire Le Paven-Thivet
- article
- Journée des Doctrorants, SAFRAN Electronics & Defense, Dec 2019, Eragny-sur-oise, France
- Accès au bibtex
-
- titre
- Vertical, horizontal to circular polarization reconfigurable array antenna with EBG unit cells
- auteur
- R. Dewan, M. Himdi, M.K.A. Rahim
- article
- 2019 Photonics and Electromagnetics Research Symposium - Fall, PIERS - Fall 2019, Dec 2019, Rome, Italy. pp.2478-2483, ⟨10.1109/PIERS-Fall48861.2019.9021448⟩
- Accès au bibtex
-
- titre
- Dynamic Reduction of the Iterations Requirement in a Distributed Model Predictive Control
- auteur
- Xiang Dai, Romain Bourdais, Hervé Guéguen
- article
- IEEE 58th Conference on Decision and Control (CDC), Dec 2019, Nice, France. ⟨10.1109/CDC40024.2019.9029783⟩
- Accès au bibtex
-
- titre
- Characterization of ferrite tunability at microwave frequencies Application to VHF tunable antennas
- auteur
- V. Doumouva, A. Kabalan, A. Chevalier, J.-L. Mattei, A.-C. Tarot, C. Delaveaud, A. Sharaiha, Vincent Laur
- article
- 2019 IEEE Asia-Pacific Microwave Conference, APMC 2019, Dec 2019, Singapore, Singapore. pp.539-541, ⟨10.1109/APMC46564.2019.9038281⟩
- Accès au bibtex
-
- titre
- Influence of body-implanted capsule dimensions and materials on achievable radiation efficiency
- auteur
- D. Nikolayev, W. Joseph, R. Sauleau, M. Zhadobov, L. Martens, A. Skrivervik
- article
- 2019 IEEE Asia-Pacific Microwave Conference, APMC 2019, Dec 2019, Singapore, Singapore. pp.1426-1428, ⟨10.1109/APMC46564.2019.9038702⟩
- Accès au bibtex
-
- titre
- Wideband Characterization of Specific Polymers for Novel MID Antenna Applications
- auteur
- Gildas Bengloan, Anne Chousseaud, Bruno Froppier, Eduardo Motta Cruz, Heba El-Shaarawy
- article
- Asia-Pacific Microwave Conference, Dec 2019, Singapore, Singapore. paper #1570568479
- Accès au bibtex
-
- titre
- A robust pseudo-chaotic number generator for cryptosystem based on chaotic maps and multiplexing mechanism
- auteur
- Zongchao Qiao, Ina Taralova, Safwan El Assad
- article
- International Conference for Internet Technology and Secured Transactions (ICITST'2019), Dec 2019, London, United Kingdom. [Qiao et al] 4 p
- Accès au bibtex
-
- titre
- Degrees of Freedom of Ray-Based Models for mm-Wave Wideband MIMO-OFDM
- auteur
- Matthieu Roy, Stephane Paquelet, Matthieu Crussière
- article
- 2019 IEEE Global Communications Conference (GLOBECOM), Dec 2019, Waikoloa, United States. ⟨10.1109/GLOBECOM38437.2019.9013443⟩
- Accès au texte intégral et bibtex
-
- titre
- First experimental ambient backscatter communication using a compact reconfigurable tag antenna
- auteur
- Yvan Kokar, D.-T Phan-Huy, R Fara, K. Rachedi, A. Ourir, J. de Rosny, M Di Renzo, Jean-Christophe Prévotet, M. Helard
- article
- 2019 IEEE Globecom Workshops (GC Wkshps), Dec 2019, Waikoloa, United States. ⟨10.1109/GCWkshps45667.2019.9024698⟩
- Accès au texte intégral et bibtex
-
- titre
- A metamaterial-inspired small rectenna for RF energy harvesting based on a 3-way power combiner
- auteur
- A.-G. Abraray, K.M.S. Huq, S. Mumtaz, J. Rodriguez, O. El Mrabet, A. Farkhsi, Jean Marie Floc'H, P. Si
- article
- 2019 IEEE Global Communications Conference, GLOBECOM 2019, Dec 2019, Waikoloa, United States. pp.9013495, ⟨10.1109/GLOBECOM38437.2019.9013495⟩
- Accès au bibtex
-
- titre
- Online Estimation of time-varying frequency of a sinusoidal signal
- auteur
- Le Van Tuan, Marina Korotina, Alexey Bobtsov, Stanislav Aranovskiy, Anton Pyrkin
- article
- 13th IFAC Workshop on Adaptive and Learning Control Systems ALCOS 2019, Dec 2019, Winchester, United Kingdom
- Accès au bibtex
-
- titre
- Reconciling Compiler Optimizations and WCET Estimation Using Iterative Compilation
- auteur
- Mickaël Dardaillon, Stefanos Skalistis, Isabelle Puaut, Steven Derrien
- article
- RTSS 2019 - 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
- Accès au texte intégral et bibtex
-
- titre
- Q-routing: from the algorithm to the routing protocol
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux
- article
- Second IFIP International Conference on Machine Learning for Networking, Dec 2019, Paris, France. ⟨10.1007/978-3-030-45778-5_5⟩
- Accès au texte intégral et bibtex
-
- titre
- A Linear Perturbation Model to Propagate Surface Impedance Uncertainty on the Scattered Field
- auteur
- Simon Loillier, Stéphane Méric, Renaud Loison, Geneviève Mazé-Merceur
- article
- Journées Electromagnétisme et Guerre Electronique, Nov 2019, Toulouse, France
- Accès au bibtex
-
- titre
- ToxicIA : Apprentissage Profond Appliqué à l'Analyse des Signaux Parasites Compromettants
- auteur
- Florian Lemarchand, Cyril Marlin, Florent Montreuil, Erwan Nogues, Maxime Pelcat
- article
- C&ESAR 2019 IA & Défense, Nov 2019, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Croissance 3D de nanofils de silicium par procédé SLS à basse température (250°C)
- auteur
- K. Yang, N. Coulon, Anne-Claire Salaün, Laurent Pichon
- article
- Journées Nationales des Nanofils Semiconducteurs, Nov 2019, Lyon, France
- Accès au bibtex
-
- titre
- Technology Selection For IoT-Based Smart Transportation Systems
- auteur
- Wael Ayoub, Abed Ellatif Samhat, Mohamad Mroue, Hussein Joumaa, Fabienne Nouvel, Jean-Christophe Prévotet
- article
- International Workshop on Vehicular Adhoc Networks for Smart Cities (IWVSC'2019), Nov 2019, Paris, France
- Accès au bibtex
-
- titre
- Hardware-friendly DST-VII/DCT-VIII approximations for the Versatile Video Coding Standard
- auteur
- Wassim Hamidouche, Pierrick Philippe, Mohamed Camar-Eddine, Ahmed Kammoun, Daniel Menard, O. Deforges
- article
- Picture Coding Symposium, Nov 2019, Ningbo, China. pp.1-5, ⟨10.1109/PCS48520.2019.8954535⟩
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic multilayer absorber made of carbon fibers loaded epoxy foam
- auteur
- Aicha El Assal, Hanadi Breiss, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- APC 2019 “Antennas and Propagation Conference 2019”, Nov 2019, Birmingham, United Kingdom
- Accès au bibtex
-
- titre
- Compression Performance of the Versatile Video Coding: HD and UHD Visual Quality Monitoring
- auteur
- Naty Sidaty, Wassim Hamidouche, Olivier Déforges, Pierrick Philippe, Jérôme Fournier
- article
- Picture Coding Symposium (PCS), Nov 2019, Ningbo, China
- Accès au texte intégral et bibtex
-
- titre
- TiN layer epitaxial growth deposited at different radiofrequency powers onto the monocrystalline substrate MgO
- auteur
- Bachir Messaid, Claire Le Paven, Razika Talaighil, Ratiba Benzerga, Florent Marlec, Laurent Le Gendre, Fayçal Bensouici
- article
- ISMSD2019 : 4th International Symposium on Materials and Sustainable Development, Nov 2019, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- SWALIS/KaRADOC project: an airplane experiment platform developed for physics measurement in Ka band. Application to SWOT and SKIM mission preparations.
- auteur
- Stéphane Méric, Jean-Claude Lalaurie, Mor Diama Lo, Guy Grunfelder, Cécile Leconte, Mohamed Himdi, Paul Leroy, E. Pottier
- article
- 6th Workshop on Advanced RF Sensors and Remote Sensing Instruments, ARSI’19 & 4th Ka-band Earth Observation Radar Missions Workshop, KEO’19, Nov 2019, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Elaboration and characterization of foams glass composites for electromagnetic waves insulation application
- auteur
- Younes Lamri, Ratiba Benzerga, Azzedine Ayadi, Laurent Le Gendre, Aicha El Assal
- article
- ISMSD2019 : 4th International Symposium on Materials and Sustainable Development, Nov 2019, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Efficient Contention-Aware Scheduling of SDF Graphs on Shared Multi-bank Memory
- auteur
- Hai Nam Tran, Alexandre Honorat, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
- article
- ICECCS 2019 - 24th International Conference on Engineering of Complex Computer Systems, Nov 2019, Hong Kong, China. pp.114-123, ⟨10.1109/ICECCS.2019.00020⟩
- Accès au texte intégral et bibtex
-
- titre
- SCHC-Based Solution for Roaming in LoRaWAN
- auteur
- Wael Ayoub, Mohamad Mroue, Abed Ellatif Samhat, Fabienne Nouvel, Jean-Christophe Prévotet
- article
- The 14-th International Conference on Broadband and Wireless Computing, Communication and Applications (BWCCA-2019), Nov 2019, Anvers, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Terahertz Range Elementary Dipole Excitation of a Thin Dielectric Disk Sandwiched between Two Graphene Covers Integral Equation Analysis
- auteur
- M.V. Balaban, R. Sauleau, M. García-Vigueras, A.I. Nosich
- article
- 2019 IEEE International Conference on Microwaves, Antennas, Communications and Electronic Systems, COMCAS 2019, Nov 2019, Tel Aviv, Israel. pp.8958411, ⟨10.1109/COMCAS44984.2019.8958411⟩
- Accès au bibtex
-
- titre
- Reconfigurable Antennas
- auteur
- Jean Marie Floc’h
- article
- MMS 2019, Oct 2019, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- Design of Frequency-Reconfigurable Printed Monopole Antenna Using Capacitive Loading
- auteur
- Moheddine Smari, Saber Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- MMS 2019, Oct 2019, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- GPS and Irridium Antenna for Tracking
- auteur
- Jean Marie Floc’h
- article
- MMS 2019, Oct 2019, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- Frequency Reconfigurable Multiband Planar Antenna For Multistandard Wireless Applications
- auteur
- S. Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- MMS 2019, Oct 2019, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- Triple-band antenna with defected ground structure (DGS) for WLAN/WiMAX applications
- auteur
- A. Ibrahim, N. Arina Fazil, R. Dewan
- article
- 1st International Conference on Emerging Electrical Energy, Electronics and Computing Technologies 2019 (ICE4CT 2019), Oct 2019, Melaka, Malaysia. pp.012071, ⟨10.1088/1742-6596/1432/1/012071⟩
- Accès au texte intégral et bibtex
-
- titre
- A Robust Interactive Facial Animation Editing System
- auteur
- Eloïse Berson, Catherine Soladie, Vincent Barrielle, Nicolas Stoiber
- article
- Motion, Interaction and Games, Oct 2019, Newcastle upon Tyne, France. pp.25, ⟨10.1145/3359566.3360076⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Compact and Efficient Superdirective Array with Parasitic Elements
- auteur
- H. Jaafar, Sylvain Collardey, A. Sharaiha
- article
- 2019 International Symposium on Antennas and Propagation, ISAP 2019, Oct 2019, Xi'an, China. pp.8963530
- Accès au bibtex
-
- titre
- UWB-Radar Cross Section Measurements in the Fresnel Region and Real Environment
- auteur
- I.V. Mihai, A. Sharaiha, R. Tamas
- article
- 2019 International Symposium on Antennas and Propagation, ISAP 2019, Oct 2019, Xi'an, China. pp.8963037
- Accès au bibtex
-
- titre
- Complexity Reduction Opportunities in the Future VVC Intra Encoder
- auteur
- A Tissier, A. Mercat, T Amestoy, Wassim Hamidouche, J Vanne, Daniel Menard
- article
- International Workshop on Multimedia Signal Processing, Oct 2019, Kuala Lumpu, Malaysia
- Accès au texte intégral et bibtex
-
- titre
- Hybrid planar absorber: towards a compact absorber
- auteur
- Aicha El Assal, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- ISAP 2019 “International Symposium on Antennas and Propagation 2019”, Oct 2019, Xi'an, China
- Accès au bibtex
-
- titre
- Design of Parallel-series Microstrip Patch Antenna Array at mmWave, for future 5G applications
- auteur
- B.T. Mohamed, H. Ammor, M. Himdi
- article
- 7th Mediterranean Congress of Telecommunications, CMT 2019, Oct 2019, Fes, Morocco. pp.8931371, ⟨10.1109/CMT.2019.8931371⟩
- Accès au bibtex
-
- titre
- Improving the Angular Resolution of a Scanning Radar with Imperfectly Known Antenna Patterns
- auteur
- Romain Fouetilloux, Laurent Ferro-Famil, Stéphane Méric, Olivier Lacrouts
- article
- 2019 IEEE Conference on Antenna Measurements & Applications (CAMA), Oct 2019, Kuta, France. pp.231-234, ⟨10.1109/CAMA47423.2019.8959779⟩
- Accès au bibtex
-
- titre
- Radar Cross Section of a Slightly Tilted Disk in the Fresnel Region and Real Environment
- auteur
- I.V. Mihai, A. Sharaiha, R. Tamas
- article
- 6th IEEE International Conference on Antenna Measurements and Applications, CAMA 2019, Oct 2019, Bali, Indonesia. pp.109-112, ⟨10.1109/CAMA47423.2019.8959549⟩
- Accès au bibtex
-
- titre
- Localization and communication resource budgeting for multi-user mm-Wave MIMO
- auteur
- Remun Koirala, Benoit Denis, Bernard Uguen, Davide Dardari, Henk Wymeersch
- article
- 2019 16th Workshop on Positioning, Navigation and Communications (WPNC), Oct 2019, Bremen, Germany. pp.1-5, ⟨10.1109/WPNC47567.2019.8970185⟩
- Accès au bibtex
-
- titre
- A Parametric TDoA Technique in the IoT Localization Context
- auteur
- Ahmed Abdel Ghany, Bernard Uguen, Dominique Lemur
- article
- WPNC, Oct 2019, Bremen, Germany
- Accès au texte intégral et bibtex
-
- titre
- Angular Measurements and Analysis of the Indoor Propagation Channel at 60 GHz
- auteur
- Marwan El Hajj, Ghais El Zein, Gheorghe Zaharia, Hanna Farhat, Sawsan Sadek
- article
- 2019 International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Oct 2019, Barcelona, Spain. pp.121-126, ⟨10.1109/WiMOB.2019.8923261⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of Mode Propagation on Radiated Immunity Characterization in Commonly Used TEM Cells
- auteur
- Mohsen Koohestani, Mohamed Ramdani, Richard Perdriau
- article
- 2019 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo), Oct 2019, Hangzhou, China. pp.5-7, ⟨10.1109/EMCCompo.2019.8919742⟩
- Accès au bibtex
-
- titre
- Multi-relay cooperation with self-energy recycling and power consumption considerations
- auteur
- George A Ropokis
- article
- International Workshop on Cooperative Wireless Networks, Oct 2019, Barcelona, Spain
- Accès au texte intégral et bibtex
-
- titre
- Compromise between Investment and Return on Investment for a Small Building based on Economic Model Predicitive Control
- auteur
- Tianyang Liu, Romain Bourdais, Tao Zheng, Baohui Zhang
- article
- International Conference on Advances Power System Automation and Protection, Oct 2019, Xi'an, China
- Accès au bibtex
-
- titre
- Unified Sensing Algorithm : a Smart Full Exploitation of Detection Methods
- auteur
- Kais Bouallegue, Jean-Yves Baudais, Matthieu Crussière
- article
- International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob 2019), Oct 2019, Barcelone, Spain. ⟨10.1109/WiMOB.2019.8923570⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of the coverage probability of cellular multicast single frequency networks
- auteur
- A. Shokair, J.-F. Helard, O. Bazzi, Matthieu Crussière, Y. Nasser
- article
- 15th International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2019, Oct 2019, Barcelona, Spain. pp.8923591, ⟨10.1109/WiMOB.2019.8923591⟩
- Accès au bibtex
-
- titre
- Mapping and Frequency Joint Optimization for Energy Efficient Execution of Multiple Applications on Multicore Systems
- auteur
- Simei Yang, Sébastien Le Nours, Maria Mendez Real, Sébastien Pillement
- article
- The Conference on Design and Architectures for Signal and Image Processing, Oct 2019, Montreal, Canada. pp.SUBMISS14
- Accès au bibtex
-
- titre
- Modelling of a 3D Periodic Surface Based on a Folded Resonator Embedded into a Waveguide
- auteur
- Paul Le Bihan, Maria Garcia-Vigueras, Erwan Fourn, Raphaël Gillard, Isabelle Le Roy Naneix, Stefan Varault, Christian Renard
- article
- 49th European Microwave Conference, Oct 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Flexoelectricity in Soft Polymer Films
- auteur
- Benoit Guiffard, Raynald Seveno, Maria Saadeh, Pierre Frère, Victor Ishrayelu Merupo, Adi Kassiba
- article
- International Workshop on Piezoelectric Materials and Applications in Actuators 2019 (IWPMA2019), Oct 2019, Lyon, France
- Accès au bibtex
-
- titre
- Optically-Controlled Unit-Cell for Transmitarrays at X-band
- auteur
- Romain Cané, Ronan Sauleau, Mehdi Alouini
- article
- 49th European Microwave Conference (EuMC 2019), Oct 2019, Paris, France. pp.714-717, ⟨10.23919/EuMC.2019.8910955⟩
- Accès au bibtex
-
- titre
- Flexible PZT thin films prepared by Chemical Solution Deposition process
- auteur
- Raynald Seveno, Julien Le Scornec, Benoit Guiffard, Vincent Le Cam, Mohammed El Gibari, Jean-Christophe Thomas, Pierre-Jean Cottinet, Mickael Lallart, Lionel Petit
- article
- International Workshop on Piezoelectric Materials and Applications in Actuators 2019 (IWPMA2019), Oct 2019, Lyon, France
- Accès au bibtex
-
- titre
- A Beam-Steering Transmitarray Antenna for 5G MIMO Channel Sounding in V-band
- auteur
- T. Pham, F. Gallée, R. Sauleau
- article
- 49th European Microwave Conference, EuMC 2019, Oct 2019, Paris, France. pp.630-633, ⟨10.23919/EuMC.2019.8910804⟩
- Accès au bibtex
-
- titre
- Radiation Performance of Highly Miniaturized Implantable Devices
- auteur
- D. Nikolayev, M. Zhadobov, W. Joseph, L. Martens, R. Sauleau
- article
- 49th European Microwave Conference, EuMC 2019, Oct 2019, Paris, France. pp.216-219, ⟨10.23919/EuMC.2019.8910944⟩
- Accès au bibtex
-
- titre
- Transparent and conducting films for planar antenna applications at microwaves
- auteur
- Xavier Castel, Mohamed Himdi
- article
- GDR OXYFUN, Journées Thématiques “Couches minces d'oxydes fonctionnels et applications en électronique et photonique”, Oct 2019, Caen, France. pp.5
- Accès au bibtex
-
- titre
- Circuit Modelling of Metallic Dual-Band Dual-Polarized FSS
- auteur
- C. Molero, E. Menargues, T. Debogovic, M. García-Vigueras
- article
- 49th European Microwave Conference, EuMC 2019, Oct 2019, Paris, France. pp.770-773, ⟨10.23919/EuMC.2019.8910754⟩
- Accès au bibtex
-
- titre
- Combination of Artificial and Natural Planar Absorbers: Towards a 70% Reduction in Thickness
- auteur
- Aicha El Assal, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- MS&T19 “Materials Science and Technology International Conference”, Advances in Dielectric Materials and Electronic Devices Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Direct Synthesis of Quad-Band Band-Pass Filter by Frequency Transformation Methods
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier, Cédric Quendo
- article
- European Microwave Conference (EuMC), Sep 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- New ferroelectric perovskite ceramics with low losses for applications in microwave antennas
- auteur
- Claire Le Paven, Mohamad Haydoura, Ratiba Benzerga, Vincent Laur, Florent Marlec, Laurent Le Gendre, Yang Bai, Heli Jantunen, Alexis Chevalier, A Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference, Sep 2019, Portand, United States
- Accès au bibtex
-
- titre
- Carbon loads for electromagnetic absorption: from nanoparticles to fibers
- auteur
- Ratiba Benzerga, Chloé Mejean, Mathieu Badard, Claire Le Paven, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Skilled manpower shortage in microelectronics A challenge for the french education microelectronics network
- auteur
- Olivier Bonnaud, Laurent Fesquet, Ahmad Bsiesy
- article
- 18th International Conference on Information Technology Based Higher Education and Training, ITHET 2019, Sep 2019, Magdeburg, Germany. pp.8937384, ⟨10.1109/ITHET46829.2019.8937384⟩
- Accès au bibtex
-
- titre
- Reducing lbp features for facial identification and expression recognition
- auteur
- Joseph Ronsin, Kidiyo Kpalma, H. Lu
- article
- 1st International Conference on Smart Information and Communication Technologies, SmartICT 2019, Sep 2019, Saïdia, Morocco. pp.103-111, ⟨10.1007/978-3-030-53187-4_12⟩
- Accès au bibtex
-
- titre
- Machine vision-based cocoa beans fermentation degree assessment
- auteur
- Aubain Yro, Camille Edié N’zi, Kidiyo Kpalma
- article
- First international Conference on Smart Information & Communication Technologies (SmartICT’19), Sep 2019, saidia, Morocco. pp.144-148, ⟨10.1007/978-3-030-53187-4_17⟩
- Accès au texte intégral et bibtex
-
- titre
- 60 ghz rof system based on ir-mbook transmitter and non-coherent receiver
- auteur
- T. Zarrouk, A. El Moussati, P.A. Fall, Ghaïs El Zein
- article
- 1st International Conference on Smart Information and Communication Technologies, SmartICT 2019, Sep 2019, Saidia, Morocco. pp.55-62, ⟨10.1007/978-3-030-53187-4_7⟩
- Accès au bibtex
-
- titre
- Distributed Algorithm to Learn OSA Channels Availability and Enhance the Transmission Rate of Secondary Users
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, A. Assoum, Christophe Osswald, Denis Le Jeune
- article
- 19th International Symposium on Communications and Information Technologies, ISCIT 2019, Sep 2019, Ho Chi Minh City, Vietnam. pp.356-360, ⟨10.1109/ISCIT.2019.8905171⟩
- Accès au bibtex
-
- titre
- Experimental VLC transmission employing cap modulation with low-cost components under illumination constraints
- auteur
- R. Le Priol, Sylvain Haese, M. Helard, A. Jabban, Sylvaine Roy
- article
- 90th IEEE Vehicular Technology Conference, VTC 2019 Fall, Sep 2019, Honolulu, United States. pp.8891155, ⟨10.1109/VTCFall.2019.8891155⟩
- Accès au bibtex
-
- titre
- Direction-Aided Indoor Positioning Leveraging Ultra-Wideband Radio
- auteur
- Mamadou Lamarana Diallo, Antoine Courtay, Mickael Le Gentil, Olivier Berder
- article
- 2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall), Sep 2019, Honolulu, United States. pp.1-6, ⟨10.1109/VTCFall.2019.8891116⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware Implementation of Lightweight Chaos-Based Stream Cipher
- auteur
- Guillaume Gautier, Maguy Le Glatin, Safwan El Assad, Wassim Hamidouche, Olivier Déforges, Sylvain Guilley, Adrien Facon
- article
- International Conference on Cyber-Technologies and Cyber-Systems, Sep 2019, Porto, Portugal. 5 p
- Accès au bibtex
-
- titre
- Person-Specific Joy Expression Synthesis with Geometric Method
- auteur
- S. Zaied, Catherine Soladie, P.-Y. Richard
- article
- 26th IEEE International Conference on Image Processing, ICIP 2019, Sep 2019, Taipei, Taiwan. pp.504-508, ⟨10.1109/ICIP.2019.8804161⟩
- Accès au bibtex
-
- titre
- Rate-Distortion Optimized Tree-Structured Point-Lattice Vector Quantization for Compression of 3D Point Clouds Geometry
- auteur
- Amira Filali, Vincent Ricordel, Nicolas Normand, Wassim Hamidouche
- article
- 2019 IEEE International Conference on Image Processing (ICIP), Sep 2019, Taipei, Taiwan. pp.1099-1103, ⟨10.1109/ICIP.2019.8803403⟩
- Accès au bibtex
-
- titre
- Low-complexity Scalable Encoder based on Local Adaptation of the Spatial Resolution
- auteur
- Glenn Herrou, Wassim Hamidouche, Luce Morin
- article
- IEEE International Conference on Image Processing (ICIP 2019), Sep 2019, Taipei, Taiwan
- Accès au texte intégral et bibtex
-
- titre
- Dataflow-based Adaptation Framework with Coarse-Grained Reconfigurable Accelerators
- auteur
- Claudio Rubattu
- article
- Cyber-Physical Systems PhD & Postdoc Workshop 2018 / CPS Summer School "Designing Cyber-Physical Systems - From Concepts to Implementation" (CPSSS 2018), Sep 2019, Alghero, Italy
- Accès au bibtex
-
- titre
- Quasi-Optical Excitation of Modulated Metasurface Antennas
- auteur
- J. Ruiz-Garcia, D. Gonzalez-Ovejero, M. Faenzi, A. Mahmoud, M. Ettorre, P. Potier, P. Pouliguen, R. Sauleau
- article
- 2019 Thirteenth International Congress on Artificial Materials for Novel Wave Phenomena (Metamaterials), Sep 2019, Rome, France. pp.X-348-X-350, ⟨10.1109/MetaMaterials.2019.8900948⟩
- Accès au bibtex
-
- titre
- A SPATIOTEMPORAL DEEP LEARNING SOLUTION FOR AUTOMATIC MICRO-EXPRESSIONS RECOGNITION FROM LOCAL FACIAL REGIONS
- auteur
- Mouath Aouayeb, Wassim Hamidouche, Kidiyo Kpalma, Amel Benazza-Benyahia
- article
- IEEE INTERNATIONAL WORKSHOP ON MACHINE LEARNING FOR SIGNAL PROCESSING, Sep 2019, Pittsburgh, United States
- Accès au texte intégral et bibtex
-
- titre
- Game-Theoretic based Power Allocation for a Full Duplex D2D Network
- auteur
- Hussein Chour, Youssef Nasser, Faouzi Bader, Oussama Bazzi
- article
- IEEE International Workshop on Computer Aided Modeling and Design of Communication Links and Networks (CAMAD), Sep 2019, Limassol, Cyprus. ⟨10.1109/CAMAD.2019.8858466⟩
- Accès au texte intégral et bibtex
-
- titre
- On the performance evaluation of LoRaWAN under Jamming
- auteur
- Ivan Marino Martinez Bolivar, Fabienne Nouvel, Tanguy Philippe
- article
- 2019 12th Wireless and Mobile Networking Conference (WMNC), Sep 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Offset Tracking of sensor clock using Kalman filter for wireless network synchronization
- auteur
- David Pallier, Vincent Le Cam, Arthur Bouche, Sébastien Pillement, Qinghua Zhang, Laurent Mevel
- article
- IWSHM 2019 - 12th International Workshop on Structural Health Monitoring, Sep 2019, Stanford, United States
- Accès au bibtex
-
- titre
- Regression Technique for Electromagnetic Field Sampling and Reconstruction
- auteur
- S. Rondineau, Benjamin Fuchs, F. Batista Ribeiro Costa, L. Le Coq, E. Goncalves Sousa, M.D. Migliore
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, Spain. pp.1349-1349, ⟨10.1109/ICEAA.2019.8879005⟩
- Accès au bibtex
-
- titre
- Blind noise parameters estimation for multichannel images using deep convolutional neural networks
- auteur
- Mykhail Uss, Benoit Vozel, Vladimir Lukin, Kacem Chehdi
- article
- Image and Signal Processing for Remote Sensing XXV, Sep 2019, Strasbourg, France. pp.38, ⟨10.1117/12.2533300⟩
- Accès au bibtex
-
- titre
- GWENN-SS: a simple semi-supervised nearest-neighbor density-based classification method with application to hyperspectral images
- auteur
- Claude Cariou, Kacem Chehdi, Steven Le Moan
- article
- Image and Signal Processing for Remote Sensing XXV, Sep 2019, Strasbourg, France. pp.17, ⟨10.1117/12.2533140⟩
- Accès au texte intégral et bibtex
-
- titre
- PhD Forum Towards embedded heterogeneous FPGA-GPU smart camera architectures for CNN inference
- auteur
- W. Carballo-Hernández, F. Berry, Maxime Pelcat, M. Arias-Estrada
- article
- 13th International Conference on Distributed Smart Cameras, ICDSC 2019, Sep 2019, Trento, Italy. pp.a34, ⟨10.1145/3349801.3357136⟩
- Accès au texte intégral et bibtex
-
- titre
- Computational Imaging at Microwaves using Compressive Sensing
- auteur
- Benjamin Fuchs, Ariel Christopher Tondo Yoya, Mor Diama Lo, Matthieu Davy
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, Spain. pp.0431-0431, ⟨10.1109/ICEAA.2019.8879008⟩
- Accès au bibtex
-
- titre
- Efficient modeling of modulated metasurface antennas
- auteur
- David González-Ovejero, Jorge Ruiz-Garcia, Marco Faenzi, Stefano Maci
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, France. pp.1018-1018, ⟨10.1109/ICEAA.2019.8879085⟩
- Accès au bibtex
-
- titre
- Lossy DCT-based compression of remote sensing images with providing a desired visual quality
- auteur
- Sergey Krivenko, Sergey Abramov, Vladimir Lukin, Benoit Vozel, Kacem Chehdi
- article
- Image and Signal Processing for Remote Sensing XXV, Sep 2019, Strasbourg, France. pp.36, ⟨10.1117/12.2532726⟩
- Accès au bibtex
-
- titre
- Dual-Band Capabilities Of The Fourth Order Phoenix Cell for Reflectarrays Antennas
- auteur
- G. Courtin, R. Gillard, Renaud Loison, D. Bresciani, M. Romier
- article
- International Conference on Electromagnetics in Advanced Applications (ICEAA 2019), Politecnico di Torino, Sep 2019, Grenade, Spain
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Sentinel-1 and -2 time series to derive crop phenology and biomass of wheat and rapeseed: northen France and Brittany case studies
- auteur
- Audrey Mercier, Julie Betbeder, Jacques J. Baudry, Julien Denize, Vincent Leroux, Jean-Luc Roger, Fabien Spicher, Laurence Hubert-Moy
- article
- Remote Sensing for Agriculture, Ecosystems, and Hydrology XXI, Sep 2019, Strasbourg, France. pp.2, ⟨10.1117/12.2533132⟩
- Accès au bibtex
-
- titre
- Optimization of unsupervised affinity propagation clustering method
- auteur
- Jihan Alameddine, Kacem Chehdi, Claude Cariou
- article
- Image and Signal Processing for Remote Sensing XXV, Sep 2019, Strasbourg, France. pp.11, ⟨10.1117/12.2533164⟩
- Accès au bibtex
-
- titre
- Characterization methodology up to 40 GHz of new low loss soft dielectric thermoplastic films for microwave applications
- auteur
- Tessnim Sghaier, Mohammed El Gibari, Benoit Guiffard
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, France. pp.1296-1299, ⟨10.1109/ICEAA.2019.8878915⟩
- Accès au bibtex
-
- titre
- UTD Human Body Models Comparison based on dual Motion Capture and Radio Measurements
- auteur
- Eric Plouhinec, Bernard Uguen
- article
- 9th IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications, APWC 2019, Sep 2019, Granada, Spain. pp.192-197, ⟨10.1109/APWC.2019.8870488⟩
- Accès au bibtex
-
- titre
- On the use of Convex Optimization for Array Synthesis Problems
- auteur
- Benjamin Fuchs
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, Spain. pp.0382-0382, ⟨10.1109/ICEAA.2019.8879150⟩
- Accès au bibtex
-
- titre
- Extended-SDIM: an efficient technique for rigorous evaluation of electromagnetic scattering by a 2D rough surface
- auteur
- Yunniel Arencibia Noa, Christophe Bourlier, Gildas Kubické, Sami Bellez
- article
- ICEAA - IEEE APWC 2019, Sep 2019, Grenade, Spain. paper id 141
- Accès au bibtex
-
- titre
- Multi-beam Transmitarray Antennas for 5G Radio Access at Ka-Band
- auteur
- Guang Liu, Mohammad Reza Dehghani Kodnoeih, Kien Pham, Eduardo Motta Cruz, D. Gonzalez-Ovejero, Ronan Sauleau
- article
- 2019 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2019, Granada, Spain. pp.0896-0898, ⟨10.1109/ICEAA.2019.8879014⟩
- Accès au bibtex
-
- titre
- Generalized Spatial Modulation in Highly Correlated Channels
- auteur
- Majed Saad, Feyiz Chris Lteif, Ali Chamas Al Ghouwayel, Hussein Hijazi, Jacques Palicot, Faouzi Bader
- article
- IEEE International Symposium on Personal, Indoor and Mobile Radio Communications ( PIMRC '2019), Sep 2019, Istanbul, Turkey. ⟨10.1109/PIMRCW.2019.8880828⟩
- Accès au texte intégral et bibtex
-
- titre
- Differentiator-based velocity observer with sensor bias estimation: an inverted pendulum case study
- auteur
- Igor Ryadchikov, Stanislav Aranovskiy, Evgeny Nikulchev, Jian Wang, Dmitry Sokolov
- article
- 11th IFAC Symposium on Nonlinear Control Systems, Sep 2019, Vienna, Austria
- Accès au texte intégral et bibtex
-
- titre
- Geometry and loading effects on performances of mode-stirred reverberation chambers: an experimental study
- auteur
- Ludivine Le Bars, Jean-François Rosnarho, Philippe Besnier, Jérôme Sol, Francois Sarrazin, Elodie Richalot
- article
- 2018 International Symposium on Electromagnetic Compatibility - EMC EUROPE, Sep 2019, Barcelona, Spain. ⟨10.1109/EMCEurope.2019.8872134⟩
- Accès au texte intégral et bibtex
-
- titre
- Sensitivity Analysis of Microstrip Line Parameters on Total Radiated Power Through Surrogate Modelling
- auteur
- Florent Delaporte, Philippe Besnier, Béatrice Azanowsky
- article
- 2019 International Symposium on Electromagnetic Compatibility, EMC EUROPE, Sep 2019, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- How well current saliency prediction models perform on UAVs videos?
- auteur
- Anne-Flore Perrin, Lu Zhang, Olivier Le Meur
- article
- CAIP (International Conference on Computer Analysis of Images and Patterns), Sep 2019, Salermo, Italy. ⟨10.1007/978-3-030-29888-3_25⟩
- Accès au texte intégral et bibtex
-
- titre
- Extending architecture modeling for signal processing towards GPUs
- auteur
- S. Payvar, J. Boutellier, C. Rubattu, Maxime Pelcat, Antoine Morvan
- article
- 27th European Signal Processing Conference, EUSIPCO 2019, Sep 2019, Coruña, Spain. ⟨10.23919/EUSIPCO.2019.8903094⟩
- Accès au bibtex
-
- titre
- Subjective Evaluation of Light Field Image Compression Methods based on View Synthesis
- auteur
- Nader Bakir, Sid Ahmed Fezza, Wassim Hamidouche, Khouloud Samrouth, Olivier Déforges
- article
- European Signal Processing Conference, Sep 2019, Curona, Spain
- Accès au texte intégral et bibtex
-
- titre
- Time Modulated Array -A Database Approach
- auteur
- Jérome Euziere, Régis Guinvarc'H, Israel Hinostroza Sáenz, Raphaël Gillard, Bernard Uguen
- article
- EuSIPCO, Sep 2019, La Corogne, Spain. ⟨10.23919/EUSIPCO.2019.8902834⟩
- Accès au texte intégral et bibtex
-
- titre
- Combining Kriging and Controlled Stratification to Identify Extreme Levels of Electromagnetic Interference
- auteur
- T. Houret, Philippe Besnier, S Vauchamp, P. Pouliguen
- article
- 2019 International Symposium on Electromagnetic Compatibility, EMC EUROPE, Sep 2019, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- All-Powerful Learning Algorithm for the Priority Access in Cognitive Network
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, A. Assoum, Christophe Osswald, Denis Le Jeune
- article
- 27th European Signal Processing Conference (EUSIPCO), Sep 2019, A Coruna, Spain. pp.1-5, ⟨10.23919/EUSIPCO.2019.8903016⟩
- Accès au bibtex
-
- titre
- Fabrication of Devices and Antennas for Millimeter-Wave and Terahertz Systems
- auteur
- Choonsup Lee, D. Gonzalez-Ovejero, M. Alonso-Delpino, C. Jung, I. Mehdi, Goutam Chattopadhyay
- article
- 2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. pp.1-1, ⟨10.1109/IRMMW-THz.2019.8873985⟩
- Accès au bibtex
-
- titre
- Unit-cell design for antenna arrays efficiently matched to uni-travelling-carrier photodiodes
- auteur
- A. Pascual, L.E. Garcia-Munoz, R. Sauleau, D. Gonzalez-Ovejero
- article
- 2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. pp.1-2, ⟨10.1109/IRMMW-THz.2019.8874017⟩
- Accès au texte intégral et bibtex
-
- titre
- A scalable photomixing array for increased emitted power
- auteur
- A.J. Pascual, M. Ali, L.E. Garcia-Munoz, G. Carpintero, F. Van Dijk, D. Gonzalez-Ovejero, R. Sauleau
- article
- 2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. pp.1-2, ⟨10.1109/IRMMW-THz.2019.8873999⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware Acceleration of Approximate Transform Module for the Versatile Video Coding Standard
- auteur
- Ahmed Kammoun, Wassim Hamidouche, Pierrick Philippe, Fatma Belghith, Nouri Massmoudi, Jean-François Nezan
- article
- European Signal Processing Conference (EUSIPCO 2019), Sep 2019, Coruña, Spain
- Accès au texte intégral et bibtex
-
- titre
- Structural health monitoring of civil engineering structures using GPR detection of patch antenna resonance frequency changes
- auteur
- Théo Richard, David Guilbert, Amine Ihamouten, Hartmut W. Gundel, Caroline Borderon, Mohamed Latrach, Xavier Dérobert
- article
- 10th International Workshop on Advanced Ground Penetrating Radar, Sep 2019, The Hague, Netherlands
- Accès au bibtex
-
- titre
- USRP Testbed and Performance Analysis of New Reconfigurable LDACS In Presence of DME Interference
- auteur
- Niharika Agrawal, Himani Joshi, S. Darak, Faouzi Bader
- article
- 16th International Symposium on Wireless Communication Systems (ISWCS 2019)., Aug 2019, Oulu, Finland
- Accès au texte intégral et bibtex
-
- titre
- Forêt de Régression Précise basée sur des Caractéristiques Éparses pour la Relocalisation de Caméra en Temps-Réel
- auteur
- Nam-Duong Duong, Catherine Soladie, Amine Kacete, Pierre-Yves Richard, Jérôme Royan
- article
- GRETSI, Aug 2019, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Le projet SAMCOM : systèmes communicants intégrés en parois composites de véhicules
- auteur
- Xavier Castel, Mohamed Himdi, Philippe Besnier, Baptiste Le Bourhis, Patrick Parneix
- article
- CFM 2019 ‘24e Congrès Français de Mécanique’, Aug 2019, Brest, France. 2 p. / cfm2019.sciencesconf.org/282024
- Accès au bibtex
-
- titre
- Microelectronics at the heart of the digital society: technological and training challenges
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 34th SBMicro – Symposium on Microelectronics and Devices, Aug 2019, Sao Paolo, Brazil
- Accès au bibtex
-
- titre
- Nouvelle borne atteignable de la probabilité d'erreur pour des transmissions en paquets courts
- auteur
- Dadja Anade, Jean-Marie Gorce, Philippe Mary
- article
- GRETSI 2019 - XXVIIème Colloque francophonede traitement du signal et des images, Aug 2019, Lille, France. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Nouvelle Approche pour les Systèmes Térabit à Faible Puissance
- auteur
- Majed Saad, Faouzi Bader, Jacques Palicot, Ali Chamas Al Ghouwayel, Hussein Hijazi
- article
- XXVIIème Colloque francophonede traitement du signal et des images-GRETSI, Aug 2019, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Analyse non asymptotique d'un test séquentiel de détection de rupture et application aux bandits non stationnaires
- auteur
- Lilian Besson, Emilie Kaufmann
- article
- GRETSI 2019 - XXVIIème Colloque francophone de traitement du signal et des images, Aug 2019, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- A scattering power factorization framework using a geodesic distance for multi-looked polsar data
- auteur
- D Ratha, A Bhattacharya, A. Frery, E. Pottier
- article
- IGARSS 2019, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- MULTI-TEMPORAL SPECKLE REDUCTION OF POLARIMETRIC SAR IMAGES: A RATIO-BASED APPROACH
- auteur
- Charles-Alban Deledalle, Loïc Denis, Laurent Ferro-Famil, Jean Marie Nicolas, Florence Tupin
- article
- IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- CHARACTERIZATION OF DOUBLE-BOUNCE SCATTERING IN RVOG SCENARIOS USING CONTROLLED HR-POLTOMSAR EXPERIMENTS
- auteur
- Ray Abdo, Laurent Ferro-Famil, Frédéric Boutet, Lelchmissi Harkati
- article
- IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- THREE-DIMENSIONAL URBAN CHARACTERIZATION USING POLARIMETRIC SAR CORRELATION TOMOGRAPHIC TECHNIQUES AND TSX/TDX IMAGES
- auteur
- Xing Peng, Yué Huang, Laurent Ferro-Famil
- article
- IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- Roadway Interface Analysis with A Support Vector Regression Based Linear Prediction Method Using Stepped-Frequency Radar
- auteur
- Cédric Le Bastard, J. Pan, Yide Wang, S. Todkar, Amine Ihamouten, Xavier Derobert, David Guilbert, M. Sun
- article
- IGARSS 2019, IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan. pp. 3598-3601, ⟨10.1109/IGARSS.2019.8899302⟩
- Accès au bibtex
-
- titre
- MULTIPLE SCATTERER DETECTION OVER ARTIFICIAL MEDIA USING SAR TOMOGRAPHY AND HIGH-RESOLUTION SPECTRAL ESTMATION TECHNIQUES
- auteur
- Yué Huang, Laurent Ferro-Famil
- article
- IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- Co-Cross Polarization Coherence over Sea Surface from Sentinel-1 data. Perspectives for mission calibration and wind field retrieval
- auteur
- N. Longepe, Mouche A., Husson R, E. Pottier, Archer O.
- article
- IGARSS 2019, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- Water Vapor Does Not Catalyze the Reaction between Methanol and OH Radicals
- auteur
- Schoemaecker Coralie, Chao Wen, Takahashi Kaito, Tomas Alexandre, Yu Lu, Kajii Yoshizumi, Batut Sébastien, Fittschen Christa
- article
- International Conference on Chemical Kinetics (ICCK), Jul 2019, Orléans (FR), France
- Accès au bibtex
-
- titre
- A New Clipping Function for PAPR Mitigation: The Gaussian Clipping Function
- auteur
- Jacques Palicot, Yves Louet, Désiré Guel
- article
- Fourteenth Advanced International Conference on Telecommunications, Jul 2019, Barcelona, Spain
- Accès au bibtex
-
- titre
- Formal Verification of Integer Dividers: Division by a Constant
- auteur
- Atif Yasin, Tiankai Su, Sébastien Pillement, Maciej Ciesielski
- article
- IEEE Symposium on VLSI (ISVLSI), IEEE, Jul 2019, Miami, United States. paper 8
- Accès au bibtex
-
- titre
- Millimeter-Wave Propagation Measurements at 60 GHz in Indoor Environments
- auteur
- Marwan El Hajj, Gheorghe Zaharia, Ghais El Zein, Hanna Farhat, Sawsan Sadek
- article
- 2019 International Symposium on Signals, Circuits and Systems (ISSCS), Jul 2019, Iasi, Romania. pp.1-4, ⟨10.1109/ISSCS.2019.8801751⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance of DSTM MIMO systems using a double extension of the Weyl group in time-varying Rayleigh channel
- auteur
- I. Dawi, Gheorghe I. Zaharia, J.-F. Helard, A. Khalil, Y. Nasser
- article
- 2019 International Symposium on Signals, Circuits and Systems, ISSCS 2019, Jul 2019, Iasi, Romania. pp.8801803, ⟨10.1109/ISSCS.2019.8801803⟩
- Accès au bibtex
-
- titre
- LoRa+: évolution du protocole LoRaWAN pour l'amélioration de la QoS
- auteur
- Hussein Mroue, Benoît Parrein, Sofiane Hamrioui, Eduardo Motta Cruz, Wilfried Vince
- article
- GDR RSD ResCom - Journées Low-Power Wide Area Networks (LPWAN), Jul 2019, Lyon, France
- Accès au bibtex
-
- titre
- Hybrid adaptive control for the half-bridge inverter
- auteur
- Sabrina Hadjeras, J J Prince Agbodjan, Carolina Albea Sanchez, Germain Garcia
- article
- American Control Conference, Jul 2019, Philadelphie, United States
- Accès au texte intégral et bibtex
-
- titre
- WB3.2-Exploiting Programmable and Reconfigurable Hardware in 5G (Invited)
- auteur
- F. Civerchia, Maxime Pelcat, P. Castoldi, L. Valcarenghi
- article
- 2019 IEEE Photonics Society Summer Topical Meeting Series, SUM 2019, Jul 2019, Fort Lauderdale, United States. pp.8795003, ⟨10.1109/PHOSST.2019.8795003⟩
- Accès au bibtex
-
- titre
- Beyond LoRa and NB-IoT: Proposals for Future LPWA Systems
- auteur
- Faouzi Bader, Carlos Faouzi Bader
- article
- 2éme edition Workshop Smart Campus Du Capteur à la décision Tendances et challenges des systèmes IoT, Jul 2019, Bordeaux, France
- Accès au bibtex
-
- titre
- Experimental Evaluation of Probabilistic Execution-Time Modeling and Analysis Methods for SDF Applications on MPSoCs
- auteur
- Ralf Stemmer, Hai-Dang Vu, Kim Grüttner, Sébastien Le Nours, Wolfgang Nebel, Sébastien Pillement
- article
- International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), Jul 2019, Samos, Greece. paper #28
- Accès au bibtex
-
- titre
- System-Level Modeling and Simulation of MPSoC Run-Time Management using Execution Traces Analysis
- auteur
- Simei Yang, Sébastien Le Nours, Maria Mendez Real, Sébastien Pillement
- article
- International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), Jul 2019, Samos, Greece. paper #49
- Accès au texte intégral et bibtex
-
- titre
- Modeling Nested for Loops with Explicit Parallelism in Synchronous DataFlow Graphs
- auteur
- Alexandre Honorat, Karol Desnos, Maxime Pelcat, Jean-François Nezan
- article
- Embedded Computer Systems: Architectures, Modeling, and Simulation, Jul 2019, Pythagorion, Samos Island, Greece. pp.269-280, ⟨10.1007/978-3-030-27562-4_19⟩
- Accès au texte intégral et bibtex
-
- titre
- Phoenix cells reduced database construction for efficient reflectarray synthesis
- auteur
- Andrea Guarriello, Renaud Loison, George Goussetis, Daniele Bresciani, Hervé Legay
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2019, Atlanta, United States
- Accès au texte intégral et bibtex
-
- titre
- Modeling of circularly-polarized CTS arrays
- auteur
- M. del Mastro, F. Foglia Manzillo, M. Smierzchalski, D. Gonzalez-Ovejero, P. Pouliguen, P. Potier, R. Sauleau, M. Ettorre
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, APSURSI 2019, Jul 2019, Atlanta, United States. pp.1227-1228, ⟨10.1109/APUSNCURSINRSM.2019.8889314⟩
- Accès au bibtex
-
- titre
- Design of a quasi-optical Si/GaAs W-band beam-forming metasurface antenna
- auteur
- O. Yurduseven, C. Lee, N. Chahat, D. Gonzalez-Ovejero, M. Ettorre, R. Sauleau, G. Chattopadhyay
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, APSURSI 2019, Jul 2019, Atlanta, United States. pp.1713-1714, ⟨10.1109/APUSNCURSINRSM.2019.8888637⟩
- Accès au bibtex
-
- titre
- High gain constrained lens antenna on BCB substrate for 300-GHz applications
- auteur
- A. Mahmoud, D. Gonzalez-Ovejero, M. Ettorre, R. Sauleau, F. Aniel, N. Zerounian, A.-S. Grimault-Jacquin
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, APSURSI 2019, Jul 2019, Atlanta, United States. pp.507-508, ⟨10.1109/APUSNCURSINRSM.2019.8888899⟩
- Accès au bibtex
-
- titre
- Hardware/Software self-adaptation in CPS The CERBERO project approach
- auteur
- F. Palumbo, T. Fanni, C. Sau, A. Rodríguez, D. Madronal, Karol Desnos, A. Morvan, Maxime Pelcat, C. Rubattu, R. Lazcano, L. Raffo, E. de La Torre, E. Juarez, C. Sanz, P. Sánchez de Rojas
- article
- 19th International Conference on Embedded Computer Systems Architectures, Modeling, and Simulation, SAMOS 2019, Jul 2019, Pythagorion, Greece. pp.416-428, ⟨10.1007/978-3-030-27562-4_30⟩
- Accès au bibtex
-
- titre
- 2D physical optics analysis of the focal region of parallel-plate waveguide lenses
- auteur
- T. Strober, M. Ettorre
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, APSURSI 2019, Jul 2019, Atlanta, United States. pp.781-782, ⟨10.1109/APUSNCURSINRSM.2019.8889283⟩
- Accès au bibtex
-
- titre
- Metal-only modulated metasurface antenna for cubesat platforms
- auteur
- D. Gonzalez-Ovejero, A. Mahmoud, Xavier Morvan, M. Ettorre, R. Sauleau, S. Maci, G. Chattopadhyay, N. Chahat
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, APSURSI 2019, Jul 2019, Atlanta, United States. pp.1709-1710, ⟨10.1109/APUSNCURSINRSM.2019.8889163⟩
- Accès au bibtex
-
- titre
- Design of Polarizing Cells for Broadband Reflectors
- auteur
- Samara Gharbieh, Maria Garcia-Vigueras, Renaud Loison, Ali Harmouch, Akil Jrad
- article
- 2019 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2019, Atlanta, GA, United States. ⟨10.1109/APUSNCURSINRSM.2019.8889075⟩
- Accès au texte intégral et bibtex
-
- titre
- Peculiarities of hyperspectral image lossy compression for sub-band groups
- auteur
- A. Zemliachenko, O. Ieremeiev, V. Lukin, B. Vozel
- article
- 2nd IEEE Ukraine Conference on Electrical and Computer Engineering, UKRCON 2019, Jul 2019, Lviv, Ukraine. pp.918-923, ⟨10.1109/UKRCON.2019.8880030⟩
- Accès au bibtex
-
- titre
- Dielectric wire wrapped in graphene layer with a slot as a plasmonic absorber of THz waves
- auteur
- S.V. Dukhopelnykov, M.G. Vigueras, R. Sauleau
- article
- 2nd IEEE Ukraine Conference on Electrical and Computer Engineering, UKRCON 2019, Jul 2019, Lviv, Ukraine. pp.766-770, ⟨10.1109/UKRCON.2019.8879886⟩
- Accès au bibtex
-
- titre
- Diffraction électromagnétique 3D par une surface rugueuse métallique par décomposition de domaines
- auteur
- Christophe Bourlier, Yunniel Arencibia Noa, Gildas Kubické, Sami Bellez
- article
- ENVIREM 2019 : Influence de l’environnement électromagnétique : quels enjeux en termes de modélisations et systèmes de mesures ?, ONERA, Jul 2019, Palaiseau, France
- Accès au bibtex
-
- titre
- Propagation Radar dans un environnement marin : Modelisation et simulation avec MatLab
- auteur
- Christophe Bourlier
- article
- ENVIREM 2019 : Influence de l’environnement électromagnétique : quels enjeux en termes de modélisations et systèmes de mesures ?, ONERA, Jul 2019, Palaiseau, France
- Accès au bibtex
-
- titre
- SHIP AND SEA-ICE DISCRIMINATION USING SUB-SPECTRA STRATEGY AND SINGLE POLARIMETRIC SAR IMAGERY
- auteur
- Canbin Hu, Deliang Xiang, Zuoyang Zhong, Laurent Ferro-Famil, Yué Huang
- article
- IEEE International Geoscience and Remote Sensing Symposium, Jul 2019, Yokohama, Japan
- Accès au bibtex
-
- titre
- On the impact of the covariance matrix size for spectrum sensing methods:beamforming versus eigenvalues
- auteur
- Kais Bouallegue, Matthieu Crussière, Iyad Dayoub
- article
- 24th IEEE Symposium on Computers and Communications (ISCC 2019), Jun 2019, Barcelona, Spain. pp.95-99, ⟨10.1109/ISCC47284.2019.8969741⟩
- Accès au bibtex
-
- titre
- A new method for calibration of the spatial distribution of light positions in free-form RTI acquisitions
- auteur
- Yuly Castro, Gilles Pitard, Gaëtan Le Goïc, Vincent Brost, Alamin Mansouri, Anthony Pamart, Jean-Marc Vallet, Livio De Luca
- article
- SPIE Optical Metrology, 2019, Munich, Germany, Jun 2019, Munich, Germany. pp.38, ⟨10.1117/12.2527504⟩
- Accès au bibtex
-
- titre
- A New PolTomSAR Decomposition Applied To Vegetated Areas In 3D Imagery
- auteur
- Ray Abdo, Laurent Ferro-Famil
- article
- 2019 ESA NRSCC Dragon 4 symposium, Jun 2019, Ljubjana, Slovenia
- Accès au bibtex
-
- titre
- Solutions for Spaceborne 3-D Characterisation of Forests using Spaceborne SAR Sensors
- auteur
- Laurent Ferro-Famil, Yue Huang, S. Tebaldini
- article
- 2019 ESA NRSCC Dragon 4 symposium, Jun 2019, Ljubjana, Slovenia
- Accès au bibtex
-
- titre
- A Frequency-Reconfigurable Printed Antenna with Switchable Capacitively Loaded Loops
- auteur
- S. Dakhli, Jean Marie Floc’h, Fethi Choubani
- article
- International Wireless Communications and Mobile Computing Conference (IWCMC), Jun 2019, Tangier, Morocco. ⟨10.1109/IWCMC.2019.8766771⟩
- Accès au bibtex
-
- titre
- Efficient Arbitrary Sample Rate Conversion for Multi-Standard Digital Front-Ends
- auteur
- Ali Zeineddine, Stéphane Paquelet, Amor Nafkha, Pierre-Yves Jezequel, Christophe Moy
- article
- IEEE NEWCAS Conference 2019, Jun 2019, Munich, Germany. ⟨10.1109/NEWCAS44328.2019.8961230⟩
- Accès au texte intégral et bibtex
-
- titre
- Following the mechanisms of a single water droplet drying by means of photonic resonant structure
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Hervé Cormerais, Octave Pin, Quentin Liddell, Jean-Charles Potier, Bruno Bêche
- article
- CLEO Europe - EQEC, Jun 2019, Munich, Germany
- Accès au texte intégral et bibtex
-
- titre
- How to bring machine learning in industrial networks?
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux
- article
- Fifth Sino-French Workshop on Information and Communication Technologies, SIFWICT 2019, Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Autonomous, Short range Communication device
- auteur
- Ammar Ahmed, Jean-François Diouris, Jean-Yves Baudais
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- A solution to diagonal property loss phenomenon in coprime sampling
- auteur
- Zhe Fu, Pascal Chargé, Yide Wang
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Measurement Analysis of Active Standing Wave Ratio on Networks with a Four-Port Vector Network Analyzer
- auteur
- Irfan Ali Tunio, Yann Mahé, Tchanguiz Razban, Bruno Froppier
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Performance evaluation of solar energy predictor for wireless sensors
- auteur
- Bingying Li, Yuehua Ding, Yide Wang
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Matériaux transparents et conducteurs en couches minces pour vitrages communicants
- auteur
- Xavier Castel
- article
- Journée Académique de l'UdPPC Bretagne, Jun 2019, Saint-Brieuc, France
- Accès au bibtex
-
- titre
- RF-NoC cognitif pour les architectures manycore
- auteur
- Habiba Lahdhiri, Jordane Lorandel, Emmanuelle Bourdel
- article
- GDR SOC2, Jun 2019, Montpellier, France
- Accès au texte intégral et bibtex
-
- titre
- Variational Method to Shape Analytical Expressions of Time Dependent Eigenvalue Equations: Slab Waveguides Families
- auteur
- Lucas Garnier, Etienne Gaviot, Bruno Bêche
- article
- PIERS PhotonIcs & Electromagnetics Research Symposium, Jun 2019, Rome, Italy
- Accès au bibtex
-
- titre
- GNU Radio implementation for Multiuser Multi-Armed Bandit learning algorithms in IoT networks
- auteur
- Julio Manco-Vasquez, Christophe Moy, Faouzi Bader
- article
- European GNURadio Days 2019, Jun 2019, Besancon, France
- Accès au texte intégral et bibtex
-
- titre
- Study of the near field from an electro-optic leaky waveguide for application in all-optical analog-to-digital converters
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Jean-Pierre Vilcot, Hong Wu Li
- article
- PhotonIcs & Electromagnetics Research Symposium (PIERS), Jun 2019, Rome, Italy
- Accès au bibtex
-
- titre
- Beyond LoRa and NB-IoT: Proposals for Future LPWA Systems
- auteur
- Guillaume Vivier, Valérian Mannoni, Francois Dehmas, Vincent Berg, Lina Mroueh, Yi Yu, Diane Duchemin, Jean-Marie Gorce, Julio Manco-Vasquez, Faouzi Bader
- article
- EuCNC 2019 - European Conference on Networks and Communications, Jun 2019, Valencia, Spain. pp.523-527, ⟨10.1109/EuCNC.2019.8802007⟩
- Accès au texte intégral et bibtex
-
- titre
- Polymer Resonators for Thermodynamics Fatty Acids Changing State Detection
- auteur
- Lucas Garnier, Rigoberto Castro -Beltran, Arnaud Saint-Jalmes, Hervé Lhermite, Eric Gicquel, Hervé Cormerais, Anne-Laure Fameau, Aziz Ghoufi, Bruno Bêche
- article
- PIERS PhotonIcs & Electromagnetics Research Symposium, Jun 2019, Rome, Italy
- Accès au bibtex
-
- titre
- A Mimo Radar for Vital Signs Recording
- auteur
- Giulia Sacco, S. Pisa
- article
- 2019 PhotonIcs & Electromagnetics Research Symposium - Spring (PIERS-Spring), Jun 2019, Rome, France. pp.387-393, ⟨10.1109/PIERS-Spring46901.2019.9017283⟩
- Accès au bibtex
-
- titre
- A new method of dielectric characterization using a genetic algorithm and a coplanar waveguide on bilayer films
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Mathieu Halbwax, Massinissa Hadjloum, Jean-Pierre Vilcot, Hong Wu Li
- article
- PhotonIcs & Electromagnetics Research Symposium (PIERS), Jun 2019, Rome, Italy
- Accès au bibtex
-
- titre
- Large signal and analytic non-linear modelling of GaN HEMT-based varactors
- auteur
- A. Hamdoun, Mohamed Himdi, L. Roy, O. Lafond
- article
- 2019 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2019, Jun 2019, Xi'an, China. pp.8754408, ⟨10.1109/EDSSC.2019.8754408⟩
- Accès au bibtex
-
- titre
- Managing flexibility of power consumption of smart buildings on microgrid
- auteur
- Yang Chen, Hervé Guéguen, Xiuli Wang
- article
- IFAC Workshop on Control of Smart Grid and Renewable Energy Systems CSGRES 2019, Jun 2019, Jeju, South Korea. pp.383-388, ⟨10.1016/j.ifacol.2019.08.240⟩
- Accès au bibtex
-
- titre
- Resilience in energy management system: A study case
- auteur
- Jesse-James Prince A., Pierre Haessig, Romain Bourdais, Hervé Guéguen
- article
- IFAC Workshop on Control of Smart Grid and Renewable Energy Systems CSGRES 2019, Jun 2019, JEJU, South Korea. pp.395-400, ⟨10.1016/j.ifacol.2019.08.242⟩
- Accès au bibtex
-
- titre
- (Sr,La)-(Ta,Ti)-O-N perovskite oxide and oxynitride films: influence of the deposition and annealing conditions on the structure and electrical properties
- auteur
- Laurent Le Gendre, Claire Le Paven, Mohamad Haydoura, Ratiba Benzerga, Florent Marlec, Ala Sharaiha, Franck Tessier, François Cheviré
- article
- 10th International Symposium on NiTrides (ISNT 2019), Jun 2019, Barcelone, Spain
- Accès au bibtex
-
- titre
- Influence of the substrate on the structural and photocatalytic properties of oxynitride SrTaO2N films
- auteur
- Claire Le Paven, Laurent Le Gendre, Ahmed Ziani, Ratiba Benzerga, Florent Marlec, Mohamad Haydoura, Franck Tessier, François Cheviré, Ala Sharaiha, Kazuhiro Takanabe
- article
- 10th International Symposium on NiTrides (ISNT 2019), 9-13 june 2019, Barcelona, Spain, Jun 2019, Barcelone, Spain
- Accès au bibtex
-
- titre
- Cellule élémentaire contrôlée optiquement pour intégration dans les antennes réseaux transmetteurs en bande X
- auteur
- Romain Cané, Ronan Sauleau, Mehdi Alouini
- article
- Journée du Club Optique et Micro-ondes (JCOM 2019), Jun 2019, Brest, France
- Accès au bibtex
-
- titre
- Antenna array on PCB compatible with photomixing technology
- auteur
- A. Pascual, M. Ali, Luis Enrique Garcia-Munoz, Guillermo Carpintero, F. van Dijk, David Gonzalez-Ovejero, Ronan Sauleau
- article
- Journée du Club Optique Micro-Ondes, Jun 2019, Brest, France
- Accès au bibtex
-
- titre
- Spectroscopie diélectrique pour les matériaux ferroélectriques
- auteur
- Caroline Borderon, Raphaël Renoud, Hartmut W Gundel
- article
- 2e Colloque Français sur la Spectroscopie Diélectrique appliquée aux Matériaux, INSA, Jun 2019, Lyon, France
- Accès au bibtex
-
- titre
- Perceptual Evaluation of Adversarial Attacks for CNN-based Image Classification
- auteur
- Sid Ahmed Fezza, Yassine Bakhti, Wassim Hamidouche, Olivier Deforges
- article
- 11th International Conference on Quality of Multimedia Experience (QoMEX), Jun 2019, Berlin, Germany
- Accès au bibtex
-
- titre
- Visual Security Assessment of Selective Video Encryption
- auteur
- Sid Ahmed Fezza, Wassim Hamidouche, Reda Abdellah Kamraoui, Olivier Deforges
- article
- 11th International Conference on Quality of Multimedia Experience (QoMEX), Jun 2019, Berlin, Germany. ⟨10.1109/QoMEX.2019.8743284⟩
- Accès au bibtex
-
- titre
- Comparison of Surrogate Models for Extreme Quantile Estimation in the Context of EMC Risk Analysis
- auteur
- T. Houret, Philippe Besnier, S Vauchamp, Philippe Pouliguen
- article
- Asia-Pacific EMC Symposium, Jun 2019, Sapporo, Japan
- Accès au texte intégral et bibtex
-
- titre
- Microcontroller Sensitivity to Fault-Injection Induced by Near-Field Electromagnetic Interference
- auteur
- Ludovic Claudepierre, Philippe Besnier
- article
- APEMC 2019 - Asia-Pacific International Symposium on Electromagnetic Compatibility, Jun 2019, Sapporo, Japan. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Structural health monitoring of civil engineering structures using GPR detection of patch antenna resonance frequency changes
- auteur
- Théo Richard, Amine Ihamouten, Mohamed Latrach, Xavier Dérobert, David Guilbert, Hartmut Gundel, Caroline Borderon
- article
- IWAGPR 2019, Jun 2019, The Hague, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Transparent and conducting films for microwave applications: towards transparent antennas with high performance
- auteur
- Xavier Castel, Mohamed Himdi
- article
- CCMR 2019 "Collaborative Conference on Materials Research", Jun 2019, Goyang/Gyeonggi, South Korea. pp.42-44
- Accès au bibtex
-
- titre
- Design of a novel Comact ans Superdirective Two and Three Elements Antenna Array
- auteur
- S. Dakhli, Jean Marie Floc’h, F. Choubani, Hatem Rmili
- article
- 41 st PIERS, Jun 2019, Rome, Italy
- Accès au bibtex
-
- titre
- Decentralized Spectrum Learning for IoT Wireless Networks Collision Mitigation
- auteur
- Christophe Moy, Lilian Besson
- article
- ISIoT 2019 - 1st International Workshop on Intelligent Systems for the Internet of Things, May 2019, Santorin, Greece
- Accès au texte intégral et bibtex
-
- titre
- Traitement Optoélectronique de Signaux de Résonance : Nano-sondes de Lumière
- auteur
- Octave Pin, Quentin Liddell, Hervé Cormerais, Lucas Garnier, Herve Lhermite, Bruno Bêche
- article
- Journée Projets Centrale/SupElec France, May 2019, Rennes, France
- Accès au bibtex
-
- titre
- Investigation of low temperature inductively coupled plasma chemical vapor deposition for flexible electronics
- auteur
- K. Yang, O. De Sagazan, Laurent Pichon, Anne-Claire Salaün, N. Coulon
- article
- E-MRS 2019 Spring Meeting, May 2019, Nice, France
- Accès au bibtex
-
- titre
- Tunable leaky-wave antenna based on ferroelectric KTa0.5Nb0.5O3 thin film
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- E-MRS 2019 Spring Meeting “European Material Research Society”, May 2019, Nice, France. 1 pp
- Accès au bibtex
-
- titre
- Substrate influence on structural and dielectric properties at microwaves of KNN thin films on R-cut sapphire and (100) MgO
- auteur
- Barthélemy Aspe, Fatou Cissé, Xavier Castel, Stéphanie Députier, Valérie Demange, Sophie Ollivier, Valérie Bouquet, Ronan Sauleau, Dominique Cros, Valérie Madrangeas, Damien Passerieux, Maryline Guilloux-Viry
- article
- E-MRS 2019 Spring Meeting “European Material Research Society”, May 2019, Nice, France. 1 pp
- Accès au bibtex
-
- titre
- PLD growth of tetragonal tungsten bronze phase thin films in the K-Na-Nb-O system: structural and dielectric characterizations
- auteur
- Barthélemy Aspe, Valérie Demange, Xavier Castel, Quentin Simon, Mustapha Zaghrioui, Kevin Nadaud, Stéphanie Députier, Francis Gouttefangeas, Ronan Sauleau, Maryline Guilloux-Viry
- article
- E-MRS 2019 Spring Meeting “European Material Research Society”,, May 2019, Nice, France. 1 pp
- Accès au bibtex
-
- titre
- Exploiting reconfigurable computing in 5G A case study of latency critical function Invited Paper
- auteur
- F. Civerchia, P. Castoldi, L. Valcarenghi, Maxime Pelcat
- article
- 20th IEEE International Conference on High Performance Switching and Routing, HPSR 2019, May 2019, Xi'an, China. pp.8808120, ⟨10.1109/HPSR.2019.8808120⟩
- Accès au bibtex
-
- titre
- High-Resolution Adaptive 3-D Urban Reconstruction Using Frugal Polarimetric Tomographic SAR Focusing Techniques
- auteur
- Yué Huang, Laurent Ferro-Famil
- article
- Joint Urban Remote Sensing Event (JURSE), May 2019, Vannes, France. pp.8128-8131, ⟨10.1109/JURSE.2019.8809007⟩
- Accès au bibtex
-
- titre
- Using Deep Q-learning To Prolong the Lifetime of Correlated Internet of Things Devices
- auteur
- Jernej Hribar, Andrei Marinescu, George A Ropokis, Luiz A Dasilva
- article
- IEEE International Conference on Communications (ICC), Workshop on IoT, May 2019, Shanghai, China. ⟨10.1109/ICCW.2019.8756759⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time Image Denoising with Embedded Deep Learning: Review, Perspectives and Application to Information System Security
- auteur
- Florian Lemarchand, Erwan Nogues, Maxime Pelcat
- article
- RESSI19, May 2019, Erquy, France
- Accès au texte intégral et bibtex
-
- titre
- Excitation d’une unique onde de fuite dans une antenne Fabry-Perot permettant la génération de polarisation circulaire sur une large bande
- auteur
- A. Calleau, M. García-Vigueras, Hervé Legay, Ronan Sauleau, Mauro Ettorre
- article
- 21èmes Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Réseaux réflecteurs reconfigurables mécaniquement
- auteur
- C Benteyn, Erwan Fourn, R. Gillard, E Girard, G Goussetis², L Datashvili
- article
- 21èmes Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Réseaux transmetteurs à reconfiguration électronique de faisceau en bande Ka
- auteur
- Antonio Clemente, L. Di Palma, Fatimata Diaby, Laurent Dussopt, Trung Kien Pham, Ronan Sauleau
- article
- 21èmes Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Conception et synthèse d'un réseau d'antennes en bande C
- auteur
- Seydouba Fofana, Benjamin Fuchs, Franck Colombel, Stephane Avrillon, Sébastien Palud
- article
- Journées Nationales Microondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Analyse et Modélisation d'une Structure 3D Sélective en Fréquence Combinant un Tronçon de Guide et un Résonateur Plié
- auteur
- P Le Bihan, M. García-Vigueras, Erwan Fourn, R. Gillard, Isabelle Le Roy Naneix, Stefan Varault, Christian Renard
- article
- 21èmes Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Utilisation du moment angulaire orbital d'une onde EM pour la mesure de la vitesse de rotation intrinsèque d'un objet
- auteur
- Christian Brousseau, Axel Azizé-Guilbert, Kourosh Mahdjoubi, Olivier Emile
- article
- 21èmes Journées Nationales Microondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Système Radar MIMO en Bande C Pour la Caractérisation à Haute Résolution de Volumes Complexes
- auteur
- Lekhmissi Harkati, Stephane Avrillon, Laurent Ferro-Famil
- article
- Journées Nationales Microondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Caractérisation Rapide d'Antennes par Utilisation des Harmoniques Sphériques Vectorielles
- auteur
- Nicolas Mézières, Benjamin Fuchs, Laurent Le Coq
- article
- Journées Nationales Microondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Antenne métasurface en cavité à polarisation circulaire pour applications GNSS
- auteur
- Laura Garcia-Gamez, Loic Bernard, Ronan Sauleau, Sylvain Collardey, Kouroch Mahdjoubi, Philippe Pouliguen, Patrick Potier
- article
- 21èmes Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Réseaux réflecteurs reconfigurables mécaniquement
- auteur
- C Benteyn, E. Fourn, R. Gillard, E Girard, G Goussetis, L Datashvili
- article
- XXIèmes journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Absorbants planaires hybrides : vers l’optimisation de l’épaisseur
- auteur
- Aicha El Assal, Ratiba Benzerga, Hanadi Breiss, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- JNM 2019 “21èmes Journées Nationales Microondes”, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Extended-SDIM : une technique efficace pour l’évaluation rigoureuse de la diffusion électromagnétique par une surface rugueuse 2D.
- auteur
- Yunniel Arencibia Noa, Christophe Bourlier, Sami Bellez, Gildas Kubicke
- article
- 21èmes Journées Nationales Microondes, May 2019, Caen, France. pp.jnm2019:238486
- Accès au bibtex
-
- titre
- Conception de cellules pour des polariseurs imprimées large bande opérant en réflexion
- auteur
- S Gharbieh, M. García-Vigueras, Renaud Loison, A. Harmouch, A. Jrad
- article
- XXIèmes Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Direct Synthesis of Quad-Band Band-Pass Filter by Frequency Transformation Methods
- auteur
- Yi Wu, Erwan Fourn, Philippe Besnier, Cédric Quendo
- article
- Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Spotting Micro-Expressions on Long Videos Sequences
- auteur
- Jingting Li, Catherine Soladie, Renaud Seguier, Su-Jing Wang, Moi Hoon Yap
- article
- 14th IEEE International Conference on Automatic Face and Gesture Recognition (FG), May 2019, Lille, France. ⟨10.1109/FG.2019.8756626⟩
- Accès au bibtex
-
- titre
- Etude de la propagation à 60 GHz en milieu indoor
- auteur
- Marwan El Hajj, Gheorghe I. Zaharia, Guy Grunfelder, Ghaïs El Zein, Hanna Farhat, Sawsan Sadek
- article
- XXIèmes Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Comparaison de critères permettant la comparaison de données entachées d'incertitude proposés par le Groupe de réflexion CDIIS du GdR Ondes
- auteur
- Amelie Litman, Antoine Roueff, B. Etchessahar, Philippe Besnier, Jean-Michel Geffrin, G. Maze-Merceur
- article
- Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- MEGC 2019-The Second Facial Micro-Expressions Grand Challenge
- auteur
- John See, Moi Hoon Yap, Jingting Li, Xiaopeng Hong, Su-Jing Wang
- article
- 14th IEEE International Conference on Automatic Face and Gesture Recognition (FG), May 2019, Lille, France. ⟨10.1109/FG.2019.8756611⟩
- Accès au bibtex
-
- titre
- Antenne monopôle planétaire compacte pour des applications dans la bande ISM 2,45 GHz
- auteur
- Mohsen Koohestani, Jérôme Tissier, Mohamed Latrach
- article
- 21ème journées nationales Micro-Ondes, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Conception d'un Réseau d'Antennes Dipôles pour la Radiolocalisation de Téléphones Portables à partir de Drones
- auteur
- Jean Marie Floc’h
- article
- JNM 2019, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Réseaux d'antennes patchs à 60 GHz optiquement transparents
- auteur
- Alexis Martin, Olivier Lafond, Mohamed Himdi, Xavier Castel
- article
- JNM 2019 “21èmes Journées Nationales Microondes”, May 2019, Caen, France. AP 01 (4 pp.)
- Accès au bibtex
-
- titre
- Conception et réalisation par impression 3D d'une antenne à ondes de fuite avec faibles lobes secondaires
- auteur
- A. Dorle, R. Gillard, E. Menargues, M. van Der Vorst, E. de Rijk, P. Martin-Iglesias, M. García-Vigueras
- article
- XXIèmes Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Excitation d'une Unique Onde de Fuite dans une Antenne Fabry- Perot Permettant la Génération de Polarisation Circulaire sur une Large Bande
- auteur
- Antoine Calleau, M. García-Vigueras, H. Legay, R. Sauleau, M. Ettorre
- article
- XXIèmes Journées Nationales Microondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- Réseau de dipôles imprimés bi-bande et compact
- auteur
- Jean Marie Floc’h
- article
- JNM 2019, May 2019, CAEN, France
- Accès au bibtex
-
- titre
- Réduction des réflexions parasites produites par des panneaux à modulation périodique d'impédance de surface
- auteur
- H. Haddad, Renaud Loison, R. Gillard, A. Harmouch, A. Jrad
- article
- Journées Nationales Micro-ondes, May 2019, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- ESA EE-9 SKIM airborne demonstrator: first results
- auteur
- A. Martin, L. Marié, F. Boy, F. Collard, F. Nouguier, Danièle Hauser, J.-C. Lalaurie, S. Méric, Fabrice Ardhuin, T. Casal
- article
- Living Planet Symposium, ESA, May 2019, Milan, Italy
- Accès au bibtex
-
- titre
- Portable wide-band MIMO tomographic radar system for in situ high-resolution 3-D imaging at C band
- auteur
- Lekhmissi Harkati, Stéphane Avrillon, Laurent Ferro-Famil
- article
- Living planet symposium, May 2019, Milan, Italy
- Accès au bibtex
-
- titre
- Boreal Forest Above Ground Biomass Retrieval From P-band Tomographic Intensity Data
- auteur
- Erik Blomberg, Lars Ulander, Laurent Ferro-Famil, Stefano Tebaldini
- article
- Living planet symposium, May 2019, Milan, Italy
- Accès au bibtex
-
- titre
- PolSARpro-Biomass Edition : The new ESA polarimetric SAR data processing and educational toolbox for the future ESA & third party fully polarimetric SAR missions
- auteur
- E. Pottier, F Sarti, M. Fitrzyk, Jolanda Patruno
- article
- ESA Living Planet Symposium 2019, May 2019, Milan, Italy
- Accès au bibtex
-
- titre
- Refined analysis of a tropical forest using polarimetric tomographic SAR data acquired at P band
- auteur
- Laurent Ferro-Famil, Bassam El Hajj Chehade, Stefano Tebaldini, Dinh Ho Tong Minh, Thuy Le Toan, Ludovic Villard
- article
- Living planet symposium, May 2019, Milan, Italy
- Accès au bibtex
-
- titre
- Convex Energy Optimization of Streaming Applications for MPSoCs
- auteur
- E. Nogues, A. Mercat, F. Arrestier, Maxime Pelcat, Daniel Menard
- article
- 44th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom
- Accès au bibtex
-
- titre
- Random Forest Oriented Fast QTBT Frame Partitioning
- auteur
- Thomas Amestoy, Alexandre Mercat, Wassim Hamidouche, Cyril Bergeron, Daniel Menard
- article
- ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom. pp.1837-1841, ⟨10.1109/icassp.2019.8683413⟩
- Accès au bibtex
-
- titre
- Steps toward integration of zeolite-based thermal mass gas detectors to form electronic nose
- auteur
- Matthieu Denoual, Mathieu Pouliquen, Corentin Jorel, Didier Robbes, Julien Grand, Maxime Debost, Svetlana Mintova, Maxime Harnois, Olivier de Sagazan, Shu Inoue, Eric Lebrasseur, Kentaro Yamada, Yuki Okamoto, Yoshio Mita
- article
- 2019 Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS (DTIP), May 2019, Paris, France. pp.1-4, ⟨10.1109/DTIP.2019.8752802⟩
- Accès au bibtex
-
- titre
- ACCURACY EVALUATION BASED ON SIMULATION FOR FINITE PRECISION SYSTEMS USING INFERENTIAL STATISTICS
- auteur
- Justine Bonnot, Karol Desnos, Daniel Menard
- article
- 44th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom
- Accès au bibtex
-
- titre
- Ensuring Robust and Tissue-Independent Operation of Implantable, Ingestible, and Injectable Antennas
- auteur
- D. Nikolayev, W. Joseph, M. Zhadobov, Ronan Sauleau, L. Martens
- article
- 13th International Symposium on Medical Information and Communication Technology, ISMICT 2019, May 2019, Oslo, Norway. pp.8743831, ⟨10.1109/ISMICT.2019.8743831⟩
- Accès au texte intégral et bibtex
-
- titre
- Communication using the isolated power supply of gate drivers for SiC semiconductors monitoring applications
- auteur
- Julien Weckbrodt, Nicolas Ginot, Christophe Batard, Thanh Long Le
- article
- PCIM Europe, May 2019, Nuremberg, Germany
- Accès au bibtex
-
- titre
- CERBERO Cross-layer modEl-based fRamework for multi-oBjective dEsign of Reconfigurable systems in unceRtain hybRid envirOnments
- auteur
- F. Palumbo, T. Fanni, C. Sau, L. Pulina, L. Raffo, M. Masin, E. Shindin, P.S.D. Rojas, Karol Desnos, Maxime Pelcat, A. Rodríguez, E. Juarez, F. Regazzoni, G. Meloni, K. Zedda, H. Myrhaug, L. Kaliciak, J. Andriaanse, J.O. Filho, P. Munõz, A. Toffetti
- article
- 16th ACM International Conference on Computing Frontiers, CF 2019, Apr 2019, Alghero, Italy. pp.320-325, ⟨10.1145/3310273.3323436⟩
- Accès au bibtex
-
- titre
- NeuPow: artificial neural networks for power and behavioral modeling of arithmetic components in 45nm ASICs technology
- auteur
- Yehya Nasser, Carlo Sau, Jean-Christophe Prévotet, Tiziana Fanni, Francesca Palumbo, Maryline Hélard, Luigi Raffo
- article
- the 16th ACM International Conference, Apr 2019, Alghero, Italy. pp.183-189
- Accès au bibtex
-
- titre
- Demo Abstract: Spatial modulation based transmission using a reconfigurable antenna
- auteur
- Yvan Kokar, Kammel Rachedi, Abdel Ourir, Julien de Rosny, Dinh-Thuy Phan-Huy, Jean-Christophe Prévotet, Maryline Helard
- article
- 2019 IEEE INFOCOM, Apr 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Analytical performance of receive antenna shift keying with maximum ratio transmission and different detection algorithms
- auteur
- Ali Mokh, M. Helard, Matthieu Crussière
- article
- 89th IEEE Vehicular Technology Conference, VTC Spring 2019, Apr 2019, Kuala Lumpur, Malaysia. pp.8746409, ⟨10.1109/VTCSpring.2019.8746409⟩
- Accès au bibtex
-
- titre
- Sur les possibles détections et analyses de transitions de phases par sondes de lumière résonantes de substances actives en biologie, pharmacologie, agroalimentaire
- auteur
- Bruno Bêche, Lucas Garnier, V. Vié, Hervé Lhermite, Denis Morineau, Arnaud Saint-Jalmes, Hervé Cormerais
- article
- Journée scientifique : Science des aliments et valorisation des bioproduits, Apr 2019, Rennes, France
- Accès au bibtex
-
- titre
- FCS-MPC Current Control of Parallel Photovoltaic Grid Connected Inverter with Common AC and DC Buses
- auteur
- S. Bella, Azeddine Houari, A. Djerioui, M. Machmoum, A. Chouder, M-F. Benkhoris, K. Ghedamsi
- article
- 2019 6th International Conference on Control, Decision and Information Technologies (CoDIT), Apr 2019, Paris, France. pp.1138-1143, ⟨10.1109/CoDIT.2019.8820314⟩
- Accès au bibtex
-
- titre
- Structures photoniques résonantes pour des applications senseurs
- auteur
- Lucas Garnier, Hervé Lhermite, V. Vié, Hervé Cormerais, Arnaud Saint-Jalmes, Bruno Bêche
- article
- Journées IPR PhDay, Apr 2019, Rennes, France
- Accès au bibtex
-
- titre
- Implementation and Experimental Validation of Robust Numerical Control for DC-DC Buck Converter
- auteur
- Abdivall Maouloud, Moussa Boukhnifer, Cherif Larouci, Hichame Maanane, Fabien Simon
- article
- 2019 6th International Conference on Control, Decision and Information Technologies (CoDIT), Apr 2019, Paris, France. pp.912-917, ⟨10.1109/CoDIT.2019.8820464⟩
- Accès au texte intégral et bibtex
-
- titre
- Speed-up of SCA Attacks on 32-bit Multiplications
- auteur
- Robert Nguyen, Adrien Facon, Sylvain Guilley, Guillaume Gautier, Safwan El Assad
- article
- International Conference on Codes, Cryptology And Information Security, Apr 2019, Rabat, Morocco. pp.31-39
- Accès au bibtex
-
- titre
- Scattering and Absorption of the H-polarized Plane Wave of THz Range by a Circularly Curved Graphene Strip in the Free Space
- auteur
- S.V. Dukhopelnykov, M.G. Vigueras, Ronan Sauleau
- article
- 39th IEEE International Conference on Electronics and Nanotechnology, ELNANO 2019, Apr 2019, Kyiv, Ukraine. pp.78-81, ⟨10.1109/ELNANO.2019.8783556⟩
- Accès au bibtex
-
- titre
- Films piézoélectriques flexibles pour la récupération d’énergie
- auteur
- Raynald Seveno, Thibault Dufay, Benoit Guiffard, Julien Le Scornec, Mohammed El Gibari, Sabrina Carpy, Jean-Christophe Thomas, Pierre-Jean Cottinet, Mickaël Null Lallart, Lionel Petit, Vincent Le Cam
- article
- COFMER'03 - Colloque franco-maghrébin sur les énergies renouvelables, Apr 2019, Marrakech, Maroc
- Accès au bibtex
-
- titre
- GALEN: A Geometric Framework for Global Optimal Power Allocation in a Full Duplex D2D Network
- auteur
- Hussein Chour, Faouzi Bader, Youssef Nasser, Oussama Bazzi
- article
- IEEE Wireless Communications and Networking Conference (WCNC’2019), Apr 2019, Marrakech, Morocco. ⟨10.1109/WCNC.2019.8885846⟩
- Accès au texte intégral et bibtex
-
- titre
- GNU Radio Implementation of MALIN: "Multi-Armed bandits Learning for Internet-of-things Networks
- auteur
- Lilian Besson, Remi Bonnefoi, Christophe Moy
- article
- IEEE WCNC 2019 - IEEE Wireless Communications and Networking Conference, Apr 2019, Marrakech, Morocco. ⟨10.1109/WCNC.2019.8885841⟩
- Accès au texte intégral et bibtex
-
- titre
- Single Carrier with Index Modulation for Low Power Terabit Systems
- auteur
- Majed Saad, F. Bader, Jacques Palicot, Ali Al-Ghouwayel, Hussein Hijazi
- article
- IEEE Wireless Communications and Networking Conference (WCNC’2019), Apr 2019, Marrakech, Morocco. ⟨10.1109/WCNC.2019.8885529⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-Complexity Iterative Receiver for Orthogonal Chirp Division Multiplexing
- auteur
- Julio Manco-Vasquez, Faouzi Bader, Roberto Bomfin, Marwa Chafii, Gerhard Fettweis
- article
- 2019 IEEE Wireless Communications and Networking Conference Workshop (WCNCW), Apr 2019, Marrakech, France. pp.1-6, ⟨10.1109/WCNCW.2019.8902857⟩
- Accès au bibtex
-
- titre
- Optimal Power and Resource Allocation for Transmit Power Minimization in OFDMA-based NOMA Networks
- auteur
- Pierre Bélis, Rémi Bonnefoi, Haïfa Farès, Yves Louët
- article
- IEEE Wireless Communications and Networking Conference (WCNC’2019), Apr 2019, Marrakech, Morocco. ⟨10.1109/WCNC.2019.8885816⟩
- Accès au bibtex
-
- titre
- Analytical Framework for Joint Mode Selection and Power Allocation for Full Duplex D2D Network
- auteur
- Hussein Chour, Oussama Bazzi, F. Bader, Youssef Nasser
- article
- IEEE Wireless Communications and Networking Conference (WCNC’2019), Apr 2019, marrakech, Morocco. ⟨10.1109/WCNC.2019.8885736⟩
- Accès au texte intégral et bibtex
-
- titre
- Upper-Confidence Bound for Channel Selection in LPWA Networks with Retransmissions
- auteur
- Remi Bonnefoi, Lilian Besson, Julio Manco-Vasquez, Christophe Moy
- article
- The 1st International Workshop on Mathematical Tools and technologies for IoT and mMTC Networks Modeling, Philippe Mary, Samir Perlaza, Petar Popovski, Apr 2019, Marrakech, Morocco
- Accès au texte intégral et bibtex
-
- titre
- Tailoring Index-Modulation for uplink IoT and M2M Networks
- auteur
- Julio Manco-Vasquez, Marwa Chafii, F. Bader
- article
- IEEE Wireless Communications and Networking Conference (WCNC’2019), Apr 2019, Marrakech, Morocco. ⟨10.1109/WCNC.2019.8885713⟩
- Accès au texte intégral et bibtex
-
- titre
- Resonant probe light for various applications in soft matter and processes for biology, cosmetics, galenic pharmacology
- auteur
- Bruno Bêche, Lucas Garnier, Hervé Lhermite, Véronique Vié, Hervé Cormerais, Arnaud Saint-Jalmes, Fabrice Mahé, Huriye Akdas-Kilig
- article
- (Invitation) - International Symposium : 20 years of LPQM-IFR Alembert, ENS - Paris/Orsay, Apr 2019, Orsay-Paris, France
- Accès au bibtex
-
- titre
- On The Equivalence between Hybrid and Full Digital Beamforming in mmWave communications
- auteur
- Mohamed Shehata, Ali Mokh, Matthieu Crussière, Maryline Hélard, Patrice Pajusco
- article
- 26th International Conference on Telecommunications (ICT), Apr 2019, Hanoi, Vietnam
- Accès au bibtex
-
- titre
- Implementation of SCHC in NS-3 Simulator and Comparison with 6LoWPAN
- auteur
- Wael Ayoub, Fabienne Nouvel, Sarah Hmede, Abed Ellatif Samhat, Mohamad Mroue, Jean-Christophe Prévotet
- article
- 26th International Conference on Telecommunications (ICT), Apr 2019, HANOI, Vietnam
- Accès au texte intégral et bibtex
-
- titre
- Performance Study of MPA, Log-MPA and Max-Log-MPA for an Uplink SCMA Scenario
- auteur
- Wissal Ben Ameur, Philippe Mary, Marion Dumay, Jean-Francois Helard, Jean Schwoerer
- article
- 26th International Conference on Telecommunication (ICT 2019), Apr 2019, Hanoï, Vietnam
- Accès au bibtex
-
- titre
- On the Equivalence of Hybrid Beamforming to Full Digital Zero Forcing in mmWave MIMO
- auteur
- Mohamed Shehata, Ali Mokh, Matthieu Crussière, Maryline Hélard, Patrice Pajusco
- article
- 26th Internatinal Conference on Telecommunication (ICT 2019), Apr 2019, Hanoi, Vietnam
- Accès au texte intégral et bibtex
-
- titre
- Increase of DSTM Spectral Efficiency by the Extension of the Mathematical Weyl Group with Application to Differential MIMO Systems
- auteur
- I. Dawi, Gheorghe Zaharia, Y. Nasser, A. Khalil, J.-F. Helard
- article
- 26th International Conference on Telecommunications, ICT 2019, Apr 2019, Hanoi, Vietnam. pp.401-405, ⟨10.1109/ICT.2019.8798804⟩
- Accès au bibtex
-
- titre
- Implementation of SCHC in NS-3 and Comparison with 6LoWPAN
- auteur
- W. Ayoub, F. Nouvel, S. Hmede, A.E. Samhat, M. Mroue, Jean-Christophe Prévotet
- article
- 26th International Conference on Telecommunications, ICT 2019, Apr 2019, Hanoi, Vietnam. pp.432-436, ⟨10.1109/ICT.2019.8798782⟩
- Accès au bibtex
-
- titre
- Extended Space Shift Keying Modulation With Different Receiver Strategies
- auteur
- Ali Mokh, Maryline Hélard, Matthieu Crussière
- article
- International conference on telecommunication, Apr 2019, hanoi, Vietnam
- Accès au texte intégral et bibtex
-
- titre
- Satisfaction Indicators Taking into Account the Measurement and Computation Uncertainties for the Comparison of Data in Electromagnetics: Motivations and Scheduled Tasks of the French National Working Group CDIIS
- auteur
- G. Maze-Merceur, B. Etchessahar, Jean-Michel Geffrin, Amelie Litman, Antoine Roueff, Philippe Besnier
- article
- EUCAP 2019, Apr 2019, Krakow, Poland
- Accès au texte intégral et bibtex
-
- titre
- Estimation of Average Absorption Cross Section of a Skin Phantom in a mm-Wave Reverberation Chamber
- auteur
- Reza Aminzadeh, Jérôme Sol, Philippe Besnier, Maxim Zhadobov, Luc Martens, Wout Joseph
- article
- EUCAP 2019, Apr 2019, Krakow, Poland
- Accès au texte intégral et bibtex
-
- titre
- Vertical Refractivity profiles within the Marine Surface Boundary Layer
- auteur
- Jacques Claverie
- article
- EUCAP 2019, Apr 2019, CRACOVIE, Poland
- Accès au bibtex
-
- titre
- Reconfigurable slotted cylindrical waveguide and coaxial array antenna using plasma
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Hamsakutty Vettikalladi
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au texte intégral et bibtex
-
- titre
- Dual-Linearly Polarized Unit-Cell and Transmitarray at Ka-Band
- auteur
- Trung Kien Pham, Ronan Sauleau, Antonio Clemente
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Sidelobe Level Reduction in Ridged Leaky Waveguide Through Stereolithography
- auteur
- Aurélie Dorlé, Raphaël Gillard, Esteban Menargues, Maarten van Der Vorst, Emile de Rijk, Petronilo Martin-Iglesias, Maria Garcia-Vigueras
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Phase Noise Influence on Radar Signal Focusing and SAR Tomography
- auteur
- Lekhmissi Harkati, Stéphane Avrillon, Laurent Ferro-Famil
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Antenna Near-Field Interpolation Using Matrix Completion
- auteur
- Benjamin Fuchs, Laurent Le Coq, Marco Donald Migliore
- article
- EuCAP, Mar 2019, Cracovie, Poland
- Accès au bibtex
-
- titre
- Plasma antenna design for RCS reduction
- auteur
- M. T. Jusoh, Mohamed Himdi, O. Lafond, F. Colombel
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- V-Band Beam-Switching Transmitarray Antenna for 5G MIMO Channel Sounding
- auteur
- Tuyen Pham, Jialai Weng, Trung-Kien Pham, François Gallée, Ronan Sauleau
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Continuous Transverse Stub Antenna in PCB Technology
- auteur
- Thomas Potelon, Mauro Ettorre, Terry Bateman, Jim Francey, Ronan Sauleau
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Circularly-polarized CTS arrays
- auteur
- M. del Mastro, Francesco Foglia Manzillo, Maciej Smierzchalski, David González-Ovejero, Philippe Pouliguen, Patrick Potier, Ronan Sauleau, Mauro Ettorre
- article
- EuCAP 2019, Mar 2019, Cracovie, Poland
- Accès au bibtex
-
- titre
- Latest Achievements on Continuous Transverse Stub - Pillbox Antennas at IETR
- auteur
- Thomas Potelon, Francesco Foglia Manzillo, Mauro Ettorre, Ronan Sauleau
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Design of Small Antennas with Optimized Embedded Loads Using the Characteristic Modes
- auteur
- Hussein Jaafar, Sylvain Collardey, Ala Sharaiha
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Circularly Polarized Parallel Plate Waveguide Multiple-Beam Lens-like Antenna for Satcom Applications
- auteur
- Nicola Bartolomei, Maria Garcia-Vigueras, François Doucet, Darwin Blanco, Etienne Girard, Ronan Sauleau, Mauro Ettorre
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Leaky-Wave Antenna Array on BCB at Submillimeter Frequency Bands
- auteur
- Adham Mahmoud, D. Gonzalez-Ovejero, Mauro Ettorre, Ronan Sauleau
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Optimization of the use of magneto-dielectric materials for highly miniaturized monopole antennas
- auteur
- A. Kabalan, Ala Sharaiha, Anne-Claude Tarot
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- A Correcting Coupling Solution to Extend the Scanning Range of Large Printed Phased Arrays by Means of Identical Microstrip Lines Connecting the Sources Two by Two
- auteur
- A. Ayissi Manga, R. Gillard, Renaud Loison, I.L. Roy-Naneix, C. Renard
- article
- 13th European Conference on Antennas and Propagation, EuCAP 2019, Mar 2019, Krakow, Ukraine. pp.8739595
- Accès au bibtex
-
- titre
- Analysis of SIW-based Antennas with Arbitrary Slot Shapes
- auteur
- Matthieu Bertrand, Guido Valerio, Mauro Ettorre, Massimiliano Casaletti
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Circularly-Polarized Metasurface Antenna in Cavity for GNSS Applications
- auteur
- L. García-Gámez, L. Bernard, R. Sauleau, Sylvain Collardey, K. Mahdjoubi, P. Pouliguen, P. Potier
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Tissue mimicking materials for breast phantoms using waste oil hardeners
- auteur
- S. Di Meo, I. Iliopoulos, M. Pasian, M. Ettorre, L. Pasotti, M. Zhadobov, G. Matrone
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Mitigation of Parasitic Reflections over Periodic Surface Impedance Modulated Panels
- auteur
- Hassan Haddad, Renaud Loison, R. Gillard, A. Harmouch, A. Jrad
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- A Bistatic Method for Radar Cross Section Measurements in the Fresnel Region
- auteur
- Valentin Mihai, Razvan Tamas, Ala Sharaiha
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Study of Impedance Matching in Antenna Arrays Due to Total Radiation
- auteur
- Irfan Ali Tunio, Yann Mahé, Tchanguiz Razban, Bruno Froppier
- article
- European Conference on Antennas and Propagation, Mar 2019, Krakow, Poland. paper #1570488862
- Accès au bibtex
-
- titre
- Advanced Synthesis of Reflectarrays using a Spherical Mapping of the 2nd Order Phoenix Cell
- auteur
- V. Richard, R. Gillard, Renaud Loison, H. Legay, M. Romier, J. -P. Martinaud, D. Bresciani, F. Delepaux
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Electronically Reconfigurable Unit-Cell and Transmitarray in Dual-Linear Polarization at Ka-Band
- auteur
- Trung Kien Pham, Ronan Sauleau, Antonio Clemente, Laurent Dussopt
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Electronically-steerable transmitarray antennas for Ka-band
- auteur
- Antonio Clemente, L. Di Palma, Fatimata Diaby, Laurent Dussopt, Kien T. Pham, Ronan Sauleau
- article
- EuCAP 2019, Mar 2019, Varsovie, Poland
- Accès au bibtex
-
- titre
- Design of a Compact Four-Way Dual Polarization Orthomode Power Divider for Multiport Radiating Elements
- auteur
- Segolene Tubau, Charalampos Stoumpos, Jean -Philippe Fraysse, Adrien Cottin, Ronan Sauleau, Patrick Potier, Hervé Legay
- article
- 13th European Conference on Antennas and Propagation (EuCAP), Mar 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- RDO-Based Light Field Image Coding Using Convolutional Neural Networks and Linear Approximation
- auteur
- Nader Bakir, Wassim Hamidouche, Olivier Déforges, Khouloud Samrouth, Sid Ahmed Fezza, Mohamad Khalil
- article
- 2019 Data Compression Conference (DCC), Mar 2019, Snowbird, United States. pp.554-554, ⟨10.1109/dcc.2019.00066⟩
- Accès au bibtex
-
- titre
- Dynamic Lists for Efficient Coding of Intra Prediction Modes in the Future Video Coding Standard
- auteur
- Kevin Reuze, Wassim Hamidouche, Pierrick Philippe, Olivier Déforges
- article
- Data Compression Conference (DCC), Mar 2019, Snowbird, France. pp.601-601, ⟨10.1109/dcc.2019.00113⟩
- Accès au bibtex
-
- titre
- Sub-THz Spectrum as Enabler for 6G Wireless Communications up to 1 Tbit/s
- auteur
- Yoann Corre, Gregory Gougeon, Jean-Baptiste Doré, Simon Bicaïs, Benoit Miscopein, Emmanuel Faussurier, Majed Saad, Jacques Palicot, F. Bader
- article
- 6G Wireless Summit, Mar 2019, Levi Lapland, Finland
- Accès au texte intégral et bibtex
-
- titre
- Antennes Reconfigurables [2016]
- auteur
- Jean Marie Floc’h
- article
- Microwave & RF 2016, Mar 2019, Paris, France
- Accès au bibtex
-
- titre
- Antennes Reconfigurables [2019]
- auteur
- Jean Marie Floc’h
- article
- Microwave & RF, Mar 2019, Paris, France
- Accès au bibtex
-
- titre
- Image-based Ciphering of Video Streams and Object Recognition for Urban and Vehicular Surveillance Services
- auteur
- Karim Hammoudi, Mohammed Abu Taha, Halim Benhabiles, Mahmoud Melkemi, Feryal Windal, Safwan El Assad, Audrey Queudet
- article
- International Conference on Information and Computer Technology, Mar 2019, London, United Kingdom. 8 p
- Accès au bibtex
-
- titre
- Sparse signal detection with spatial diversity using multi-rate sampling
- auteur
- Esteban Selva, Apostolos Kountouris, Yves Louët
- article
- URSI AP-RASC, Mar 2019, New-Delhi, India. ⟨10.23919/ursiap-rasc.2019.8738211⟩
- Accès au bibtex
-
- titre
- IoTligent: First World-Wide Implementation of Decentralized Spectrum Learning for IoT Wireless Networks
- auteur
- Christophe Moy
- article
- URSI AP-RASC, Union des Radio Sciences Internationales, Mar 2019, New Delhi, India. ⟨10.23919/ursiap-rasc.2019.8738711⟩
- Accès au bibtex
-
- titre
- Small Antennas bandwidth enhancement Using Network Characteristic Modes
- auteur
- Ala Sharaiha
- article
- URSI Asia-Pacific Radio Science Conference (AP-RASC), Mar 2019, New Delhi, India
- Accès au bibtex
-
- titre
- Multilayer absorber based on carbon fibers loaded epoxy foam
- auteur
- A. El Assal, R. Benzerga, A. Sharaiha, A. Harmouch, A. Jrad
- article
- 2019 URSI Asia-Pacific Radio Science Conference, AP-RASC 2019, Mar 2019, New Delhi, India. pp.8738390, ⟨10.23919/URSIAP-RASC.2019.8738390⟩
- Accès au bibtex
-
- titre
- A Linear Decision Feedback Detector For SOQPSK
- auteur
- Rami Othman, Yves Louët, Alexandre Skrzypczack
- article
- URSI AP-RASC, Mar 2019, New-Delhi, India. ⟨10.23919/ursiap-rasc.2019.8738578⟩
- Accès au bibtex
-
- titre
- Enhance the impact of the magneto-dielectric materials to miniaturize a planar monopole antenna
- auteur
- A. Kabalan, A. Sharaiha, A.-C. Tarot
- article
- 2019 URSI Asia-Pacific Radio Science Conference, AP-RASC 2019, Mar 2019, New Delhi, India. pp.8738556, ⟨10.23919/URSIAP-RASC.2019.8738556⟩
- Accès au bibtex
-
- titre
- Optimal Power Allocation for Minimizing the Energy Consumption of a NOMA Base Station with Cell DTx
- auteur
- Rémi Bonnefoi, Haïfa Farès, Pierre Bélis, Yves Louet
- article
- URSI AP-RASC, Mar 2019, New-Delhi, India. ⟨10.23919/ursiap-rasc.2019.8738765⟩
- Accès au texte intégral et bibtex
-
- titre
- A comparison between Vivaldi and log-periodic antenna systems for radar cross section measurements in the Fresnel region
- auteur
- V. Mihai, R. Tamas, A. Sharaiha
- article
- 2019 International Workshop on Antenna Technology, iWAT 2019, Mar 2019, Miami, United States. pp.95-98, ⟨10.1109/IWAT.2019.8730614⟩
- Accès au bibtex
-
- titre
- Near-infrared printed organic photo-transistor based on PbS Quantum Dots
- auteur
- Zhi Tao, Wei Lei, Mohammed-Brahim Tayeb
- article
- 15th International Conference on Thin Film Transistors ITC 2019, Feb 2019, Naha, Japan
- Accès au bibtex
-
- titre
- Experimental and Modeling of OTFTs
- auteur
- Emmanuel Jacques, Zhi Tao, Wei Lei, Mohammed-Brahim Tayeb
- article
- 15th International Conference on Thin Film Transistors ITC 2019, Feb 2019, Naha, Japan
- Accès au bibtex
-
- titre
- Thin Film Technology devices studied for education purpose
- auteur
- Olivier Bonnaud
- article
- 15th International Thin-Film Transistor Conference (ITC'2019), T. Noguchi (Univ. Ryukyus, Japan), M. Furuta (Kochi Univ. Tech., Japan), Feb 2019, Naha (Okinawa), Japan
- Accès au bibtex
-
- titre
- Development of Digital Circuit using Inkjet Printed N-type Organic Field Effect Transistors
- auteur
- Moon Seungjae, Seongmin Park, Byungseong Bae, Mohammed-Brahim Tayeb, Maxime Harnois, Emmanuel Jacques
- article
- 15th International Conference on Thin Film Transistors ITC 2019, Feb 2019, Naha, Japan
- Accès au bibtex
-
- titre
- A survey on databases for facial micro-expression analysis
- auteur
- J. Li, Catherine Soladie, Renaud Seguier
- article
- 14th International Conference on Computer Vision Theory and Applications, VISAPP 2019 - Part of the 14th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, VISIGRAPP 2019, Feb 2019, Prague, Czech Republic. pp.241-248
- Accès au bibtex
-
- titre
- Sondes optiques résonantes pour des applications en agroalimentaires, biologie et cosmétique
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Hervé Cormerais, Eric Gicquel, Bruno Bêche
- article
- JNano (Journées Nanosciences), Feb 2019, Rennes, France
- Accès au bibtex
-
- titre
- Sparse Signal Analysis for Full Rank Polarimetric Reconstruction of Coherence Matrix T3
- auteur
- H. Aghababaee, Laurent Ferro-Famil, Giampaolo Ferraioli, Gilda Schirinzi
- article
- POLINSAR workshop, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- SARSIM and SARSIM+: data-bases for the development of SAR Tomography in forestry applications
- auteur
- S. Tebaldini, M. Mariotti d'Alessandro, Matteo Pardini, Laurent Ferro-Famil, Yué Huang, Kostas Papathanassiou, Lars Ulander, Erik Blomberg, Valentine Wasik, Xavier Dupuis, Marco Lavalle, Scott Hensley
- article
- POLINSAR workshop, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- On the Co-Cross Polarization Coherence over Sea Surface from Sentinel-1 TOPS Data
- auteur
- N. Longepe, Husson R., Mouche A., E. Pottier, Archer O.
- article
- POLINSAR 2019, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- Ambiguities in Poincare sphere orbit signatures of elementary scatterers under orthogonal transformations of the HV basis for dual-pol mode acquisition
- auteur
- D Ratha, Y.S Rao, E. Pottier
- article
- POLINSAR 2019, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- A PolSAR scattering power factorization framework using a geodesic distance
- auteur
- D Ratha, A. Bhattacharya, A. Frery, E. Pottier
- article
- POLINSAR 2019, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- PolSARpro-Bio Edition : The new ESA toolbox for ESA & third party fully PolSAR missions
- auteur
- E. Pottier, F Sarti, M. Fitrzyk, Jolanda Patruno
- article
- POLINSAR 2019, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2019, Frascati, Italy
- Accès au bibtex
-
- titre
- Sentinel-1 and Sentinel-2 time-series analysis of winter land use temporal behavior for agricultural application
- auteur
- J. Denize, Laurence Hubert-Moy, E. Pottier
- article
- ESA Living Planet Symposium, Jan 2019, Milan, Italy
- Accès au bibtex
-
- titre
- Influence sur les indices de localisation du beamforming et de la compression de dynamique dans les audioprothèses
- auteur
- Adrien Llave, Renaud Seguier
- article
- Gretsi, 2019, Lille, France
- Accès au bibtex
-
- titre
- OCAE: Organization-Controlled Autoencoder for Unsupervised Speech Emotion Analysis
- auteur
- Siwei Wang, Catherine Soladie, Renaud Seguier
- article
- International Conference on Frontiers of Signal Processing (ICFSP), 2019, Marseille, France
- Accès au bibtex
-
- titre
- Personalized Expression Synthesis Using a Hybrid Geometric-Machine Learning Method
- auteur
- Sarra Zaied, Catherine Soladie, Pierre-Yves Richard
- article
- International Conference on Image Analysis and Processing (ICIAP), 2019, Trento, Italy
- Accès au bibtex
-
- titre
- Wide Band Single-Pole Circularly-Polarized Fabry-Perot Antenna
- auteur
- Antoine Calleau, M. García-Vigueras, Hervé Legay, Ronan Sauleau, Mauro Ettorre
- article
- 2019 13th European Conference on Antennas and Propagation (EuCAP), 2019, Krakow, Poland
- Accès au bibtex
-
- titre
- Synthèse personalisée de l’expression de joie
- auteur
- Sarra Zaied, Catherine Soladie, Pierre-Yves Richard
- article
- Gretsi, 2019, Lille, France
- Accès au bibtex
-
Book sections
- titre
- Dual-Band Resonator Designs for Near-Field Wireless Energy Transfer Applications
- auteur
- Lai Ly Pon, Mohamed Himdi, Sharul Kamal Abdul Rahim, Chee Yen Leow
- article
- Wireless Energy Transfer Technology, Chapitre 3, IntechOpen, 2019
- Accès au bibtex
-
- titre
- Modulated Metasurface Antennas
- auteur
- Gabriele Minatti, David Gonzalez-Ovejero, Enrica Martini, Stefano Maci
- article
- Surface Electromagnetics, 1, Cambridge University Press, pp.231-271, 2019, ⟨10.1017/9781108470261.008⟩
- Accès au bibtex
-
- titre
- Detection of the Orbital Angular Momentum in Optics
- auteur
- Olivier Emile, Janine Emile, Christian Brousseau
- article
- A comprehensive guide to angular momentum, 2019
- Accès au texte intégral et bibtex
-
- titre
- New Vision in Microelectronics Education: Smart e-Learning and Know-How, A Complementary Approach
- auteur
- Olivier Bonnaud
- article
- Smart Education and e-Learning 2018, pp.267-275, 2019
- Accès au bibtex
-
- titre
- Fixed-point refinement of digital signal processing systems
- auteur
- Daniel Menard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
- article
- Digitally Enhanced Mixed Signal Systems, Chapter 1, The Institution of Engineering and Technology, pp.1-37, 2019, 978-1-78561-609-9. ⟨10.1049/PBCS040E_ch⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of Finite Word-Length Effects in Fixed-Point Systems
- auteur
- Daniel Ménard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
- article
- Shuvra S. Bhattacharyya. Handbook of Signal Processing Systems, pp.1063-1101, 2019, 978-3-319-91733-7. ⟨10.1007/978-3-319-91734-4_29⟩
- Accès au texte intégral et bibtex
-
- titre
- Dataflow Modeling for Reconfigurable Signal Processing Systems
- auteur
- Karol Desnos, Francesca Palumbo
- article
- Handbook of Signal Processing Systems, 3rd Edition, pp.787-824, 2019, ⟨10.1007/978-3-319-91734-4_22⟩
- Accès au texte intégral et bibtex
-
- titre
- Model-Based Programming for Multi-Processor Platforms with TTool/DIPLODOCUS and OMC
- auteur
- Andrea Enrici, Julien Lallet, Renaud Pacalet, Ludovic Apvrille, Karol Desnos, Imran Latif
- article
- Communications in Computer and Information Science, Model-Driven Engineering and Software Development, Springer, pp.56_81, 2019, ⟨10.1007/978-3-030-11030-7_4⟩
- Accès au bibtex
-
- titre
- Mandatory matching between microelectronics industry and higher education in engineering toward a digital society
- auteur
- O. Bonnaud
- article
- Uskov V.; Howlett R.; Jain L. (eds). Smart Education and e-Learning 2019, 144, Springer Science and Business Media Deutschland GmbH, pp.255-266, 2019, Smart Innovation, Systems and Technologies, ⟨10.1007/978-981-13-8260-4_24⟩
- Accès au texte intégral et bibtex
-
Habilitation à diriger des recherches
- titre
- Contributions to the Design of Reconfigurable Embedded Systems: from Modelling to Implementation
- auteur
- Jean-Christophe Prévotet
- article
- Hardware Architecture [cs.AR]. Université de Rennes1, 2019
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Subjective comparison of VVC and HEVC
- auteur
- Pierrick Philippe, Wassim Hamidouche, Jerome Fournier, Jean-Yves Aubié
- article
- 2019
- Accès au bibtex
-
- titre
- Deposition of transparent and conducting layers for various transparent devices applications at microwaves
- auteur
- Xavier Castel
- article
- 2019
- Accès au bibtex
-
- titre
- Animer un réseau, Guide pratique
- auteur
- Francoise Berthoud, Catherine Dematteis, Sandrine Lecart, Cécile Maréchal, Béatrice Montbroussous, Gilles N'Kaoua, Henri Valeins
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- A discrete chaos-based pseudo-random number generator for cryptographic applications
- auteur
- Zongchao Qiao, Safwan El Assad, Ina Taralova
- article
- 2019
- Accès au bibtex
-
- titre
- Welcome to the 16th European Radar Conference, EuRAD 2019
- auteur
- P. Eudeline, Claire Migliaccio, Jean-Yves Dauvignac, S. Meric
- article
- 2019, pp.1-108/8909460. ⟨10.23919/EuMIC.2019.8909460⟩
- Accès au bibtex
-
Books
- titre
- Embedded Computer Systems: Architectures, Modeling, and Simulation: 19th International Conference, SAMOS 2019
- auteur
- Dionysios Pnevmatikatos, Maxime Pelcat, Matthias Jung
- article
- 2019
- Accès au bibtex
-
- titre
- Shadowing Function from Randomly Rough Surfaces: Derivation and Applications
- auteur
- Christophe Bourlier, Hongkun Li
- article
- Scitech publishing. IET/The Institution of Engineering and Technology, 2019, 978-1-78561-535-1
- Accès au bibtex
-
Patents
- titre
- PROCEDE DE CONFIGURATION D'UN RESEAU D'ANTENNES ACTIVES ET RESEAU D'ANTENNES ACTIVES CONFIGURE SELON LEDIT PROCEDE
- auteur
- Seydouba Fofana, Sebastien Palud, Benjamin Fuchs, Franck Colombel, Stéphane Avrillon
- article
- France, N° de brevet: FR1912812. 2019
- Accès au bibtex
-
- titre
- RAST_Logiciel
- auteur
- Bruno Bêche, Hervé Cormerais, Lucas Garnier, Octave Pin, Quentin Liddell
- article
- France, N° de brevet: Déclaration Logiciel, IDDN FR 001420019000SP201900030640. 2019
- Accès au bibtex
-
- titre
- PROCEDE DE COMMUNICATION DANS UN DISPOSITIF ELECTRONIQUE DE COMMANDE RAPPROCHEE D'UN SYSTEME ELECTRIQUE
- auteur
- Julien Weckbrodt, Stéphane Azzopardi, Christophe Batard, Nicolas Ginot
- article
- France, N° de brevet: FR3077912. 2019
- Accès au bibtex
-
- titre
- Method for determining a sedimentation or creaming rate
- auteur
- Bruno Bêche, Hervé Lhermite, V. Vié, Lucas Garnier
- article
- France, N° de brevet: International n° PCT/EP2019/051103. 2019
- Accès au bibtex
-
- titre
- Lentille pour système antennaire
- auteur
- Antoine Jouadé, Mohamed Himdi, Olivier Lafond, Alexis Martin, Xavier Castel
- article
- France, N° de brevet: WO2019/020383. 2019
- Accès au bibtex
-
- titre
- Architecture d’estimation de canal et de décodage à sorties souples basée sur la décomposition PAM de la modulation SOQPSK dans le contexte d’un codage spatio-temporel
- auteur
- Rami Othman, Alexandre Skrzypczak, Yves Louet
- article
- France, N° de brevet: FR1900407. 2019
- Accès au bibtex
-
Poster communications
- titre
- Development of electronic nano-sensors for the detection of Escherichia coli
- auteur
- Y. Benserhir, Anne-Claire Salaün, S. Dutertre, Olivier Loréal, F. Geneste, Laurent Pichon, Anne Jolivet-Gougeon
- article
- 39ème RICAI (Réunion Interdisciplinaire de Chimiothérapie Anti-Infectieuse), Dec 2019, Paris, France
- Accès au bibtex
-
- titre
- Désinfection de Listeria monocytogenes sur couches minces de TiO2 par photocatalyse
- auteur
- Arwa Aboudalle, Marion Barthomeuf, Koceila Menana, Xavier Castel, Laurent Le Gendre, Justine Louis, Christine Pissavin
- article
- RNB 2019 ‘9ème colloque du Réseau National Biofilms’, Dec 2019, Nancy, France. 1 pp, 2019, Actes de colloque RNB 2019
- Accès au bibtex
-
- titre
- Hybrid polymer/piezoelectric oxide bilayer films for low frequency energy harvesting
- auteur
- Julien Le Scornec, Benoit Guiffard, Raynald Seveno, Vincent Le Cam
- article
- International Conference on Micro and Nanotechnology for Power Generation and Energy Conversion Applications (PowerMEMS 2019), Dec 2019, Kraków, Poland. , 2019
- Accès au bibtex
-
- titre
- Nano-capteurs à base de nanofils de silicium pour la détection de bactéries pathogènes
- auteur
- Y. Benserhir, Anne-Claire Salaün, N. Oliviero, F. Geneste, Laurent Pichon, A. Jolivet-Gougeon
- article
- Journées Nationales des Nanofils Semiconducteurs, Nov 2019, Lyon, France
- Accès au bibtex
-
- titre
- Ce poster présente une nouvelle modélisation asymptotique de la diffusion électromagnétique cohérente par des milieux multi-couches contenant des interfaces rugueuses. Elle résulte de l’extension de l’approximation de Kirchhoff scalaire à un empilement d’interfaces. Ce modèle permet de simuler de façon rapide et réaliste le signal GPR (Ground Penetrating Radar), en prenant en compte la rugosité des interfaces du milieu multi-couches. Des comparaisons avec une méthode numérique de référence basée sur la méthode des moments (MdM) permettent de valider ce modèle.
- auteur
- Nicolas Pinel, Cédric Le Bastard, Christophe Bourlier
- article
- Conférence plénière biennale du GDR ONDES, Oct 2019, Gif-sur-Yvette, France. pp40-41, 2019
- Accès au texte intégral et bibtex
-
- titre
- First evidences of the flexoelectric responses of PEDOT-PSS polymers
- auteur
- Maria Saadeh, Pierre Frère, Benoit Guiffard
- article
- Sciences et Technologies des systèmes pi-conjugués (SPIC 2019), Oct 2019, Arras, France.
- Accès au bibtex
-
- titre
- Functional Verification of Hardware Dividers using Algebraic Model
- auteur
- Atif Yasin, Tiankai Su, Sébastien Pillement, Maciej Ciesielski
- article
- IFIP/IEEE International Conference on Very Large Scale Integration, Oct 2019, Cusco, Peru. , paper#109, 2019, VLSI-SoC 2019
- Accès au bibtex
-
- titre
- Low frequency flexible piezoelectric energy harvesters
- auteur
- Julien Le Scornec, Benoit Guiffard, Raynald Seveno, Vincent Le Cam
- article
- International Workshop on Piezoelectric Materials and Applications in Actuators 2019 (IWPMA2019), Oct 2019, Lyon, France. 2019
- Accès au bibtex
-
- titre
- Original stoichiometric perovskite films produced by controlled oxidation of oxynitride materials
- auteur
- Mohamad Haydoura, Claire Le Paven, Laurent Le Gendre, Ratiba Benzerga, Florent Marlec, François Cheviré, Franck Tessier, Alain Moréac, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Inorganic Foam Composites for Absorption in X Band Frequency Range
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Synthesis, Characterization, Modeling and Applications of Functional Porous Materials Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Glass Foam Composites for High Power Microwave Absorption
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Advances in Dielectric Materials and Electronic Devices Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Epoxy Foam based Composites for Anechoic Chamber Application: From Elaboration to a Dielectric Characterization
- auteur
- Ratiba Benzerga, Hanadi Breiss, Mathieu Badard, Chloé Mejean, Claire Le Paven, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Synthesis, Characterization, Modeling and Applications of Functional Porous Materials Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Bio-based Composite for Anechoic Chamber Absorbers Application
- auteur
- Ratiba Benzerga, Chloé Mejean, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Advances in Dielectric Materials and Electronic Devices Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Influence of fiber length and dispersion process on dielectric properties of carbon based composites
- auteur
- Ratiba Benzerga, Hanadi Breiss, Mathieu Badard, Chloé Mejean, Claire Le Paven, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, Advances in Dielectric Materials and Electronic Devices Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Genetic Algorithm for multilayer absorption performance optimization
- auteur
- Aicha El Assal, Ratiba Benzerga, Hanadi Breiss, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- MS&T19 “Materials Science and Technology International Conference”, Advances in Dielectric Materials and Electronic Devices Symposium, Sep 2019, Portland, Vatican City
- Accès au bibtex
-
- titre
- Broadband Microwave Absorber based on Bio-sourced Composite
- auteur
- Ratiba Benzerga, Chloé Mejean, Ala Sharaiha
- article
- MS&T19 “Materials Science and Technology International Conference”, 11th International Symposium on Green and Sustainable Technologies for Materials Manufacturing and Processing, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Foam Composites for Planar Absorber Application
- auteur
- Aicha El Assal, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- MS&T19 “Materials Science and Technology International Conference”, Synthesis, Characterization, Modeling and Applications of Functional Porous Materials Symposium, Sep 2019, Portland, United States
- Accès au bibtex
-
- titre
- Time delay and interface roughness estimation of pavements using modified MUSIC: experimental results
- auteur
- Sun Meng, Jingjing Pan, Cédric Le Bastard, Yide Wang, Nicolas Pinel
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Parallel Amplifiers Technique for LNA Design
- auteur
- Masoumeh Sabzi, Mahmoud Kamarei, Tchanguiz Razban, Yann Mahé
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Efficient Threshold based non-sample spaced sparse channel estimation in OFDM system
- auteur
- Hui Xie, Yide Wang, Guillaume Andrieux
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Estimation of Clustered MIMO Channel Parameters exploiting Channel Statistics
- auteur
- Ali Mohydeen, Yide Wang, Pascal Chargé, Oussama Bazzi
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- An Ambiguity-Solving Method for DOA Estimation with Unfolded Co-prime Arrays
- auteur
- Xiao Yang, Yide Wang, Pascal Chargé
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Performance evaluation of solar energy predictor for wireless sensors
- auteur
- Taoufik Bouguera, Jean-François Diouris, Jean-Jacques Chailloux, Guillaume Andrieux
- article
- Fifth Sino-French Workshop on Information and Communication Technologies (SIFWICT 2019), Jun 2019, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Ring resonator characterization at microwave frequencies of a novel soft dielectric
- auteur
- Tessnim Sghaier, Mohammed El Gibari, Benoit Guiffard
- article
- PhotonIcs & Electromagnetics Research Symposium (PIERS), Jun 2019, Rome, Italy. , 2019, PIERS 2019
- Accès au bibtex
-
- titre
- Etude d'un déflecteur électro-optique à base d’un guide à fuite sur polymères et d’un élément optique diffractif
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Mathieu Halbwax, Julien Le Meur, Stéphane Ginestar, Kevin Heggarty, Jean-Pierre Vilcot, Hong Wu Li
- article
- Journée du Club Optique Microondes, Jun 2019, Brest, France.
- Accès au bibtex
-
- titre
- Fabrication de nano-capteurs électroniques pour la détection de bactéries pathogènes
- auteur
- Y. Benserhir, Anne-Claire Salaün, A. Jolivet-Gougeon, Laurent Pichon, F. Geneste
- article
- JNRDM, Jun 2019, Montpellier, France
- Accès au bibtex
-
- titre
- Etude et réalisation d’une transition GCPW-MS-GCPW avec via-hole sur couche mince en polymère
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Mathieu Halbwax, Stéphane Ginestar, Jean-Pierre Vilcot, Hong Wu Li
- article
- Journées Nationales Microondes (JNM), May 2019, Caen, France. , pp 347, JNM 2019
- Accès au bibtex
-
- titre
- Mélanges élastomères thermoplastiques souples à faible pertes diélectriques pour applications antennaires en bande X
- auteur
- Tessnim Sghaier, Mohammed El Gibari, Benoit Guiffard
- article
- 21èmes Journées Nationales Microondes, May 2019, Caen, France. , 2019, JNM 2019
- Accès au bibtex
-
- titre
- Antenne à ondes de fuite reconfigurable imprimée sur couche mince ferroélectrique : conception, fabrication et caractérisation
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JNM 2019 “21èmes Journées Nationales Microondes”, May 2019, Caen, France. pp.AP P2, Actes de colloque des JNM 2019
- Accès au bibtex
-
- titre
- Analyse de la mesure du TOS actif sur des réseaux d'antennes à l'aide d'un analyseur de réseau vectoriel à quatre ports
- auteur
- Irfan Ali Tunio, Yann Mahé, Bruno Froppier, Tchanguiz Razban
- article
- 21èmes Journées Nationales Microondes, May 2019, Caen, France. , pp.jnm2019:239208, 2019, JNM 2019
- Accès au bibtex
-
- titre
- Nouvelles céramiques ferroélectriques à faibles pertes pour applications en antennes miniatures: caractérisation diélectrique radio-fréquences
- auteur
- Mohamad Haydoura, Ratiba Benzerga, Claire Le Paven, Vincent Laur, Alexis Chevalier, Laurent Le Gendre, Florent Marlec, Ala Sharaiha
- article
- JNM 2019 “21èmes Journées Nationales Microondes”, May 2019, Caen, France
- Accès au bibtex
-
- titre
- Influence des paramètres du maillage métallique sur les performances d'antennes actives en bande X optiquement transparentes
- auteur
- Alexis Martin, Xavier Castel, Mohamed Himdi, Olivier Lafond
- article
- JNM 2019 “21èmes Journées Nationales Microondes”, May 2019, Caen, France. AP P7 (4 pp.), 2019, Actes de colloque JNM 2019
- Accès au bibtex
-
- titre
- Influence de la structure des électrodes interdigitées sur les micro-générateurs piézoélectriques
- auteur
- Julien Le Scornec, Mohammed El Gibari, Raynald Seveno, Benoit Guiffard, Vincent Le Cam
- article
- COFMER'03 - Colloque franco-maghrébin sur les énergies renouvelables, Apr 2019, Marrakech, Maroc.
- Accès au bibtex
-
- titre
- LoRa+: évolution du protocole LoRaWAN pour l'amélioration de la QoS pour des réseaux denses de capteurs
- auteur
- Hussein Mroue, Benoit Parrein, Sofiane Hamrioui, Eduardo Motta Cruz, Wilfried Vince
- article
- GdR RSD et SOC2 - Journée Systèmes Embarqués et Objets Communicants, Apr 2019, Paris, France.
- Accès au texte intégral et bibtex
-
- titre
- Multilayer Absorbers Based on Carbon Fibers Loaded Epoxy Foam
- auteur
- Aicha El Assal, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- URSI AP-RASC 2019, URSI Asia-Pacific Radio Science Conference, Mar 2019, New Delhi, India
- Accès au bibtex
-
Documents associated with scientific events
- titre
- A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
- auteur
- Alberto Bosio, Daniel Menard, Olivier Sentieys
- article
- DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1-5
- Accès au texte intégral et bibtex
-
Reports
- titre
- Descriptif et rapport d'essais de validation
- auteur
- Jean-Yves Baudais
- article
- [Rapport Technique] Institut d'électronique et des technologies du numérique. 2019
- Accès au bibtex
-
- titre
- Feasibility Study of Probabilistic Timing Analysis Methods for SDF Applications on Multi-Core Processors
- auteur
- Ralf Stemmer, Hai-Dang Vu, Maher Fakih, Kim Grüttner, Sébastien Le Nours, Sébastien Pillement
- article
- [Research Report] IETR; OFFIS. 2019
- Accès au texte intégral et bibtex
-
- titre
- Synthèse sur les protocoles de communication pour l’Internet des objets de l’industrie 4.0
- auteur
- Alexis Bitaillou, Benoît Parrein, Guillaume Andrieux
- article
- [Rapport Technique] LS2N, Université de Nantes; IETR, Université de Nantes. 2019
- Accès au texte intégral et bibtex
-
Theses
- titre
- Méthode d’estimation des valeurs extrêmes des distributions de contraintes induites et de seuils de susceptibilité dans le cadre des études de durcissement et de vulnérabilité aux menaces électromagnétiques intentionnelles
- auteur
- Thomas Houret
- article
- Electromagnétisme. INSA de Rennes, 2019. Français. ⟨NNT : 2019ISAR0011⟩
- Accès au texte intégral et bibtex
-
- titre
- Fabrication and characterization of silicon nanowires for devices applications compatible with low temperature (≤300 ˚C) flexible substrates
- auteur
- Kai Yang
- article
- Electronics. Université de Rennes, 2019. English. ⟨NNT : 2019REN1S123⟩
- Accès au texte intégral et bibtex
-
- titre
- Fabrication and characterization of silicon nanowires for device applications compatible with low temperature (≤300 ˚C) flexible substrates
- auteur
- Kai Yang
- article
- Micro and nanotechnologies/Microelectronics. Université de Rennes 1, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Models for the Planning of Indoor Multi-technology Wireless Networks
- auteur
- Marc Emmanuel Vivien-Marie Wozan Kacou
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2019. English. ⟨NNT : 2019ISAR0010⟩
- Accès au texte intégral et bibtex
-
- titre
- Deformation microsensors on flexible substrate for health applications
- auteur
- Fatima Garcia-Castro
- article
- Micro and nanotechnologies/Microelectronics. Université de Rennes1, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid Machine Learning and Geometric Approaches for Single RGB Camera Relocalization
- auteur
- Nam-Duong Duong
- article
- Computer Vision and Pattern Recognition [cs.CV]. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude et implantation d'algorithmes pour le placement et l'ordonnancement d'applications Dataflow
- auteur
- Hamza Deroui
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2019. Français. ⟨NNT : 2019ISAR0022⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement de réseaux radio d'objets connectés pour les Villes Intelligentes : amélioration de la Qualité de Service du réseau LoRa
- auteur
- Maxime Mroue
- article
- Electronique. UNIVERSITE DE NANTES, 2019. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Commande prédictive hiérarchisée hybride pour la gestion de l’énergie dans les bâtiments
- auteur
- Amanda Abreu de Oliveira
- article
- Automatique / Robotique. CentraleSupélec, 2019. Français. ⟨NNT : 2019CSUP0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Facial Micro-Expression Analysis
- auteur
- Jingting Li
- article
- Computer Vision and Pattern Recognition [cs.CV]. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Résolution Spatio-temporelle Adaptative pour un Codage à Faible Complexité des Formats Vidéo Émergents
- auteur
- Glenn Herrou
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2019. Français. ⟨NNT : 2019ISAR0020⟩
- Accès au texte intégral et bibtex
-
- titre
- An Efficient Computer-Aided Design Methodology for FPGA&ASIC High-Level Power Estimation Based on Machine Learning
- auteur
- Yehya Nasser
- article
- Electronics. INSA de Rennes, 2019. English. ⟨NNT : 2019ISAR0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Players Bandit Algorithms for Internet of Things Networks
- auteur
- Lilian Besson
- article
- Signal and Image Processing. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation des réseaux hybrides Broadcast/Broadband pour la fourniture de services linéaires à l'aide de la géométrie stochastique
- auteur
- Ahmad Shokair
- article
- Autre. INSA de Rennes; Université Libanaise, 2019. Français. ⟨NNT : 2019ISAR0025⟩
- Accès au texte intégral et bibtex
-
- titre
- Model-Driven Architecture Exploration for Fault Tolerance Improvement
- auteur
- Thi-Tuyen Nguyen
- article
- Electronics. UNIVERSITE DE NANTES, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of time-series SAR and optical images for the study of winter land-use
- auteur
- Julien Denize
- article
- Electronics. Université de Rennes, 2019. English. ⟨NNT : 2019REN1S062⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude des techniques de précodage pour des systèmes multiutilisateurs « large MIMO » en ondes millimétriques pour les futurs réseaux 5G
- auteur
- Mohamed Shehata
- article
- Autre. INSA de Rennes, 2019. Français. ⟨NNT : 2019ISAR0026⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a generic digital front-end for the internet of things
- auteur
- Ali Zeineddine
- article
- Other [cs.OH]. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Méthodes rigoureuses par décomposition de domaines pour la diffusion électromagnétique par une surface rugueuse 2D
- auteur
- Yunniel Arencibia Noa
- article
- Electronique. UNIVERSITE DE NANTES, 2019. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- THESE DE DOCTORAT DE CENTRALESUPELEC COMUE UNIVERSITE BRETAGNE LOIRE « Réseau 5G avec Communications Full Duplex et D2D»
- auteur
- Hussein Chour
- article
- Signal and Image processing. CentraleSupélec, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Full-Duplex Device-to-Device Communication for 5G Network
- auteur
- Hussein Chour
- article
- Signal and Image processing. CentraleSupélec; Université Libanaise, 2019. English. ⟨NNT : 2019CSUP0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Analyse d'erreurs pour les systèmes utilisant des calculs approximés
- auteur
- Justine Bonnot
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2019. Français. ⟨NNT : 2019ISAR0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions à l'estimation des paramètres du canal MIMO
- auteur
- Ali Mohydeen
- article
- Electronics. UNIVERSITE DE NANTES, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Design, implementation and analysis of keyed hash functions based on chaotic maps and neural networks
- auteur
- Nabil Abdoun
- article
- Electronics. UNIVERSITE DE NANTES, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Miniaturisation et modélisation d’antennes monopoles larges bandes utilisant des matériaux magnéto-diélectriques en bande VHF
- auteur
- Aladdin Kabalan
- article
- Réseaux et télécommunications [cs.NI]. Université de Rennes, 2019. Français. ⟨NNT : 2019REN1S041⟩
- Accès au texte intégral et bibtex
-
- titre
- Salient object detection and segmentation in videos
- auteur
- Qiong Wang
- article
- Signal and Image processing. INSA de Rennes, 2019. English. ⟨NNT : 2019ISAR0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Compression oriented enhancement of noisy images: Application to ultrasound images
- auteur
- Meriem Outtas
- article
- Image Processing [eess.IV]. USTHB - Alger, 2019. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Capteur Communicant Autonome en Énergie pour l’IoT
- auteur
- Taoufik Bouguera
- article
- Electronique. Université de Nantes, 2019. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Image Quality Assessment of 3D Synthesized Views
- auteur
- Shishun Tian
- article
- Signal and Image processing. INSA de Rennes, 2019. English. ⟨NNT : 2019ISAR0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Dependencies consideration for Gobal Rate-Distortion Optimization : application to HEVC
- auteur
- Maxime Bichon
- article
- Signal and Image processing. INSA de Rennes, 2019. English. ⟨NNT : 2019ISAR0030⟩
- Accès au texte intégral et bibtex
-
- titre
- Computing methods for facial aging prevention and prediction
- auteur
- Victor Martin
- article
- Signal and Image processing. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of reception techniques for aeronautical telemetry modulations
- auteur
- Rami Othman
- article
- Signal and Image processing. CentraleSupélec, 2019. English. ⟨NNT : 2019CSUP0012⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude de Techniques de Réception des Modulations de Fréquence pour la Télémesure Aéronautique
- auteur
- Rami Othman
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupelec, 2019. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Forest SAR Tomography: Principles and Applications
- auteur
- H. Aghababaee, Giampaolo Ferraioli, Laurent Ferro-Famil, Yué Huang, M. Mariotti d'Alessandro, Vito Pascazio, Gilda Schirinzi, S. Tebaldini
- article
- 2019
- Accès au bibtex
-
- titre
- Three-dimensional Imaging of Urban Areas Using SAR Correlation Tomographic Technique Based on TSX/TDX Pairs
- auteur
- Xing Peng, Yue Huang, Laurent Ferro-Famil
- article
- 2019
- Accès au bibtex
-
- titre
- Repetitive control for magnetic flux control
- auteur
- Pierre Haessig
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- Intra Coding Performance Comparison of HEVC, H.264/AVC, Motion-JPEG2000 and JPEGXR Encoders
- auteur
- Yi Lui, Wassim Hamidouche, Olivier Dforges
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- Massive MIMO precoder for long range in-band wireless backhaul
- auteur
- Alexis Bazin, Bruno Jahan, Maryline Hélard
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- Optimal Power and Resource Allocation for Transmit Power Minimization in OFDMA-based NOMA Networks
- auteur
- Pierre Bélis, Rémi Bonnefoi, Haïfa Farès, Yves Louet
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- On the Theoretical Limits of Beam Steering in mmWave Massive MIMO Channels
- auteur
- Mohamed Shehata, Matthieu Crussière, Maryline Hélard
- article
- 2019
- Accès au texte intégral et bibtex
-
- titre
- OFDM/OQAM Transmission over Time-Frequency Dispersive Channels: Interference Computation and Approximation
- auteur
- Ali Mokh, Cyrille Siclet
- article
- 2019
- Accès au texte intégral et bibtex
-
2018
Journal articles
- titre
- Smart and Self-Organized Routing Algorithm for Efficient IoT communications in Smart Cities
- auteur
- Sofiane Hamrioui, Camil Adam Mohamed Hamrioui, Jaime Lloret, Pascal Lorenz
- article
- IET Wireless Sensor Systems, 2018, 8 (6), pp.305-312. ⟨10.1049/iet-wss.2018.5022⟩
- Accès au bibtex
-
- titre
- A Hybrid Simulation Approach for Fast and Accurate Timing Analysis of Multi-Processor Platforms Considering Communication Resources Conflicts
- auteur
- Sébastien Le Nours, Adam Postula
- article
- Journal of Signal Processing Systems, 2018, 90 (12), pp.1667-1685. ⟨10.1007/s11265-017-1315-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Four-Port Broadband Orthomode Transducer Enabling Arbitrary Interelement Spacing
- auteur
- Esteban Menargues, Santiago Capdevila, Tomislav Debogovic, Alexandros Dimitriades, Lionel Simon, Maria Garcia-Vigueras, Juan Mosig, Anja Skrivervik, Emile De Rijk
- article
- IEEE Transactions on Microwave Theory and Techniques, 2018, 66 (12), pp.5521-5530. ⟨10.1109/TMTT.2018.2878208⟩
- Accès au bibtex
-
- titre
- Power Control and Cell Discontinuous Transmission used as a means of decreasing Small-Cell Networks’ Energy Consumption
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- IEEE Transactions on Green Communications and Networking, 2018, 2 (4), pp.899-914. ⟨10.1109/TGCN.2018.2838759⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparison Between Delay and Sum and Range Migration Algorithms for Image Reconstruction in Through-the-Wall Radar Imaging Systems
- auteur
- Stefano Pisa, Emanuele Piuzzi, Erika Pittella, Paolo d'Atanasio, Alessandro Zambotti, Giulia Sacco
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2018, 2 (4), pp.270-276. ⟨10.1109/JERM.2018.2878070⟩
- Accès au bibtex
-
- titre
- Impact of the post-thermal annealing on OFETs using printed contacts, printed organic gate insulator and evaporated C-60 active layer
- auteur
- Zhi Tao, Tayeb Mohammed-Brahim, Wei Lei, Maxime Harnois, Emmanuel Jacques
- article
- Solid-State Electronics, 2018, 150, pp.51-59. ⟨10.1016/j.sse.2018.10.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Quasi-Optimal Tone Reservation PAPR Reduction Algorithm for Next Generation Broadcasting Systems: A Performance/Complexity/Latency Tradeoff With Testbed Implementation
- auteur
- Sri Satish Krishna Chaitanya Bulusu, Matthieu Crussière, Jean-François Hélard, Ralph Mounzer, Youssef Nasser, Olivier Rousset, Alain Untersee
- article
- IEEE Transactions on Broadcasting, 2018, 64 (4), pp.883-899. ⟨10.1109/TBC.2018.2811623⟩
- Accès au texte intégral et bibtex
-
- titre
- Characteristic Modes Approach to Design Compact Superdirective Array With Enhanced Bandwidth
- auteur
- Hussein Jaafar, Sylvain Collardey, Ala Sharaiha
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (12), pp.6986-6996. ⟨10.1109/TAP.2018.2874691⟩
- Accès au texte intégral et bibtex
-
- titre
- Decreased spontaneous electrical activity in neuronal networks exposed to radiofrequency 1800 MHz signals
- auteur
- Corinne El Khoueiry, Daniela Moretti, Rémy Renom, Francesca Camera, Rosa Orlacchio, André Garenne, Florence Poulletier de Gannes, Emmanuelle Poque-Haro, Isabelle Lagroye, Bernard Veyret, Noelle Lewis
- article
- Journal of Neurophysiology, 2018, 120 (6), pp.2719-2729. ⟨10.1152/jn.00589.2017⟩
- Accès au texte intégral et bibtex
-
- titre
- Pretending in Dynamic Games, Alternative Outcomes and Application to Electricity Markets
- auteur
- Ioannis Kordonis, Alexandros C. Charalampidis, George P. Papavassilopoulos
- article
- Dynamic Games and Applications, 2018, 8 (4), pp.844-873. ⟨10.1007/s13235-017-0229-3⟩
- Accès au bibtex
-
- titre
- Selectively exciting quasi-normal modes in open disordered systems
- auteur
- Matthieu Davy, Azriel Genack
- article
- Nature Communications, 2018, 9 (1), pp.4714. ⟨10.1038/s41467-018-07180-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware Design and Implementation of Adaptive Multiple Transforms for the Versatile Video Coding Standard
- auteur
- Ahmed Kammoun, Wassim Hamidouche, Fatma Belghith, Jean-Francois Nezan, Nouri Masmoudi
- article
- IEEE Transactions on Consumer Electronics, 2018, 64 (4), pp.424-432. ⟨10.1109/TCE.2018.2875528⟩
- Accès au texte intégral et bibtex
-
- titre
- Photonic micro-resonators for steam evaporation dynamic sensing
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Qingyue Li, Mathieu Berges, Vincent Cazin, Hervé Cormerais, Jacques Weiss, Etienne Gaviot, Bruno Bêche
- article
- European Physical Journal: Applied Physics, 2018, 84 (1), pp.10502.1-10502.7. ⟨10.1051/epjap/2018180170⟩
- Accès au texte intégral et bibtex
-
- titre
- Bacteria electrical detection using 3D silicon nanowires based resistor
- auteur
- Brice Le Borgne, Laurent Pichon, Anne-Claire Salaün, Baptiste Le Bihan, Anne Jolivet-Gougeon, Sophie Martin, Regis Rogel, Olivier de Sagazan
- article
- Sensors and Actuators B: Chemical, 2018, 273, pp.1794-1799. ⟨10.1016/j.snb.2018.07.101⟩
- Accès au texte intégral et bibtex
-
- titre
- Validation of Sea-Ice Topographic Heights Derived From TanDEM-X Interferometric SAR Data With Results From Laser Profiler and Photogrammetry
- auteur
- Temesgen Gebrie Yitayew, Wolfgang Dierking, Dmitry Divine, Torbjorn Eltoft, Laurent Ferro-Famil, Anja Rosel, Jean Negrel
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2018, 56 (11), pp.6504-6520. ⟨10.1109/TGRS.2018.2839590⟩
- Accès au bibtex
-
- titre
- Additive manufacturing of modulated triple-ridge leaky-wave antenna
- auteur
- A. Dorlé, R. Gillard, E. Menargues, M. van Der Vorst, E. de Rijk, P. Martin-Iglesias, M. García-Vigueras
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (11), pp.2123-2127. ⟨10.1109/LAWP.2018.2848723⟩
- Accès au texte intégral et bibtex
-
- titre
- Effects of directional antennas on outband D2D mmWave communications in heterogeneous networks
- auteur
- Romain Chevillon, Guillaume Andrieux, Romain Négrier, Jean-François Diouris
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2018, 96, pp.58 - 65. ⟨10.1016/j.aeue.2018.09.006⟩
- Accès au texte intégral et bibtex
-
- titre
- PAPR Analysis as a Ratio of Two Random Variables: Application to Multicarrier Systems with Low Subcarriers Number
- auteur
- Vincent Savaux, Yves Louët
- article
- IEEE Transactions on Communications, 2018, 66 (11), pp.5732-5739. ⟨10.1109/TCOMM.2018.2857460⟩
- Accès au texte intégral et bibtex
-
- titre
- Annealing effect on the electrical proprieties of IF(CN2)2-meta based OTFTs: Thermal behavior and modeling of charge transport
- auteur
- Nawel Arfaoui, M. Mahdouani, I. Bouhadda, Cyril Poriel, R. Bourguiga, Emmanuel Jacques, M. Chevrier, S. Bebiche
- article
- Superlattices and Microstructures, 2018, 123, pp.286 - 296. ⟨10.1016/j.spmi.2018.09.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Distributed decision making policy for frequency band selection boosting RF energy harvesting rate in wireless sensor nodes
- auteur
- S. Darak, Christophe Moy, Jacques Palicot
- article
- Wireless Networks, 2018, 24 (8), pp.3189 - 3203. ⟨10.1007/s11276-017-1529-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Contrast and Visual Saliency Similarity-Induced Index for Assessing Image Quality
- auteur
- Huizhen Jia, Lu Zhang, Tonghan Wang
- article
- IEEE Access, 2018, 6, pp.65885-65893
- Accès au bibtex
-
- titre
- A novel solar energy predictor for communicating sensors
- auteur
- Taoufik Bouguera, Jean-François Diouris, Guillaume Andrieux, Chaillout Jean-Jacques, Randa Jaouadi
- article
- IET Communications, 2018, 12 (17), pp.2145-2149. ⟨10.1049/iet-com.2018.5244⟩
- Accès au bibtex
-
- titre
- Le rôle du formateur dans l’articulation des compétences acquises sur simulateur et des compétences cibles (« terrain »)
- auteur
- Janine Rogalski, Boris Colin
- article
- Activités, 2018, 15 (2), ⟨10.4000/activites.3333⟩
- Accès au texte intégral et bibtex
-
- titre
- MS-CapsNet: A Novel Multi-Scale Capsule Network
- auteur
- Canqun Xiang, Lu Zhang, Yi Tang, Wenbin Zou, Chen Xu
- article
- IEEE Signal Processing Letters, 2018, 25 (12), pp.1850-1854. ⟨10.1109/LSP.2018.2873892⟩
- Accès au texte intégral et bibtex
-
- titre
- A Miniature Microstrip Antenna Array using Circular Shaped Dumbbell for ISM Band Applications
- auteur
- Ahmed Ghaloua, Jamal Zbitou, Larbi El Abdellaoui, Mohamed Latrach, Ahmed Errkik, Abdelali Tajmouati
- article
- International Journal of Electrical and Computer Engineering, 2018, 8 (5), pp.3793. ⟨10.11591/ijece.v8i5.pp3793-3800⟩
- Accès au bibtex
-
- titre
- Sphingolipid Gel/Fluid Phase Transition Measurement by Integrated Resonance Probe Light
- auteur
- Qingyue Li, Lucas Garnier, V. Vié, Hervé Lhermite, Alain Moréac, Denis Morineau, Claire Bourlieu-Lacanal, Aziz Ghoufi, Etienne Gaviot, Eric Gicquel, Bruno Bêche
- article
- Sensors & Transducers., 2018, 225, pp.41 - 48
- Accès au texte intégral et bibtex
-
- titre
- Guest Editorial: Next Generation Wireless Computing Systems
- auteur
- Pascal Lorenz, Sofiane Hamrioui, Abbas Jamalipour
- article
- IEEE Transactions on Emerging Topics in Computing, 2018, 6 (4), pp.551-552
- Accès au bibtex
-
- titre
- Passive compressive device in an MIMO configuration at millimeter waves
- auteur
- Antoine Jouadé, Olivier Lafond, Laurent Ferro-Famil, Mohamed Himdi, Stéphane Méric
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (10), pp.5558-5568. ⟨10.1109/TAP.2018.2862365⟩
- Accès au texte intégral et bibtex
-
- titre
- Constant-Envelope Multicarrier Waveforms for Millimeter Wave 5G Applications
- auteur
- Talha Faizur Rahman, Claudio Sacchi, Simone Morosi, Agnese Mazzinghi, Nicola Bartolomei
- article
- IEEE Transactions on Vehicular Technology, 2018, 67 (10), pp.9406 - 9420. ⟨10.1109/TVT.2018.2854723⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient hybrid full-wave/circuital approach for stacks of frequency selective surfaces
- auteur
- F. Mesa, R. Rodriguez-Berral, M. García-Vigueras, F. Medina
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (10), pp.1925-1929. ⟨10.1109/LAWP.2018.2870567⟩
- Accès au bibtex
-
- titre
- Reproducible Evaluation of System Efficiency with a Model of Architecture: From Theory to Practice
- auteur
- Maxime Pelcat, Alexandre Mercat, Karol Desnos, Luca Maggiani, Yanzhou Liu, Julien Heulot, Jean-François Nezan, Wassim Hamidouche, Daniel Menard, Shuvra S Bhattacharyya
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, 37 (10), pp.2050 - 2063. ⟨10.1109/TCAD.2017.2774822⟩
- Accès au texte intégral et bibtex
-
- titre
- (Invited) Dual-Gate and Gate-All-Around Polycrystalline Silicon Nanowires Field Effect Transistors: Simulation and Characterization
- auteur
- Anne-Claire Salaün, Brice Le Borgne, Laurent Pichon
- article
- ECS Transactions, 2018, 86 (11), pp.79-88
- Accès au bibtex
-
- titre
- Dual-Gate TFT for Chemical Detection
- auteur
- France Le Bihan, Laetitia Donero, Brice Le Borgne, Olivier de Sagazan, Pierre-Yves Tessier, Abdel-Aziz El Mel, Eva Kovacevic, Laurent Le Brizoual
- article
- ECS Transactions, 2018, 86 (11), pp.169-176. ⟨10.1149/08611.0169ecst⟩
- Accès au bibtex
-
- titre
- (Invited) Thin Film Transistor Technologies: Implementing Research Results in Higher Education to Prepare the Emerging Multidisciplinary Connected Objects
- auteur
- Olivier Bonnaud, Ahmad Bsiesy, Laurent Pichon
- article
- ECS Transactions, 2018, 86 (11), pp.3-10. ⟨10.1149/08611.0003ecst⟩
- Accès au bibtex
-
- titre
- Mechanical Microsensors for Pulse Measurement
- auteur
- Fatima Garcia-Castro, Olivier de Sagazan, Claude Simon, Nathalie . Coulon, France Le Bihan
- article
- ECS Transactions, 2018, 86 (16), pp.71-78. ⟨10.1149/08616.0071ecst⟩
- Accès au bibtex
-
- titre
- Subjective and objective evaluations of feature selected multi output filter for speckle reduction on ultrasound images
- auteur
- M Outtas, Lu Zhang, O Deforges, A Serir, Wassim Hamidouche, Y Chen
- article
- Physics in Medicine and Biology, 2018, 63 (18), pp.185014. ⟨10.1088/1361-6560/aadbc9⟩
- Accès au texte intégral et bibtex
-
- titre
- Covalent functionalization of polycrystalline silicon nanoribbons applied to Pb(II) electrical detection
- auteur
- Brice Le Borgne, Aurelie Girard, Christophe Cardinaud, Anne-Claire Salaün, Laurent Pichon, Florence Geneste
- article
- Sensors and Actuators B: Chemical, 2018, 268, pp.368-375. ⟨10.1016/j.snb.2018.04.138⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive RTO for Handshaking-based MAC Protocols in Underwater Acoustic Networks
- auteur
- Yankun Chen, Fei Ji, Guan Quansheng, Yide Wang, Fangjiong Chen, Hua Yu
- article
- Future Generation Computer Systems, 2018, 2017, pp.FUTURE 3617. ⟨10.1016/j.future.2017.08.022⟩
- Accès au bibtex
-
- titre
- Contributions to Education [In Memoriam to Prof. W.M. Boerner]
- auteur
- E. Pottier
- article
- IEEE geoscience and remote sensing magazine, 2018, 6 (3), pp.103-104. ⟨10.1109/MGRS.2018.2868914⟩
- Accès au bibtex
-
- titre
- Motion descriptors for micro-expression recognition
- auteur
- H. Lu, K. Kpalma, J. Ronsin
- article
- Signal Processing: Image Communication, 2018, 67, pp.108-117. ⟨10.1016/j.image.2018.05.014⟩
- Accès au bibtex
-
- titre
- On dynamic regressor extension and mixing parameter estimators: Two Luenberger observers interpretations
- auteur
- Roméo Ortega, Laurent Praly, Stanislav Aranovskiy, B. Yi, W. Zhang
- article
- Automatica, 2018, 95, pp.548-551. ⟨10.1016/j.automatica.2018.06.011⟩
- Accès au bibtex
-
- titre
- Analytical Model and Study of Continuous Parallel Plate Waveguide Lens-like Multiple-Beam Antennas
- auteur
- F. Doucet, N.J.G. Fonseca, E. Girard, H. Legay, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (9), pp.4426-4436. ⟨10.1109/TAP.2018.2846768⟩
- Accès au bibtex
-
- titre
- Partial replacement in CISe solar cells of the molybdenum layer by an epitaxial Titanium Nitride thin film deposited onto MgO substrate
- auteur
- Bachir Eddine Messaid, Claire Le Paven, Razika Zair Talaighil, Florent Marlec, Ratiba Benzerga, Laurent Le Gendre, Fayçal Bensouici
- article
- Materials Research Express, 2018, 5 (10), pp.106408. ⟨10.1088/2053-1591/aadaf5⟩
- Accès au bibtex
-
- titre
- Systematic Review about QoS and QoE in Telemedicine and eHealth Services and Applications
- auteur
- Isabel de La Torre Díez, Susel Góngora Alonso, Sofiane Hamrioui, Miguel López-Coronado, Eduardo Motta Cruz
- article
- Journal of Medical Systems, 2018, 42 (10), ⟨10.1007/s10916-018-1040-4⟩
- Accès au bibtex
-
- titre
- Spreading and drying impact on printed pattern accuracy due to phase separation of a colloidal ink
- auteur
- Zhi Tao, Brice Le Borgne, Tayeb Mohammed-Brahim, Emmanuel Jacques, Maxime Harnois
- article
- Colloid and Polymer Science, 2018, 296 (11), pp.1749-1758. ⟨10.1007/s00396-018-4372-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and Calibration of a mm-Wave Personal Exposure Meter for 5G Exposure Assessment in Indoor Diffuse Environments
- auteur
- Reza Aminzadeh, Abdou Khadir Fall, Jérôme Sol, Arno Thielens, Philippe Besnier, Maxim Zhadobov, Nele de Geeter, Prakash Parappurath Vasudevan, Luc Dupré, Roel van Holen, Luc Martens, Wout Joseph
- article
- Journal of Infrared, Millimeter and Terahertz Waves, 2018, 39 (12), pp.1264 - 1282. ⟨10.1007/s10762-018-0533-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Mutual Coupling Reduction Using Two Flexible monopoles Antennas
- auteur
- Asmae Hachi, Hassan Lebbar, Mohamed Himdi
- article
- Revue Méditerranéenne des Télécommunications=Mediterranean Telecommunication Journal, 2018, 78, pp.123-132
- Accès au bibtex
-
- titre
- Ionic columnar clustomesogens associations between anionic hexanuclear rhenium clusters and liquid crystalline triphenylene tethered imidazoliums
- auteur
- F Camerel, F Kinloch, O Jeannin, M Robin, S K Nayak, Emmanuel Jacques, K A Brylev, N G Naumov, Y Molard
- article
- Dalton Transactions, 2018, 47 (32), pp.10884-10896. ⟨10.1039/c8dt02201a⟩
- Accès au texte intégral et bibtex
-
- titre
- Yagi-Uda nantenna for 1550 nanometers optical communication systems
- auteur
- Waleed Tariq Sethi, Olivier de Sagazan, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- Microwave and Optical Technology Letters, 2018, 60 (9), pp.2236 - 2242. ⟨10.1002/mop.31339⟩
- Accès au bibtex
-
- titre
- Design and Fabrication of the Novel Miniaturized Microstrip Coupler 3dB Using Stepped Impedance Resonators for the ISM Applications
- auteur
- Azzeddine Sardi, Jamal Zbitou, Ahmed Errkik, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (4), ⟨10.12928/telkomnika.v16i4.9230⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectrum Blind Recovery and Application in Non-uniform Sampling Based Automatic Modulation Classifier
- auteur
- Himani Joshi, Sumit J. Darak, Yves Louet
- article
- Circuits, Systems, and Signal Processing, 2018, 37 (8), pp.3457-3486. ⟨10.1007/s00034-017-0715-2⟩
- Accès au bibtex
-
- titre
- An Embedded Double Reference Transmission Line Theory Applied to Cable Harnesses
- auteur
- Sofiane Chabane, Philippe Besnier, Marco Klingler
- article
- IEEE Transactions on Electromagnetic Compatibility, 2018, 60 (4), pp.981-990. ⟨10.1109/TEMC.2017.2754470⟩
- Accès au texte intégral et bibtex
-
- titre
- The Effect of Bake Temperature on SU-8 Gate Insulator of IGZO Thin Film Transistor
- auteur
- Min-Taek Hong, Seung Jae Moon, Jong Mo Lee, Byung Seong Bae, Eui-Jung Yun, Maxime Harnois, Emmanuel Jacques, Tayeb Mohammed-Brahim
- article
- Journal of the Korean Physical Society, 2018, 73 (3), pp.297-301. ⟨10.3938/jkps.73.297⟩
- Accès au bibtex
-
- titre
- Impact of Tissue Electromagnetic Properties on Radiation Performance of In-Body Antennas
- auteur
- Denys Nikolayev, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (8), pp.1440-1444. ⟨10.1109/LAWP.2018.2848943⟩
- Accès au bibtex
-
- titre
- Influence of surface chemistry and point defects in TiN based electrodes on electrochemical capacitive storage activity
- auteur
- Amine Achour, Mohammad Badiul Islam, Iftikhar Ahmad, Laurent Le Brizoual, Abdou Djouadi, Thierry Brousse
- article
- Scripta Materialia, 2018, 153, pp.59-62. ⟨10.1016/j.scriptamat.2018.04.051⟩
- Accès au texte intégral et bibtex
-
- titre
- Dominant Coupling Mechanism for Integrated Circuit Immunity of SOIC Packages Up To 10 GHz
- auteur
- Sjoerd Op 'T Land, Mohamed Ramdani, Richard Perdriau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2018, 60 (4), pp.965-970. ⟨10.1109/TEMC.2017.2756915⟩
- Accès au texte intégral et bibtex
-
- titre
- Data Mining Algorithms and Techniques in Mental Health: A Systematic Review
- auteur
- Susel Góngora Alonso, Isabel de La Torre-Díez, Sofiane Hamrioui, Miguel López-Coronado, Diego Calvo Barreno, Lola Morón Nozaleda, Manuel Franco
- article
- Journal of Medical Systems, 2018, 42 (9), ⟨10.1007/s10916-018-1018-2⟩
- Accès au bibtex
-
- titre
- Attentional state and brain processes: state-dependent lateralization of EEG profiles in horses
- auteur
- Céline Rochais, Mélissa Sébilleau, Mathilde Ménoret, Martial Oger, Séverine Henry, Martine Hausberger, Hugo Cousillas
- article
- Scientific Reports, 2018, 8 (1), pp.10153. ⟨10.1038/s41598-018-28334-9⟩
- Accès au texte intégral et bibtex
-
- titre
- FMCW Radar System for Transponder Identification
- auteur
- Nizar Bouhlel, Stéphane Méric, Claude Moullec, Christian Brousseau
- article
- Progress In Electromagnetics Research B, 2018, 81, pp.101 - 122. ⟨10.2528/pierb18032007⟩
- Accès au texte intégral et bibtex
-
- titre
- Communication Functions for a Gate Driver Under High Voltage and High dv/dt
- auteur
- Christophe Bouguet, Nicolas Ginot, Christophe Batard
- article
- IEEE Transactions on Power Electronics, 2018, 33 (7), pp.6137-6146. ⟨10.1109/TPEL.2017.2750744⟩
- Accès au bibtex
-
- titre
- Forest Biomass Retrieval From L-Band SAR Using Tomographic Ground Backscatter Removal
- auteur
- Erik Blomberg, Laurent Ferro-Famil, Maciej Soja, Lars Ulander, Stefano Tebaldini
- article
- IEEE Geoscience and Remote Sensing Letters, 2018, 15 (7), pp.1030-1034. ⟨10.1109/LGRS.2018.2819884⟩
- Accès au bibtex
-
- titre
- Circularly Polarized Transmitarray Antennas at Ka-Band
- auteur
- F. Diaby, A. Clemente, K.T. Pham, R. Sauleau, L. Dussopt
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (7), pp.1204-1208. ⟨10.1109/LAWP.2018.2839021⟩
- Accès au bibtex
-
- titre
- Tumor Growth Modeling: Parameter estimation with Maximum Likelihood methods
- auteur
- Spyridon Patmanidis, Alexandros C. Charalampidis, Ioannis Kordonis, Georgios D. Mitsis, George P. Papavassilopoulos
- article
- Computer Methods and Programs in Biomedicine, 2018, 160, pp.1-10. ⟨10.1016/j.cmpb.2018.03.014⟩
- Accès au texte intégral et bibtex
-
- titre
- SCOM: Spatiotemporal Constrained Optimization for Salient Object Detection
- auteur
- Chen Xu, Wenbin Zou, Yi Tang, Xia Li, Nikos Komodakis
- article
- IEEE Transactions on Image Processing, 2018, 27 (7), pp.3345-3357. ⟨10.1109/TIP.2018.2813165⟩
- Accès au bibtex
-
- titre
- Adaptive Wavelet Packet Modulation
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval, Faouzi Bader
- article
- IEEE Transactions on Communications, 2018, 66 (7), pp.2947-2957. ⟨10.1109/TCOMM.2018.2809586⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy consumption model for sensor nodes based on LoRa and LoRaWAN
- auteur
- Taoufik Bouguera, Jean-François Diouris, Jean-Jacques Chaillout, Randa Jaouadi, Guillaume Andrieux
- article
- Sensors, 2018, 18 (7), pp.2104. ⟨10.3390/s18072104⟩
- Accès au texte intégral et bibtex
-
- titre
- Diffuse phase transition of BST thin films in the microwave domain
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Areski Ghalem, Aurelian Crunteanu, Laure Huitema, Frédéric Dumas-Bouchiat, Pascal Marchet, Corinne Champeaux, Hartmut Gundel
- article
- Applied Physics Letters, 2018, 112 (26), pp.262901. ⟨10.1063/1.5030485⟩
- Accès au texte intégral et bibtex
-
- titre
- KxNa1−xNbO3 perovskite thin films grown by pulsed laser deposition on R-plane sapphire for tunable microwave devices
- auteur
- Barthélemy Aspe, Fatou Cissé, Xavier Castel, Valérie Demange, Stéphanie Députier, Sophie Ollivier, Valérie Bouquet, Loïc Joanny, Ronan Sauleau, Maryline Guilloux-Viry
- article
- Journal of Materials Science, 2018, 53 (18), pp.13042 - 13052. ⟨10.1007/s10853-018-2593-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible PZT thin film transferred on polymer substrate
- auteur
- Thibault Dufay, Benoit Guiffard, Raynald Seveno, Stéphane Ginestar, Jean-Christophe Thomas
- article
- Surface and Coatings Technology, 2018, 343, pp.148-152. ⟨10.1016/j.surfcoat.2017.09.080⟩
- Accès au texte intégral et bibtex
-
- titre
- A Passive Compressive Device Associated with a Luneburg Lens for Multi-beam Radar at Millimeter-wave
- auteur
- Antoine Jouadé, Stéphane Meric, Olivier Lafond, Mohamed Himdi, Laurent Ferro-Famil
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (6), pp.938-941. ⟨10.1109/LAWP.2018.2824837⟩
- Accès au bibtex
-
- titre
- Systematic investigation of mechanically alloyed Ti-Mg-Ni used as negative electrode in Ni-MH battery
- auteur
- Z. Zhang, O. Elkedim, M. Zhang, David Bassir
- article
- Journal of Solid State Electrochemistry, 2018, 22 (6), pp.1669-1676. ⟨10.1007/s10008-017-3871-1⟩
- Accès au bibtex
-
- titre
- Local Dosimetry Applied to Wireless Power Transfer Around 10 MHz Dependence on em Parameters and Tissues Morphology
- auteur
- M. Koohestani, M. Ettorre, M. Zhadobov
- article
- IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology, 2018, 2 (2), pp.123-130. ⟨10.1109/JERM.2018.2822478⟩
- Accès au bibtex
-
- titre
- Stochastic stability in Max-Product and Max-Plus Systems with Markovian Jumps
- auteur
- Ioannis Kordonis, Petros Maragos, George P. Papavassilopoulos
- article
- Automatica, 2018, 92, pp.123-132. ⟨10.1016/j.automatica.2018.03.008⟩
- Accès au bibtex
-
- titre
- Ferroelectricity and high tunability in novel strontium and tantalum based layered perovskite materials
- auteur
- Florent Marlec, Claire Le Paven, François Cheviré, Laurent Le Gendre, Ratiba Benzerga, Benoit Guiffard, Thibault Dufay, Franck Tessier, Bachir Messaid, Ala Sharaiha
- article
- Journal of the European Ceramic Society, 2018, 38 (6), pp.2526-2533. ⟨10.1016/j.jeurceramsoc.2018.01.033⟩
- Accès au texte intégral et bibtex
-
- titre
- Fradkov Theorem-Based Control of MIMO Nonlinear Lurie Systems
- auteur
- A. A. Pyrkin, Stanislav Aranovskiy, Alexey Bobtsov, Sergey Kolyubin, N. A. Nikolaev
- article
- Automation and Remote Control / Avtomatika i Telemekhanika, 2018, 79 (6), pp.1074-1085. ⟨10.1134/s0005117918060073⟩
- Accès au bibtex
-
- titre
- SIW Rotman Lens Antenna With Ridged Delay Lines and Reduced Footprint
- auteur
- Karim Tekkouk, Mauro Ettorre, Ronan Sauleau
- article
- IEEE Transactions on Microwave Theory and Techniques, 2018, 66 (6), pp.3136-3144. ⟨10.1109/TMTT.2018.2825374⟩
- Accès au bibtex
-
- titre
- Electrodes based on nano-tree-like vanadium nitride and carbon nanotubes for micro-supercapacitors
- auteur
- N. Ouldhamadouche, A. Achour, R. Lucio-Porto, M. Islam, S. Solaymani, A. Arman, A. Ahmadpourian, H. Achour, L. Le Brizoual, M.A. Djouadi, T. Brousse
- article
- Journal of Materials Science and Technology, 2018, 34 (6), pp.976-982. ⟨10.1016/j.jmst.2017.11.048⟩
- Accès au bibtex
-
- titre
- About detecting steam condensation by means of polymer racetrack micro-resonators: highlighting the dynamics of such a soft-matter process
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Qingyue Li, Mathieu Berges, Vincent Cazin, Hervé Cormerais, Jacques Weiss, Etienne Gaviot, Bruno Bêche
- article
- Proceedings of SPIE, the International Society for Optical Engineering, 2018, SPIE Photonics : Optical Micro- and Nanometrology, V10678, pp.10678.11-1/6. ⟨10.1117/12.2311486⟩
- Accès au bibtex
-
- titre
- Teaching the basics of electricity using a flexible piezoelectric generator
- auteur
- Raynald Seveno, Thibault Dufay, Mohammed El Gibari, Benoit Guiffard, Hong Wu Li, Saber Morsli, Aude Pichon, Eric Tanguy
- article
- Physics Education, 2018, 53 (4), pp.045015. ⟨10.1088/1361-6552/aabf27⟩
- Accès au texte intégral et bibtex
-
- titre
- Cu, Mo-doped and pristine-BiVO4 thin films prepared by rf sputtering process for photocatalytic applications
- auteur
- V.I. Merupo, S. Velumani, A. Abramova, K. Ordon, M. Makowska-Janusik, A. Kassiba
- article
- Journal of Materials Science: Materials in Electronics, 2018, 29 (18), pp.15770-15775. ⟨10.1007/s10854-018-9241-7⟩
- Accès au bibtex
-
- titre
- Spatially Correlated Sparse MIMO Channel Path Delay Estimation in Scattering Environments Based on Signal Subspace Tracking
- auteur
- Ali Mohydeen, Pascal Chargé, Yide Wang, Oussama Bazzi, Yuehua Ding
- article
- Sensors, 2018, 18 (5), pp.1451. ⟨10.3390/s18051451⟩
- Accès au texte intégral et bibtex
-
- titre
- Time-Delay Estimation using Ground Penetrating Radar with a Support Vector Regression based Linear Prediction Method
- auteur
- Jingjing Pan, Cédric Le Bastard, Yide Wang, Meng Sun
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2018, 56 (5), pp.2833-2840. ⟨10.1109/TGRS.2017.2784567⟩
- Accès au texte intégral et bibtex
-
- titre
- Truncated Leaky-Wave Antenna With Cosecant-Squared Radiation Pattern
- auteur
- Francesco Scattone, Mauro Ettorre, Berhanu Eddo, Ronan Sauleau, Nelson J. G. Fonseca
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (5), pp.841-844. ⟨10.1109/LAWP.2018.2818668⟩
- Accès au bibtex
-
- titre
- Multibeam Pillbox Antenna Integrating Amplitude-Comparison Monopulse Technique in the 24 GHz Band for Tracking Applications
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (5), pp.2616-2621. ⟨10.1109/TAP.2018.2809694⟩
- Accès au bibtex
-
- titre
- Compact Folded Fresnel Zone Plate Lens Antenna for Mm-wave Communications
- auteur
- Mohammad Reza Dehghani Kodnoeih, Yoann Letestu, Ronan Sauleau, Eduardo Motta-Cruz, André Doll
- article
- IEEE Antennas and Wireless Propagation Letters, 2018, 17 (5), pp.873-876. ⟨10.1109/LAWP.2018.2820420⟩
- Accès au bibtex
-
- titre
- On the Spectral Efficiency of Energy Constrained Short-Range Communicating Systems
- auteur
- Randa Jaouadi, Guillaume Andrieux, Jean-Yves Baudais, Jean-François Diouris
- article
- Wireless Personal Communications, 2018, 101 (2), pp.1101-1122. ⟨10.1007/s11277-018-5751-0⟩
- Accès au bibtex
-
- titre
- Cryptanalyzing an image encryption scheme using reverse 2-dimensional chaotic map and dependent diffusion
- auteur
- Mousa Farajallah, Safwan El Assad, Olivier Déforges
- article
- Multimedia Tools and Applications, 2018, 77 (21), pp.28225-28248. ⟨10.1007/s11042-018-6015-4⟩
- Accès au bibtex
-
- titre
- Slot-loaded patches with a switching mechanism to extend the scanning range of a phased array subject to scan blindness
- auteur
- Aurelien Ayissi Manga, Raphaël Gillard, Renaud Loison, Isabelle Le Roy-Naneix, Christian Renard
- article
- Microwave and Optical Technology Letters, 2018, 60 (6), pp.1410-1417. ⟨10.1002/mop.31177⟩
- Accès au bibtex
-
- titre
- Analysis of the Retrodirective Mechanism of a Flattened Dihedral
- auteur
- Hussein Srour, R. Gillard, S. Méric, D Seetharamdoo
- article
- IET Microwaves Antennas and Propagation, 2018, 12 (5), pp.699-705. ⟨10.1049/iet-map.2017.0491⟩
- Accès au texte intégral et bibtex
-
- titre
- Heartbeat rate measurement using microwave systems: single-antenna, two-antennas, and modeling a moving person
- auteur
- Sarah El-Samad, Dany Obeid, Gheorghe I. Zaharia, Sawsan Sadek, Ghaïs El Zein
- article
- Analog Integrated Circuits and Signal Processing, 2018, 96 (2), pp.269-282. ⟨10.1007/s10470-018-1165-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Intrathalline Metabolite Profiles in the Lichen Argopsis friesiana Shape Gastropod Grazing Patterns
- auteur
- Alice Gadea, Anne-Cécile Le Lamer, Sophie Le Gall, Catherine Jonard, Solenn Ferron, Daniel D. Catheline, Damien Ertz, Pierre Le Pogam, Joël Boustie, Françoise Lohézic-Le Dévéhat, Maryvonne Charrier
- article
- Journal of Chemical Ecology, 2018, 44 (5), pp.471-482. ⟨10.1007/s10886-018-0953-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Shielding effectiveness adjustment of planar mesh screen by fine-tuning metal thickness
- auteur
- Yonathan Corredores, Xavier Castel, Philippe Besnier, Cyril Dupeyrat, Patrice Foutrel
- article
- The Journal of Engineering, 2018, 2018 (4), pp.239-241. ⟨10.1049/joe.2018.0070⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Negative Resistance Oscillator with Rocord Low Phase Noise
- auteur
- Ayoub Malki, Jamal Zbitou, Larbi El Abdellaoui, Mohamed Latrach, Abdelali Tajmouati, Ahmed Errkik
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (2), pp.586-593. ⟨10.12928/telkomnika.v16i2.6999⟩
- Accès au texte intégral et bibtex
-
- titre
- Determination of the Equivalent Coupling Surface of Passive Components Using the TEM Cell
- auteur
- Marine Stojanovic, Sjoerd Op 'T Land, Frédéric Lafon, Richard Perdriau, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2018, 60 (2), pp.298-309. ⟨10.1109/temc.2017.2714760⟩
- Accès au bibtex
-
- titre
- Hidden Gaussian Markov Model for Distribued Fault Detection in Wireless Sensor Networks
- auteur
- Marwa Saihi, Ahmed Zouinkhi, Boussaid Boumedyen, Mohamed Abdelkarim, Guillaume Andrieux
- article
- Transactions of the Institute of Measurement and Control, 2018, 40 (6), pp.1788-1798. ⟨10.1177/0142331217691334⟩
- Accès au bibtex
-
- titre
- New Design of a CPW-Fed Dual-Band Monopole Antenna for RFID Readers
- auteur
- Ahmed El Hamraoui, El Hassane Abdelmounim, Jamal Zbitou, Hamid Bennis, Mohamed Latrach
- article
- International Journal of Electrical and Computer Engineering, 2018, 8 (2), pp.1040-1047
- Accès au bibtex
-
- titre
- Enabling Asynchronous Machine-Type D2D Communication Using Multiple Waveforms in 5G
- auteur
- Conor Sexton, Quentin Bodinier, Arman A Farhang, Nicola Marchetti, Faouzi Bader, Luiz A. Dasilva
- article
- IEEE Internet of Things Journal, 2018, 5 (2), pp.1307-1322. ⟨10.1109/JIOT.2018.2806184⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast and Accurate Simulation Technique for Large Irregular Arrays
- auteur
- H. Bui-Van, J. Abraham, M. Arts, Q. Gueuning, C. Raucy, D. Gonzalez-Ovejero, E. de Lera Acedo, C. Craeye
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (4), pp.1805-1817. ⟨10.1109/TAP.2018.2806222⟩
- Accès au bibtex
-
- titre
- Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparing Three Clustering-based Scheduling Methods for Energy-Aware Rapid Design of MP2SoCs
- auteur
- Manel Ammar, Mouna Baklouti, Maxime Pelcat, Karol Desnos, Mohamed Abid
- article
- Journal of Signal Processing Systems, 2018, 90 (4), pp.537-570. ⟨10.1007/s11265-017-1261-7⟩
- Accès au bibtex
-
- titre
- A Systematic Review of mHealth apps Evaluations for Cardiac Issues
- auteur
- Vladimir Villarreal, Gema Castillo-Sanchez, Sofiane Hamrioui, Aranzazu Berbey-Alvarez, Isabel de La Torre Diez, Pascal Lorenz
- article
- Proceedings, 2018, The 12th International Conference on Ubiquitous Computing and Ambient Intelligence (UCAmI 2018), 2(19) (19), ⟨10.3390/proceedings2190481⟩
- Accès au texte intégral et bibtex
-
- titre
- NIQSV+: A No-Reference Synthesized View Quality Assessment Metric
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, Olivier Déforges
- article
- IEEE Transactions on Image Processing, 2018, 27 (4), pp.1652-1664. ⟨10.1109/TIP.2017.2781420⟩
- Accès au bibtex
-
- titre
- A twofold approach in loss reduction of KTa0.5Nb0.5O3 ferroelectric layers for low loss tunable devices at microwaves
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2018, 65 (4), pp.665-671. ⟨10.1109/TUFFC.2018.2795108⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband and Broad-Angle Multilayer Polarizer Based on Hybrid Optimization Algorithm for Low-Cost Ka-Band Applications
- auteur
- D. Blanco, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (4), pp.1874-1881. ⟨10.1109/TAP.2018.2804618⟩
- Accès au bibtex
-
- titre
- Improving the performance of underwater wireless optical communication links by channel coding
- auteur
- Ferdaouss Mattoussi, Mohammad Ali Khalighi, Salah Bourennane
- article
- Applied optics, 2018, 57 (9), pp.2115-2120. ⟨10.1364/AO.57.002115⟩
- Accès au bibtex
-
- titre
- Direct Integration of Red-NIR Emissive Ceramic-like AnM6Xi8Xa6 Metal Cluster Salts in Organic Copolymers Using Supramolecular Interactions
- auteur
- Malo Robin, Noee Dumait, Maria Amela-Cortes, Claire Roiland, Maxime Harnois, Emmanuel Jacques, Hervé Folliot, Yann Molard
- article
- Chemistry - A European Journal, 2018, 24 (19), pp.4825-4829. ⟨10.1002/chem.201800860⟩
- Accès au texte intégral et bibtex
-
- titre
- A Systematic Literature Review of Technologies for Suicidal Behavior Prevention
- auteur
- Manuel Franco-Martín, Juan Luis Muñoz-Sánchez, Beatriz Sainz-De-Abajo, Gema Castillo-Sánchez, Sofiane Hamrioui, Isabel de La Torre-Díez
- article
- Journal of Medical Systems, 2018, 42 (4), ⟨10.1007/s10916-018-0926-5⟩
- Accès au bibtex
-
- titre
- Preservation of structural identifiability in expanded systems
- auteur
- Safa Jedidi, Romain Bourdais, Marie-Anne Lefebvre
- article
- European Journal of Control, 2018, 40, pp.48 - 52. ⟨10.1016/j.ejcon.2017.11.003⟩
- Accès au bibtex
-
- titre
- Networked non-fragile H ∞ static output feedback control design for vehicle dynamics stability: A descriptor approach
- auteur
- Chedia Latrech, Mourad Kchaou, Hervé Guéguen
- article
- European Journal of Control, 2018, 40, pp.13 - 26. ⟨10.1016/j.ejcon.2017.10.005⟩
- Accès au bibtex
-
- titre
- Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
- Accès au bibtex
-
- titre
- Macro-to-micro transformation model for micro-expression recognition
- auteur
- Xitong Jia, Xianye Ben, Hui Yuan, Kidiyo Kpalma, Weixiao Meng
- article
- International Journal of Computational Science and Engineering, 2018, 25, pp.289-297. ⟨10.1016/j.jocs.2017.03.016⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectral Overlap Optimization for DVB-T2 and LTE Coexistence
- auteur
- Hiba Bawab, Philippe Mary, Jean-François Hélard, Youssef Nasser, Oussama Bazzi
- article
- IEEE Transactions on Broadcasting, 2018, 64 (1), pp.70-84. ⟨10.1109/TBC.2018.2795458⟩
- Accès au texte intégral et bibtex
-
- titre
- Time Delay and Interface Roughness Estimation Using Modified ESPRIT With Interpolated Spatial Smoothing Technique
- auteur
- Meng Sun, Cédric Le Bastard, Yide Wang, Nicolas Pinel, Jingjing Pan, Vincent Baltazart, Jean-Michel Simonin, Xavier Derobert
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2018, 56 (3), pp.1475-1484. ⟨10.1109/TGRS.2017.2763983⟩
- Accès au bibtex
-
- titre
- Electromagnetic Radiation Efficiency of Body-Implanted Devices
- auteur
- Denys Nikolayev, Maxim Zhadobov, Pavel Karban, Ronan Sauleau
- article
- Physical Review Applied, 2018, 9 (2), pp.024033. ⟨10.1103/PhysRevApplied.9.024033⟩
- Accès au texte intégral et bibtex
-
- titre
- Untargeted Metabolomics Reveal Lipid Alterations upon 2-Deoxyglucose Treatment in Human HaCaT Keratinocytes
- auteur
- Pierre Le Pogam, Mickael Doué, Yann Le Page, Denis Habauzit, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan, David Rondeau
- article
- Journal of Proteome Research, 2018, 17 (3), pp.1146-1157. ⟨10.1021/acs.jproteome.7b00805⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvement of the LPWAN AMI Backhaul's Latency thanks to Reinforcement Learning Algorithms
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- EURASIP Journal on Wireless Communications and Networking, 2018, 2018 (1), pp.1-18. ⟨10.1186/s13638-018-1044-2⟩
- Accès au texte intégral et bibtex
-
- titre
- A Dihydrodinaphthoheptacene
- auteur
- Maxime Romain, Cassandre Quinton, Thierry Roisnel, Emmanuel Jacques, Joelle Rault-Berthelot, Cyril Poriel
- article
- Journal of Organic Chemistry, 2018, 83 (4), pp.1891-1897. ⟨10.1021/acs.joc.7b02834⟩
- Accès au texte intégral et bibtex
-
- titre
- A Low Cost Multiband Microstrip Antenna for Wireless Applications
- auteur
- S. Elkilani, L. El Abdellaoui, Jamal Zbitou, J. Terhzaz, Ahmed Errkik, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (1), pp.159-165. ⟨10.12928/telkomnika.v16i1.7059⟩
- Accès au bibtex
-
- titre
- Design of Frequency Reconfigurable PIFA Antenna With Floating Ground Plane
- auteur
- Ines Rouissi, Jean Marie Floc’h, Hatem Rmili, Hichem Trabelsi
- article
- Indian Journal of Science and Technology, 2018, 11 (5), pp.1-11. ⟨10.17485/ijst/2018/v11i5/118872⟩
- Accès au texte intégral et bibtex
-
- titre
- IJMWT special issue on the 2017 French Microwave Days
- auteur
- Erwan Fourn
- article
- International Journal of Microwave and Wireless Technologies, 2018, 10 (01), pp.1-2. ⟨10.1017/S1759078718000545⟩
- Accès au bibtex
-
- titre
- A combination of transformation optics and surface impedance modulation to design compact retrodirective reflectors
- auteur
- Hassan Haddad, Renaud Loison, R. Gillard, A. Harmouch, A. Jrad
- article
- AIP Advances, 2018, 8 (2), pp.025114. ⟨10.1063/1.5020204⟩
- Accès au texte intégral et bibtex
-
- titre
- Variability Impact of Many Design Parameters: The Case of a Realistic Electronic Link
- auteur
- Mourad Larbi, Igor Simone Stievano, Flavio Canavero, Philippe Besnier
- article
- IEEE Transactions on Electromagnetic Compatibility, 2018, 60 (1), pp.34-41. ⟨10.1109/TEMC.2017.2727961⟩
- Accès au texte intégral et bibtex
-
- titre
- Transparent and miniature FM antenna in printed technology
- auteur
- Alexis Martin, Corentin Gautier, Xavier Castel, Mohamed Himdi
- article
- International Journal of Microwave and Wireless Technologies, 2018, 10 (01), pp.19-24. ⟨10.1017/S1759078718000120⟩
- Accès au bibtex
-
- titre
- A Method to Provide Conditions for Sustained Excitation
- auteur
- Jian Wang, Stanislav Aranovskiy, Alexey Bobtsov, Anton Pyrkin, Sergey Kolyubin
- article
- Automation and Remote Control / Avtomatika i Telemekhanika, 2018, 79 (2), pp.258-264. ⟨10.1134/s0005117918020054⟩
- Accès au bibtex
-
- titre
- Progressive Semisupervised Learning of Multiple Classifiers
- auteur
- Zhiwen Yu, Ye Lu, Jun Zhang, Jane You, Hau-San Wong, Yide Wang, Guoqiang Han
- article
- IEEE Transactions on Cybernetics, 2018, 48 (2), pp.689-702. ⟨10.1109/TCYB.2017.2651114⟩
- Accès au bibtex
-
- titre
- Self-organizing technique for improving coverage in connected mobile objects networks
- auteur
- Sofiane Hamrioui, Pascal Lorenz, Jaime Lloret
- article
- Telecommunication Systems, 2018, 67 (2), pp.179-193. ⟨10.1007/s11235-017-0332-1⟩
- Accès au texte intégral et bibtex
-
- titre
- From Quantum Physics to Digital Communication: Single Side Band Continuous Phase Modulation
- auteur
- Haïfa Farès, Christian Glattli, Yves Louët, Jacques Palicot, Christophe Moy, Preden Roulleau
- article
- Comptes Rendus. Physique, 2018, 19 (1-2), pp.54-63. ⟨10.1016/j.crhy.2018.01.004⟩
- Accès au texte intégral et bibtex
-
- titre
- A survey on face modeling: building a bridge between face analysis and synthesis
- auteur
- Hanan Salam, Renaud Seguier
- article
- The Visual Computer, 2018, 34 (2), pp.289-319. ⟨10.1007/s00371-016-1332-y⟩
- Accès au bibtex
-
- titre
- Energy Harvesting using a Lead Zirconate Titanate (PZT) Thin Film on a Polymer Substrate
- auteur
- Thibault Dufay, Benoit Guiffard, Raynald Seveno, Jean-Christophe Thomas
- article
- Energy Technology, 2018, 6 (5), pp.917-921. ⟨10.1002/ente.201700732⟩
- Accès au texte intégral et bibtex
-
- titre
- Urban area tomography using a sparse representation based two-dimensional spectral analysis technique
- auteur
- L. Liang, Xiaojian Li, Laurent Ferro-Famil, H. Guo, L. Zhang, W. Wu
- article
- Remote Sensing, 2018, 10 (2), pp.109. ⟨10.3390/rs10010109⟩
- Accès au texte intégral et bibtex
-
- titre
- A General Approach Based on Sampled-Current Voltammetry for Minimizing Electrode Fouling in Electroanalytical Detection
- auteur
- Isabelle Mazerie, Pierre Didier, Florence Razan, Philippe Hapiot, Nathalie . Coulon, Aurélie Girard, Olivier Le Monies de Sagazan, Didier Floner, Florence Geneste
- article
- ChemElectroChem, 2018, 5 (1), pp.144-152. ⟨10.1002/celc.201700884⟩
- Accès au texte intégral et bibtex
-
- titre
- Prediction of Compression Ratio for DCT-Based Coders With Application to Remote Sensing Images
- auteur
- Alexander Zemliachenko, Ruslan Kozhemiakin, Sergey Abramov, Vladimir Lukin, Benoit Vozel, Kacem Chehdi, Karen Egiazarian
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2018, 11 (1), pp.257-270. ⟨10.1109/JSTARS.2017.2781906⟩
- Accès au bibtex
-
- titre
- Saliency-based multi-feature modeling for semantic image retrieval
- auteur
- C. Bai, J.-N. Chen, L. Huang, K. Kpalma, S. Chen
- article
- Journal of Visual Communication and Image Representation, 2018, 50, pp.199-204. ⟨10.1016/j.jvcir.2017.11.021⟩
- Accès au bibtex
-
- titre
- Is Texture Denoising Efficiency Predictable?
- auteur
- O. Rubel, V. Lukin, S. Abramov, B. Vozel, O. Pogrebnyak, K. Egiazarian
- article
- International Journal of Pattern Recognition and Artificial Intelligence, 2018, 32 (01), pp.1860005. ⟨10.1142/S0218001418600054⟩
- Accès au texte intégral et bibtex
-
- titre
- A Dual-Band Microstrip Slot Atenna Reader for UHF and Microwave RFID Applications
- auteur
- A. El Hamraoui, J. Zbitou, A. Errkik, H. Bennis, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 15 (1), pp.94-101
- Accès au bibtex
-
- titre
- Efficient System-Level Hardware Synthesis of Dataflow Programs Using Shared Memory Based FIFO HEVC Decoder Case Study
- auteur
- Mariem Abid, Khaled Jerbi, Mickael Raulet, Olivier Déforges, Mohamed Abid
- article
- Journal of Signal Processing Systems, 2018, 90 (1), pp.127-144. ⟨10.1007/s11265-017-1226-x⟩
- Accès au bibtex
-
- titre
- Pre-Requisites for Smart Lossy Compression of Noisy Remote Sensing Images
- auteur
- M Alhihi,, A. N. Zemliachenko, S.K. Abramov, Benoit Vozel, Karen Egiazarian, V. V. Lukin
- article
- Telecommunications and Radio Engineering, 2018, 77 (3), pp.225-241. ⟨10.1615/telecomradeng.v77.i3.40⟩
- Accès au bibtex
-
- titre
- Single Layered 4×4 Butler Matrix Without Phase-Shifters and Crossovers
- auteur
- Suleiman Aliyu Babale, Sharul Kamal Abdul Rahim, Oumar Alassane Barro, Mohamed Himdi, Mohsen Khalily
- article
- IEEE Access, 2018, 6, pp.77289-77298. ⟨10.1109/ACCESS.2018.2881605⟩
- Accès au texte intégral et bibtex
-
- titre
- Cocoa Beans Fermentation Degree Assessment For Quality Control Using Machine Vision and Multiclass SVM Classifier
- auteur
- Aubain Yro, Camille Edié N’zi, Kidiyo Kpalma
- article
- International Journal of Innovation and Applied Studies, 2018, 24 (4), pp.1711-1717
- Accès au texte intégral et bibtex
-
- titre
- Chalcogenide glass-ceramic with self-organized heterojunctions application to photovoltaic solar cells
- auteur
- Xianghua Zhang, Ilia Korolkov, Bo Fan, Michel Cathelinaud, Hongli Ma, Jean-Luc Adam, Odile Merdrignac-Conanec, Laurent Calvez, Hervé Lhermite, Laurent Le Brizoual, Marcel Pasquinelli, Jean-Jacques Simon
- article
- EPJ Photovoltaics, 2018, 9, pp.3. ⟨10.1051/epjpv/2018002⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Design of a Microstrip Microwave Power Amplifier for DCS Application using Collector-feedback Bias
- auteur
- Amine Rachakh, Larbi El Abdellaoui, Jamal Zbitou, Ahmed Errkik, Abdelali Tajmouati, Mohamed Latrach
- article
- International Journal of Electrical & Computer Engineering (2088-8708) , 2018, 8 (3)
- Accès au bibtex
-
- titre
- Reduction of Mutual Coupling between Closely Spaced Microstrip Antennas Arrays using Electromagnatics Band-gap (2D-EBG)
- auteur
- Ahmed Ghaloua, Jamal Zbitou, L. El Abdellaoui, Mohamed Latrach, Abdelali Tajmouati, Ahmed Errkik
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (1), pp.151-158
- Accès au bibtex
-
- titre
- Applying Partial Reconfiguration Technique on ARM-FPGA Systems in Context of Vertical Handover in Wireless Heterogeneous Networks
- auteur
- Mohamad Al-Fadl Rihani, M. Mroueh, Jean-Christophe Prévotet, Fabienne Nouvel, Yasser Mohanna
- article
- International Journal of Digital Information and Wireless Communications, 2018, 8 (1), pp.70-74. ⟨10.17781/P002408⟩
- Accès au bibtex
-
- titre
- Printed Planar Double Inverted-F Antenna Withlarge Frequency Reconfigurability Range
- auteur
- Jean Marie Floc’h, Imen Ben Trad
- article
- American Journal of Engineering Research (AJER), 2018, 7, pp.139-148. ⟨10.23919/eumc.2017.8231087⟩
- Accès au bibtex
-
- titre
- A Statistical Approach for Gain Bandwidth Prediction of Phoenix-Cell Based Reflect arrays
- auteur
- Hassan Salti, Raphaël Gillard
- article
- Advances in Science, Technology and Engineering Systems Journal, 2018, 3 (1), pp.103-108. ⟨10.25046/aj030112⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible Convoluted Ring Shaped FSS for X-Band Screening Application
- auteur
- Wai Yan Yong, Sharul Kamal Abdul Rahim, Mohamed Himdi, Fauziahanim Che Seman, Ding Lik Suong, Muhammad Ridduan Ramli, Husameldin Abdelrahman Elmobarak
- article
- IEEE Access, 2018, 6, pp.11657 - 11665. ⟨10.1109/ACCESS.2018.2804091⟩
- Accès au texte intégral et bibtex
-
- titre
- A New Compact and Wide-band Band-stop Filter Using Rectangular SRR
- auteur
- B. Nasiri, A. Errkik, Jamal Zbitou, A. Tajmouati, L. Elabdellaoui, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (1), pp.110-117. ⟨10.12928/telkomnika.v16i1.7578⟩
- Accès au texte intégral et bibtex
-
- titre
- Very Efficient Tone Reservation PAPR Reduction Fully Compatible with ATSC 3.0 Standard: Performance and Practical Implementation Analysis
- auteur
- Naila Lahbabi, Sri Satish Krishna Chaitanya Bulusu, Jean-François Hélard, Matthieu Crussière
- article
- IEEE Access, 2018, 6, pp.58355-58372. ⟨10.1109/ACCESS.2018.2874797⟩
- Accès au texte intégral et bibtex
-
- titre
- An Energy Optimization Strategy for Hybrid Power Ships under Load Uncertainty Based on Load Power Prediction and Improved NSGA-II Algorithm
- auteur
- Diju Gao, Xuyang Wang, Tianzhen Wang, Yide Wang, Xiaobin Xu
- article
- Energies, 2018, 11 (7), pp.1699. ⟨10.3390/en11071699⟩
- Accès au texte intégral et bibtex
-
- titre
- Small Wide-Band Printed Inverted-L Antenna with Non-Foster Matching
- auteur
- Abdullah Haskou, Dominique Lemur, Sylvain Collardey, Ala Sharaiha
- article
- IEICE Transactions on Communications, 2018, E101.B (2), pp.309-315. ⟨10.1587/transcom.2017ISP0001⟩
- Accès au bibtex
-
- titre
- Identification of Main Factors of Uncertainty in a Microstrip Line Network
- auteur
- Mourad Larbi, Igor Simone Stievano, Flavio Canavero, Philippe Besnier
- article
- Progress In Electromagnetics Research, 2018, 162, pp.61 - 72. ⟨10.2528/PIER18040607⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectral and Energy Efficiency Analysis of mmWave Communications with Channel Inversion in Outband D2D Network
- auteur
- Romain Chevillon, Guillaume Andrieux, Romain Négrier, Jean-François Diouris
- article
- IEEE Access, 2018, 6, pp.72104 - 72116. ⟨10.1109/ACCESS.2018.2882679⟩
- Accès au texte intégral et bibtex
-
- titre
- A new class of nondiffracting pulses based on focusing leaky waves
- auteur
- Walter Fuscaldo, Davide Comite, Alessandro Boesso, Paolo Baccarelli, Paolo Burghignoli, Alessandro Galli
- article
- International Journal of Microwave and Wireless Technologies, 2018, 10 (5-6), pp.682-689. ⟨10.1017/S1759078718000612⟩
- Accès au bibtex
-
- titre
- HIS-EBG Unit Cells for Pattern and Frequency Reconfigurable Dual Band Array Antenna
- auteur
- Raimi Dewan, Mohamad K. A. Rahim, Mohamad R. Hamid, Mohamed Himdi, Huda A. Majid, Noor A. Samsuri
- article
- Progress In Electromagnetics Research M, 2018, 76, pp.123-132. ⟨10.2528/PIERM18090202⟩
- Accès au bibtex
-
- titre
- Stratified spherical model for microwave imaging of the brain Analysis and experimental validation of transmitted power
- auteur
- M. Bjelogrlic, M. Volery, Benjamin Fuchs, J.-P. Thiran, J.R. Mosig, M. Mattes
- article
- Microwave and Optical Technology Letters, 2018, 60 (4), pp.1042-1048. ⟨10.1002/mop.31101⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of the gate bias stress on the stability of n-type organic field-effect transistors based on dicyanovinylene-dihydroindenofluorene semiconductors
- auteur
- S. Bebiche, P.A. Cisneros-Perez, T. Mohammed-Brahim, M. Harnois, J. Rault-Berthelot, Cyril Poriel, E. Jacques
- article
- Materials Chemistry Frontiers, 2018, 2 (9), pp.1631-1641. ⟨10.1039/c8qm00193f⟩
- Accès au texte intégral et bibtex
-
- titre
- The Use of a Water Soluble Flexible Substrate to Embed Electronics in Additively Manufactured Objects From Tattoo to Water Transfer Printed Electronics
- auteur
- Brice Le Borgne, Emmanuel Jacques, Maxime Harnois
- article
- Micromachines, 2018, 9 (9), ⟨10.3390/mi9090474⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-grazing angle propagation and scattering by an object above a highly-conducting rough sea surface in a ducting environment from an accelerated MoM
- auteur
- Christophe Bourlier
- article
- Waves in Random and Complex Media, 2018, 28 (4), pp.724-742. ⟨10.1080/17455030.2017.1392647⟩
- Accès au bibtex
-
- titre
- Reconfigurable Cylindrical Plasma Antenna
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Olivier Lafond
- article
- Progress In Electromagnetics Research M, 2018, 66, pp.65-72. ⟨10.2528/PIERM17110908⟩
- Accès au bibtex
-
- titre
- Experimental Study of Metal-to-Metal Contact Shapes Effects on Passive Intermodulation
- auteur
- Gilles Duteil, Patrick Le Cam, Frank Colombel, Stephane Avrillon, Jerome Plet, Jean P. Harel
- article
- Progress In Electromagnetics Research M, 2018, 66, pp.143-150. ⟨10.2528/PIERM17101803⟩
- Accès au bibtex
-
- titre
- Introduction
- auteur
- A. Boriskin, R. Sauleau
- article
- Metaphilosophy, 2018, 44 (3), pp.191-194. ⟨10.1111/meta.12024⟩
- Accès au bibtex
-
- titre
- Theoretical Performance of Coherent and Incoherent Detection for Zero-Forcing Receive Antenna Shift Keying
- auteur
- Ali Mokh, Matthieu Crussière, Maryline Hélard, Marco Di Renzo
- article
- IEEE Access, 2018, 6, pp.39907-39916. ⟨10.1109/ACCESS.2018.2851364⟩
- Accès au texte intégral et bibtex
-
- titre
- Doppler Effect Reduction in an OFDM System Thanks to Massive MIMO
- auteur
- Alexis Bazin, Bruno Jahan, Maryline Hélard
- article
- IEEE Access, 2018, 6, pp.38498-38511. ⟨10.1109/ACCESS.2018.2854001⟩
- Accès au texte intégral et bibtex
-
- titre
- Additive Manufactured Metal-Only Modulated Metasurface Antennas
- auteur
- David Gonzalez-Ovejero, Nacer Chahat, Ronan Sauleau, Goutam Chattopadhyay, Stefano Maci, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (11), pp.6106-6114. ⟨10.1109/TAP.2018.2869135⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Configuration of a Microstrip Microwave Wideband Power Amplifier for Wireless Application
- auteur
- Amine Rachakh, Larbi El Abdellaoui, Jamal Zbitou, Ahmed Errkik, Abdelali Tajmouati, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (1), pp.224-231. ⟨10.12928/telkomnika.v16i1.7369⟩
- Accès au texte intégral et bibtex
-
- titre
- A Reconfigurable Miniaturized Planar Inverted-F Antenna with Integrated BaSrTiO3
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey, Caroline Borderon, Stéphane Ginestar, Raphaël Renoud, Hartmut Wolfgang Gundel
- article
- Microwave and Optical Technology Letters, 2018, 60 (6), pp.1511-1515. ⟨10.1002/mop.31192⟩
- Accès au bibtex
-
- titre
- Coupling of PZT Thin Films with Bimetallic Strip Heat Engines for Thermal Energy Harvesting
- auteur
- Jihane Boughaleb, Arthur Arnaud, Benoit Guiffard, Daniel Guyomar, Raynald Séveno, Stéphane Monfray, Thomas Skotnicki, Pierre-Jean Cottinet
- article
- Sensors, 2018, 18 (6), pp.1859. ⟨10.3390/s18061859⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Configuration of A Microstrip Power Amplifier based on GaAs-FET for ISM Applications
- auteur
- Amine Rachakh, Larbi El Abdellaoui, Jamal Zbitou, Ahmed Errkik, Abdelali Tajmouati, Mohamed Latrach
- article
- International Journal of Electrical and Computer Engineering, 2018, 8 (5), ⟨10.11591/ijece.v8i5.pp3882-3889⟩
- Accès au bibtex
-
- titre
- 2-D Van Atta Array of Wideband, Wideangle Slots for Radiative Wireless Power Transfer Systems
- auteur
- M. Ettorre, W.A. Alomar, A. Grbic
- article
- IEEE Transactions on Antennas and Propagation, 2018, 66 (9), pp.4577-4585. ⟨10.1109/TAP.2018.2851197⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact CPW-fed dual-band uniplanar antenna for RFID applications
- auteur
- Ahmed El Hamraoui, E. Abdelmounim, Abdelali Tajmouati, L. El Abdellaoui, Ahmed Errkik, Mohamed Latrach
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2018, 16 (1), pp.102-109. ⟨10.1109/RAWSN.2015.7173283⟩
- Accès au bibtex
-
- titre
- N-Cyanoimine as an electron-withdrawing functional group for organic semiconductors: example of dihydroindacenodithiophene positional isomers
- auteur
- Jean-David Peltier, Benoît Heinrich, Bertrand Donnio, Olivier Jeannin, Joëlle Rault-Berthelot, Emmanuel Jacques, Cyril Poriel
- article
- Journal of Materials Chemistry C, 2018, 6 (48), pp.13197-13210. ⟨10.1039/C8TC04313B⟩
- Accès au texte intégral et bibtex
-
- titre
- On the Coexistence of Broadcast and Unicast Networks for the Transmission of Video Services Using Stochastic Geometry
- auteur
- Ahmad Shokair, Youssef Nasser, Oussama Bazzi, Jean-François Hélard, Matthieu Crussière
- article
- IEEE Transactions on Broadcasting, In press, 64
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Opportunistic Spectrum Access in Cognitive Radio for Tactical Network
- auteur
- Mahmoud Almasri, Ali Mansour, Christophe Moy, Ammar Assoum, Christophe Osswald, Denis Le Jeune
- article
- 2nd European Conference on Electrical Engineering & Computer Scienc (EECS 2018), Dec 2018, Bern, Switzerland. ⟨10.1109/eecs.2018.00116⟩
- Accès au bibtex
-
- titre
- Design of a novel Compact and Superdirective Two and Three Elements Antenna Array
- auteur
- S. Dakhli, Jean Marie Floc’h, Fethi Choubani, Hatem Rmili
- article
- SETIT 2018, Dec 2018, Hammamet, Tunisia
- Accès au bibtex
-
- titre
- On Physical Modeling of Lithium-Ion Cells and Adaptive Estimation of their State-of-Charge
- auteur
- Daniele Zonetti, Bowen Yi, Stanislav Aranovskiy, Denis Efimov, Romeo Ortega, Enrique Garcia-Quismondo
- article
- 57th IEEE Conference on Decision and Control (CDC 2018), Dec 2018, Miami Beach, United States. ⟨10.1109/cdc.2018.8619415⟩
- Accès au bibtex
-
- titre
- A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
- article
- AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
- Accès au texte intégral et bibtex
-
- titre
- Human Ear Surface Reconstruction Through Morphable Model Deformation
- auteur
- Salah Eddine, Pierre-Yves Richard
- article
- International Conference on Digital Image Computing: Techniques and Applications, Dec 2018, Canberra, Australia. ⟨10.1109/dicta.2018.8615786⟩
- Accès au bibtex
-
- titre
- Improving IoT Communications Based on Smart Routing Algorithms
- auteur
- Sofiane Hamrioui, Camil Adam Mohamed Hamrioui, Isabel de La Torre-Díez, Pascal Lorenz, Jaime Lloret
- article
- IEEE Global Communications Conference, Dec 2018, Abu Dhabi, United Arab Emirates. pp.#1570447150, ⟨10.1109/glocom.2018.8647183⟩
- Accès au bibtex
-
- titre
- SC-IQA: Shift compensation based image quality assessment for DIBR-synthesized views
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, Olivier Déforges
- article
- VCIP 2018, Dec 2018, Taichung, Taiwan. ⟨10.1109/vcip.2018.8698654⟩
- Accès au texte intégral et bibtex
-
- titre
- A small and adaptive coprocessor for information flow tracking in ARM SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
- article
- ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization Framework for Resource Allocation in IEEE 802.15.5 Hop-1
- auteur
- S. Sindian, A.E. Samhat, Matthieu Crussière, J.-F. Hélard, A. Khalil
- article
- 19th International Arab Conference on Information Technology, ACIT 2018, Nov 2018, Sidon, Lebanon. pp.8672725, ⟨10.1109/ACIT.2018.8672725⟩
- Accès au bibtex
-
- titre
- Détermination de la vitesse de rotation (Doppler rotationnel) d'un objet, à l'aide du moment angulaire d'une onde EM
- auteur
- Christian Brousseau, Axel Azizé-Gilbert, Kourosh Mahdjoubi, Olivier Emile
- article
- Journées d’Etudes SEE - DGA « Propagation radioélectrique - Caractérisation de l’environnement », Nov 2018, Rennes, France
- Accès au bibtex
-
- titre
- Reconfigurable Newton structure for sample rate conversion
- auteur
- Ali Zeineddine, S. Paquelet, M. Kanj, Christophe Moy, Amor Nafkha, P.Y. Jezequel
- article
- 2018 IEEE Global Conference on Signal and Information Processing, GlobalSIP 2018, Nov 2018, Anaheim, United States. pp.271-275, ⟨10.1109/GlobalSIP.2018.8646354⟩
- Accès au bibtex
-
- titre
- Nécessité pour une PME d’innover en continu : projet FUI STARCOM
- auteur
- Xavier Castel, François Otmesguine
- article
- 3èmes Assises de la Recherche et de l'Innovation, Nov 2018, Saint-Brieuc, France. pp.4
- Accès au bibtex
-
- titre
- Gestion d'énergie d'un capteur communicant en LoRa
- auteur
- Taoufik Bouguera, Jean-François Diouris, Guillaume Andrieux, Jean-Jacques Chaillout
- article
- Colloque IoT, ESEO, Nov 2018, Angers, France
- Accès au bibtex
-
- titre
- A New Design of Reconfigurable SIW Antenna Conformal to Cylindrical Surface
- auteur
- Imane Serhsouh, Hassan Lebbar, Mohamed Himdi
- article
- International Symposium on Advanced Electrical and Communication Technologies (ISAECT), Nov 2018, Rabat, Morocco. ⟨10.1109/isaect.2018.8618805⟩
- Accès au bibtex
-
- titre
- Conception de nanofils pour explorer les comportements plasmoniques et photoniques à une échelle sub-longueur d'onde
- auteur
- Jean-Luc Duvail, Daniel Funes, John Bigeon, Alexandre Garreau, Florian Massuyeau, Nolwenn Huby, Yann Molard, Bruno Bêche, Stéphane Cordier, Eric Faulques, Bernard Humbert
- article
- Matériaux 2018, Nov 2018, Strasbourg, France. pp.1081
- Accès au bibtex
-
- titre
- Parameter-Free Density Estimation for Hyperspectral Image Clustering
- auteur
- S.L. Moan, Claude Cariou
- article
- 2018 International Conference on Image and Vision Computing New Zealand, IVCNZ 2018, Nov 2018, Auckland, New Zealand. pp.8634706, ⟨10.1109/IVCNZ.2018.8634706⟩
- Accès au bibtex
-
- titre
- Land-cover Classification Results And Lessons Learnt From The Round Robin Consultation Within The ESA SEOM SInCohMap Project
- auteur
- F. Vicente-Guijalba, Jakob A., C. Notarnicola, Mestre A., Juan M. Lopez-Sanchez, C. Lopez-Martinez, Ziolowski D., Dabrowska K., Z. Bochenek, E. Pottier, J.J. Mallorquí, Lavalle M., Duro J., Antropov O., Suresh G., M. Engdahl
- article
- The ESA Earth Observation Φ-week, EO Open Science and future EO, ESA-ESRIN, Nov 2018, Frascati, Italy
- Accès au bibtex
-
- titre
- Some advances on computational imaging at microwaves
- auteur
- Benjamin Fuchs
- article
- Workshop on Communication Networks and Power Systems, Nov 2018, Brasilia, Brazil
- Accès au bibtex
-
- titre
- Banc de mesure du bruit basse fréquence (1/f) pour la caractérisation des transistors MOS
- auteur
- Raphael Briend, Laurent Pichon, Brice Le Borgne, Marcel Renault
- article
- 15iemes Journées Pédagogiques Nationales du Comité National de Formation en Micro-nanoélectronique,, Nov 2018, Saint Malo, France
- Accès au bibtex
-
- titre
- Conical-pattern circular dielectric disk antenna analyzed using generalized boundary condition and dual integral equations
- auteur
- N. Biznyuk, Ronan Sauleau, A.I. Nosich
- article
- Asia Pacific Microwave Conference, APMC’ 2018, Nov 2018, Kyoto, Japan
- Accès au bibtex
-
- titre
- Throughput Characterization and Beamwidth Selection for Positioning-Assisted mmWave Service
- auteur
- R. Koirala, G. Ghatak, B. Denis, Bernard Uguen, D. Dardari, A.D. Domenico
- article
- 52nd Asilomar Conference on Signals, Systems and Computers, ACSSC 2018, Oct 2018, Pacific Grove, United States. pp.438-443, ⟨10.1109/ACSSC.2018.8645365⟩
- Accès au bibtex
-
- titre
- Circulating Currents Control for Parallel Grid-Connected Three-Phase Inverters
- auteur
- S. Bella, A. Chouder, A. Djerioui, Azeddine Houari, M. Machmoum, M-F. Benkhoris, K. Ghedamsi
- article
- 2018 International Conference on Electrical Sciences and Technologies in Maghreb (CISTEM), Oct 2018, Algiers, France. pp.1-5, ⟨10.1109/CISTEM.2018.8613377⟩
- Accès au bibtex
-
- titre
- Technologies et caractérisation de résistances à base nanofils de silicium : mesure de la résistivité électrique des nanofils
- auteur
- Laurent Pichon, Aurelie Girard, Olivier de Sagazan, Regis Rogel, Anne-Claire Salaün
- article
- CETSIS, Oct 2018, Fes, Morocco
- Accès au bibtex
-
- titre
- Characteristic Modes Analysis to Integrate a Feeding Network in a Wideband Superdirective Array
- auteur
- H. Jaafar, Sylvain Collardey, A. Sharaiha
- article
- 2018 International Symposium on Antennas and Propagation, ISAP 2018, Oct 2018, Busan, South Korea. pp.8627541
- Accès au bibtex
-
- titre
- Fabrication of Devices and Antennas for Millimeter-Wave and Terahertz Systems
- auteur
- C. Lee, D. Gonzalez-Ovejero, M. Alonso-Delpino, T. Reck, A. Peralta, I. Mehdi, G. Chattopadhyay
- article
- 2018 International Symposium on Antennas and Propagation, ISAP 2018, Oct 2018, Busan, South Korea. pp.8627725
- Accès au bibtex
-
- titre
- L'évolution des technologies microélectroniques d'intégration et d'assemblage vers les IoT
- auteur
- Olivier Bonnaud
- article
- ENOVA 2018 Paris, Oct 2018, Paris, France
- Accès au bibtex
-
- titre
- Terahertz Antenna Technologies for Space Science Applications
- auteur
- G. Chattopadhyay, M. Alonso-Delpino, C. Jung-Kubiak, T. Reck, C. Lee, N. Chahat, S. Rahiminejad, D. Gonzalez-Ovejero
- article
- 2018 International Symposium on Antennas and Propagation, ISAP 2018, Oct 2018, Busan, South Korea. pp.8627453
- Accès au bibtex
-
- titre
- Optimization of Calibration Algorithms on a Manycore Embedded Platform
- auteur
- Nicolas Sourbier, Jean-François Nezan, Cyril Tasse, Julien Hascoet
- article
- IEEE Workshop on Signal Processing Systems (SIPS), Oct 2018, Cape Town, South Africa. ⟨10.1109/sips.2018.8598369⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust IDA-PBC Based Load Voltage Controller for Power Quality Enhancement of Standalone Microgrids
- auteur
- N. Khefifi, Azeddine Houari, M. Ait-Ahmed, M. Machmoum, M. Ghanes
- article
- IECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society, Oct 2018, D.C., France. pp.249-254, ⟨10.1109/IECON.2018.8591805⟩
- Accès au bibtex
-
- titre
- Performance and Computational Complexity of the Future Video Coding
- auteur
- N. Sidaty, P.-L. Cabarat, W. Hamidouche, Daniel Menard, O. Deforges
- article
- 2018 IEEE Workshop on Signal Processing Systems, SiPS 2018, Oct 2018, Cape Town, South Africa. pp.31-36, ⟨10.1109/SiPS.2018.8598306⟩
- Accès au bibtex
-
- titre
- Design of Miniaturized and Tunable Antenna by Integrating BST Thin Film Varactor
- auteur
- H.V. Nguyen, A. Sharaiha
- article
- 11th International Conference on Advanced Technologies for Communications, ATC 2018, Oct 2018, Ho Chi Minh City, Vietnam. pp.65-68, ⟨10.1109/ATC.2018.8587467⟩
- Accès au bibtex
-
- titre
- HRTF Individualization: A Survey
- auteur
- Corentin Guezenoc, Renaud Seguier
- article
- Audio Engineering Society Convention 145, Audio Engineering Society, Oct 2018, New York, United States. ⟨10.17743/aesconv.2018.978-1-942220-25-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Structures porteuses communicantes en matériaux composites
- auteur
- Xavier Castel, Mohamed Himdi
- article
- Séminaire COMET-ECM(CNES) / GDR Ondes ‘Matériaux fonctionnalisés pour le rayonnement d’antennes’, Oct 2018, Paris, France
- Accès au bibtex
-
- titre
- Application of hyperbolic methods based on PDOA for transmitter localization in the UHF band
- auteur
- Yvon Erhel, Jérôme Le Masson, Dominique Lemur, François Marie, Martial Oger
- article
- IEEE conference RADIO 2018, Oct 2018, Wolmar, Mauritius. ⟨10.23919/radio.2018.8572463⟩
- Accès au bibtex
-
- titre
- MIMO Channel Hardening for Ray-based Models
- auteur
- Matthieu Roy, Stephane Paquelet, Luc Le Magoarou, Matthieu Crussière
- article
- 14th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Oct 2018, Limassol, Cyprus. pp.1-7, ⟨10.1109/wimob.2018.8589085⟩
- Accès au texte intégral et bibtex
-
- titre
- Next-Point Prediction for Direct Touch Using Finite-Time Derivative Estimation
- auteur
- Mathieu Nancel, Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Sébastien Poulmane, Nicolas Roussel, Géry Casiez
- article
- Proceedings of the ACM Symposium on User Interface Software and Technology (UIST 2018), Oct 2018, Berlin, Germany. ⟨10.1145/3242587.3242646⟩
- Accès au texte intégral et bibtex
-
- titre
- Interconnection and Damping Assignment Passivity Based Control for Power Sharing in Islanded Micro-Grids
- auteur
- N. Khefifi, Azeddine Houari, M. Machmoum, M. Ghanes
- article
- 2018 7th International Conference on Renewable Energy Research and Applications (ICRERA), Oct 2018, Paris, France. pp.1157-1161, ⟨10.1109/ICRERA.2018.8566718⟩
- Accès au bibtex
-
- titre
- In-Band and Out-Of-Band Distortions Optimization for ATSC 3.0 Transmission: A Novel TR PAPR Reduction Algorithm 1 st Lahbabi Naila
- auteur
- Lahbabi Naila, Jean-François Hélard, Matthieu Crussière
- article
- IEEE WiMob 2018, Oct 2018, Limassol, Cyprus. ⟨10.1109/WiMOB.2018.8589160⟩
- Accès au texte intégral et bibtex
-
- titre
- Know-how needs for higher education in nanoelectronics: French CNFM network strategy
- auteur
- Olivier Bonnaud
- article
- International Conferences on Nanomaterials and Nanotechnology, ICnano2018, Oct 2018, Stockholm, Sweden. ⟨10.5185/amp2018/xxxtobepublished⟩
- Accès au bibtex
-
- titre
- Prediction of Introduced Distortions Parameters in Lossy Image Compression
- auteur
- S. Krivenko, V. Lukin, B. Vozel
- article
- 2018 International Scientific-Practical Conference on Problems of Infocommunications Science and Technology, PIC S and T 2018, Oct 2018, Kharkov, Ukraine. pp.447-451, ⟨10.1109/INFOCOMMST.2018.8632130⟩
- Accès au bibtex
-
- titre
- Light Field Image Compression Based on Convolutional Neural Networks and Linear Approximation
- auteur
- Nader Bakir, W. Hamidouche, Olivier Déforges, Khouloud Samrouth, Mohamad Khalil
- article
- 2018 25th IEEE International Conference on Image Processing (ICIP), Oct 2018, Athens, Greece. ⟨10.1109/ICIP.2018.8451597⟩
- Accès au bibtex
-
- titre
- Utilisation des modulations spatiales avec une plateforme de radio logicielle Massive MIMO dans le contexte de la 5G et de l'Internet des Objets
- auteur
- François Yven, Ali Mokh, Mohamad Alawieh, Maryline Hélard, Matthieu Crussière
- article
- NiDays, Oct 2018, Paris, France
- Accès au bibtex
-
- titre
- Synthesis and experimental characterization of a single-feed quad-beam circularly-polarized transmitarray at Ka-band
- auteur
- Fatimata Diaby, Antonio Clemente, Kien T. Pham, Ronan Sauleau, Laurent Dussopt
- article
- 39th ESA Antenna Workshop, Oct 2018, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Electronically-steerable transmitarray at Ka-band with 2 bits of phase quantization
- auteur
- Fatimata Diaby, Antonio Clemente, Ronan Sauleau, Trung Kien Pham, Laurent Dussopt
- article
- 39th ESA Antenna Workshop, Oct 2018, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Continuous parallel plate waveguide shaped delay lens-like beamformers for future high performance multiple beam space antennas
- auteur
- François Doucet, N.J.G. Fonseca, Etienne Girard, Hervé Legay, Ronan Sauleau
- article
- 39th ESA Antenna Workshop, Oct 2018, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Innovative multibeam dual-polarized continuous transverse stub antennas for space applications
- auteur
- Maciej Smierzchalski, Francesco Foglia Manzillo, M. del Mastro, Nicolas Capet, Baptiste Palacin, Ronan Sauleau, Mauro Ettorre
- article
- 39th ESA Antenna Workshop, Oct 2018, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Planar Multilayer Absorber Based on Carbon Fibers Loaded Epoxy Foam: Design and Analysis
- auteur
- Aicha El Assal, Ratiba Benzerga, Ala Sharaiha, Ali Harmouch, Akil Jrad
- article
- MMA2018 (10th International Conference on Microwave Materials and their Applications 2018), Oct 2018, Osaka, Japan
- Accès au bibtex
-
- titre
- Anechoic Chamber Absorber: a step towards a green material
- auteur
- Chloé Mejean, Ratiba Benzerga, Ala Sharaiha
- article
- MMA2018 (10th International Conference on Microwave Materials and their Applications 2018), Oct 2018, Osaka, Japan
- Accès au bibtex
-
- titre
- Carbon loading from nanoparticles to fibers for the electromagnetic absorption
- auteur
- Ratiba Benzerga, Chloé Mejean, Mathieu Badard, Claire Le Paven, Ala Sharaiha
- article
- MMA2018 (10th International Conference on Microwave Materials and their Applications 2018), Oct 2018, Osaka, Japan
- Accès au bibtex
-
- titre
- Activité de couches minces de TiO2 contre Listeria monocytogenes
- auteur
- Christine Pissavin, Justine Louis, Marion Barthomeuf, Arnaud Castillo, Laurent Le Gendre, Xavier Castel
- article
- SFM 2018 ‘congrès national de la Société Française de Microbiologie’, Oct 2018, Paris, France. 2 pp
- Accès au bibtex
-
- titre
- KA-BAND METAL−ONLY TRANSMITARRAYS FOR LOW−COST SATCOM TERMINALS
- auteur
- Kien Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- 39th ESA Antenna Workshop, Oct 2018, Noordwijk, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Dual-gate and gate-ail-around polycrystalline silicon nanowires field effect transistors Simulation and characterization
- auteur
- Anne-Claire Salaün, Brice Le Borgne, Laurent Pichon
- article
- Symposium on Thin Film Transistor Technologies 14, TFTT 2018 - AiMES 2018, ECS and SMEQ Joint International Meeting, Sep 2018, Cancun, Mexico. pp.79-88, ⟨10.1149/08611.0079ecst⟩
- Accès au bibtex
-
- titre
- Dual-gate TFT for chemical detection
- auteur
- F. Le Bihan, L. Donero, Brice Le Borgne, O. de Sagazan, P.-Y. Tessiei, A.-A. El Mel, E. Kovacevic, L. Le Brizoual
- article
- Symposium on Thin Film Transistor Technologies 14, TFTT 2018 - AiMES 2018, ECS and SMEQ Joint International Meeting, Sep 2018, Cancun, Mexico. pp.169-176
- Accès au bibtex
-
- titre
- Mechanical microsensors for pulse measurement
- auteur
- F. Garcia-Castro, O. de Sagazan, C. Simon, N. Coulon, F. Le Bihan
- article
- Symposium on Wearable Sensors and Systems 1 -and- Microfabricated and Nanofabricated Systems for MEMS/NEMS 14 - AiMES 2018, ECS and SMEQ Joint International Meeting, Sep 2018, Cancun, Mexico. pp.71-78, ⟨10.1149/08616.007leest⟩
- Accès au bibtex
-
- titre
- Transparent antennas printed on glass for connected glazing applications
- auteur
- Xavier Castel, Mohamed Himdi
- article
- Journée ‘Telecom Friendly’, SAINT-GOBAIN Research, Sep 2018, Paris, France
- Accès au bibtex
-
- titre
- Transitions de phase lipidique fluide/gel : l'apport des microrésonateurs optiques
- auteur
- Véronique Vié, Qingyue Li, Lucas Garnier, Hervé Lhermite, Bruno Bêche
- article
- 8èmes Rencontres Biologie-Physique du Grand-Ouest, Sep 2018, Vannes, France
- Accès au bibtex
-
- titre
- Increasing Attractiveness of Electrical Engineering for Schoolers Through Experiments on Microelectronics and Nanotechnology Interuniversity Platforms
- auteur
- Olivier Bonnaud, Ahmad Bsiesy, Eric Martinet, Robert Baptist, Skandar Basrour, Etienne Pernot
- article
- 2018 28th EAEEIE Annual Conference (EAEEIE), Sep 2018, Reykjavik, Iceland. pp.1-5, ⟨10.1109/eaeeie.2018.8534281⟩
- Accès au bibtex
-
- titre
- Non-linear Effect Mitigation for FMCW Radar System
- auteur
- Nizar Bouhlel, Stéphane Meric, Claude Moullec, Christian Brousseau
- article
- European Radar Conference (EuRAD 2018), Sep 2018, Madrid, Spain. ⟨10.23919/eurad.2018.8546550⟩
- Accès au texte intégral et bibtex
-
- titre
- Circuital Analysis of Full-Metal Polarisers Based on 3D Unit-Cells
- auteur
- M. García-Vigueras, C. Molero
- article
- 48th European Microwave Conference, EuMC 2018, Sep 2018, Madrid, Spain. pp.149-152, ⟨10.23919/EuMC.2018.8541502⟩
- Accès au bibtex
-
- titre
- A Distributed Framework for Low-Latency OpenVX over the RDMA NoC of a Clustered Manycore
- auteur
- Julien Hascoet, Benoît Dupont de Dinechin, Karol Desnos, Jean-Francois Nezan
- article
- IEEE High Performance Extreme Computing Conference (HPEC 2018), Sep 2018, Waltham, MA, United States. ⟨10.1109/hpec.2018.8547736⟩
- Accès au texte intégral et bibtex
-
- titre
- NoiseNet Signal-Dependent Noise Variance Estimation with Convolutional Neural Network
- auteur
- M. Uss, B. Vozel, V. Lukin, K. Chehdi
- article
- 19th International Conference on Advanced Concepts for Intelligent Vision Systems, ACIVS 2018, Sep 2018, Poitiers, France. pp.414-425, ⟨10.1007/978-3-030-01449-0_35⟩
- Accès au bibtex
-
- titre
- Model-Free Controller for Suppressing Circulating Currents in Parallel-Connected Inverters
- auteur
- S. Bella, A. Djcrioui, Azeddine Houari, A. Chouder, M. Machmoum, M-F. Benkhoris, K. Ghedamsi
- article
- 2018 IEEE Industry Applications Society Annual Meeting (IAS2018), Sep 2018, Portland, France. pp.1-6, ⟨10.1109/IAS.2018.8544482⟩
- Accès au bibtex
-
- titre
- Projets STARCOM – NIDASTIC (Matériaux composites multifonctionnels pour applications hyperfréquences)
- auteur
- Patrick Parneix, Xavier Castel
- article
- Journée Naval Innovation Partners, Naval Group, Sep 2018, Rennes, France
- Accès au bibtex
-
- titre
- Enhanced Software Implementation of a Chaos-Based Stream Cipher
- auteur
- Guillaume Gautier, Safwan El Assad, Olivier Déforges, Sylvain Guilley, Adrien Facon, Wassim Hamidouche
- article
- SECURWARE 2018, Sep 2018, Venise, Italy. pp.128-133
- Accès au texte intégral et bibtex
-
- titre
- Application of unsupervised nearest-neighbor density-based approaches to sequential dimensionality reduction and clustering of hyperspectral images
- auteur
- Claude Cariou, Kacem Chehdi
- article
- Image and Signal Processing for Remote Sensing, Sep 2018, Berlin, Germany. pp.16, ⟨10.1117/12.2325530⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards a Blind Restoration Method of Hyperspectral Images
- auteur
- Mo Zhang, Benoit Vozel, Kacem Chehdi, Mykhail Uss, Sergey Abramov, Vladimir Lukin
- article
- Conference on Image and Signal Processing for Remote Sensing XXIV, Sep 2018, Berlin, Germany. ⟨10.1117/12.2325456⟩
- Accès au bibtex
-
- titre
- Preliminary filtering and lossy compression of noisy remote sensing images
- auteur
- Alexander N. Zemliachenko, Sergey K. Abramov, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- Conference on Image and Signal Processing for Remote Sensing XXIV, Sep 2018, Berlin, Germany. ⟨10.1117/12.2325102⟩
- Accès au bibtex
-
- titre
- Using inter-channel correlation in blind evaluation of noise characteristics in multichannel remote sensing images
- auteur
- Victoriya V. Abramova, Sergey K. Abramov, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- Conference on Image and Signal Processing for Remote Sensing XXIV, Sep 2018, Berlin, Germany. ⟨10.1117/12.2325100⟩
- Accès au bibtex
-
- titre
- Hardware Runtime Verification of a RTOS Kernel: Evaluation Using Fault Injection
- auteur
- Dimitry Solet, Mikaël Briday, Jean-Luc Béchennec, Sébastien Faucou, Sébastien Pillement
- article
- 14th European Dependable Computing Conference (EDCC), Sep 2018, Iasi, Romania. ⟨10.1109/edcc.2018.00016⟩
- Accès au bibtex
-
- titre
- A Novel Statistical Model of OFDM Signals with Optimal Tone Reservation PAPR Reduction for EVM Theoretical Analysis
- auteur
- Mariam Elhassan, Matthieu Crussière, Jean-François Hélard, Youssef Nasser, Oussama Bazzi
- article
- IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, Sep 2018, bologne, Italy
- Accès au texte intégral et bibtex
-
- titre
- Indoor Path Loss Measurements and Modeling in an Open-Space Office at 2.4 GHz and 5.8 GHz in the Presence of People
- auteur
- Samar Kaddouri, Marwan El Hajj, Gheorghe Zaharia, Ghaïs El Zein
- article
- IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2018, Bologna, Italy
- Accès au texte intégral et bibtex
-
- titre
- Adaptive Width Peak Cancellation Scheme ForMulticarrier Systems
- auteur
- Ali Cheaito, Yves Louët
- article
- International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2018), Sep 2018, Bologna, Italy. ⟨10.1109/pimrc.2018.8580888⟩
- Accès au bibtex
-
- titre
- Identification of Wave Scatterers in an Urban MicroCellular Environment at 32 GHz
- auteur
- Mamadou D. Balde, Bernard Uguen, Aki Karttunen, Katsuyuki Haneda
- article
- 29th IEEE Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2018, Bologna, Italy. ⟨10.1109/pimrc.2018.8580683⟩
- Accès au bibtex
-
- titre
- Millimeter-Wave Technologies for Body-Centric Applications
- auteur
- Maxim Zhadobov
- article
- 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2018, Nagoya, Japan. ⟨10.1109/irmmw-thz.2018.8509958⟩
- Accès au bibtex
-
- titre
- Leakage based users selection for hybrid beamforming in millimeterwave MIMO
- auteur
- Mohamed Shehata, Matthieu Crussière, Maryline Hélard, Patrice Pajusco
- article
- PIMRC18: 29th Annual IEEE International symposium on personnal, indoor and mobile radio communications, Sep 2018, Bologne, Italy. ⟨10.1109/pimrc.2018.8580820⟩
- Accès au bibtex
-
- titre
- Analytical Study of the Probability of Coverage in Hybrid Broadcast-Unicast Networks
- auteur
- Ahmad Shokair, Youssef Nasser, Matthieu Crussière, Jean-François Hélard, Oussama Bazzi
- article
- 29th IEEE Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2018, Bologna, Italy. ⟨10.1109/pimrc.2018.8580980⟩
- Accès au bibtex
-
- titre
- Coverage and Throughput Analysis at 60 GHz for Indoor WLAN with Indirect Paths
- auteur
- Marc Kacou, Valery Guillet, Ghaïs El Zein, Gheorghe I. Zaharia
- article
- 29th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC 2018), Sep 2018, Bologne, Italy. ⟨10.1109/pimrc.2018.8580903⟩
- Accès au texte intégral et bibtex
-
- titre
- Localization optimal multi-user beamforming with multi-carrier mmWave MIMO
- auteur
- Remun Koirala, Benoit Denis, Bernard Uguen, Davide Dardari, Henk Wymeersch
- article
- 29th IEEE Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), Sep 2018, Bologna, Italy. ⟨10.1109/pimrc.2018.8580712⟩
- Accès au bibtex
-
- titre
- Enhancing heterodyne system performances using fundamental millimeter wave mixers with 36 GHz instantaneous IF Bandwidth and 35 % relative detection bandwidth
- auteur
- J. Treuttel, D. Gonzalez-Ovejero, C. Lee, I. Mehdi
- article
- 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2018, Nagoya, Japan. ⟨10.1109/irmmw-thz.2018.8510158⟩
- Accès au bibtex
-
- titre
- Accurate Sparse Feature Regression Forest Learning for Real-Time Camera Relocalization
- auteur
- Nam-Duong Duong, Amine Kacete, Catherine Soladie, Pierre-Yves Richard, Jérôme Royan
- article
- 6th International Conference on 3D Vision (3DV), Sep 2018, Verona, Italy. ⟨10.1109/3DV.2018.00079⟩
- Accès au bibtex
-
- titre
- Online Sparse Scene Coordinates Learning for Real-Time Camera Relocalization
- auteur
- Nam-Duong Duong, Amine Kacete, Catherine Soladie, Pierre-Yves Richard, Jérôme Royan
- article
- 6th International Conference on 3D Vision (3DV), Sep 2018, Verona, Italy
- Accès au texte intégral et bibtex
-
- titre
- Comparison of the microwave performance of transparent monopole antennas made of different transparent conducting films
- auteur
- Xavier Castel, Mohamed Himdi, Franck Colombel
- article
- IEEE CAMA 2018, ‘IEEE Conference on Antenna Measurements & Applications’, Sep 2018, Västeras, Sweden. 4 pp., ⟨10.1109/cama.2018.8530552⟩
- Accès au bibtex
-
- titre
- Reflection coefficient measurements in the l-band with low directivity antennas in a multipath site
- auteur
- L. Anchidin, I.V. Mihai, R.D. Tamas, A. Sharaiha
- article
- 2018 IEEE Conference on Antenna Measurements and Applications, CAMA 2018, Sep 2018, Västerås, Sweden. pp.8530665, ⟨10.1109/CAMA.2018.8530665⟩
- Accès au bibtex
-
- titre
- CASSIS: Characterization with Adaptive Sample-Size Inferential Statistics Applied to Inexact Circuits
- auteur
- Justine Bonnot, Vincent Camus, Karol Desnos, Daniel Menard
- article
- EUSIPCO: EUropean SIgnal Processing Conference, Sep 2018, Rome, Italy. ⟨10.23919/eusipco.2018.8553451⟩
- Accès au texte intégral et bibtex
-
- titre
- C-Band 2×2 MIMO multi-carrier tomographic radar for complex environment volumetric imaging
- auteur
- L. Harkati, S. Avrillon, Laurent Ferro-Famil
- article
- 2018 IEEE Conference on Antenna Measurements and Applications, CAMA 2018, Sep 2018, Västerås, Sweden. pp.8530499, ⟨10.1109/CAMA.2018.8530499⟩
- Accès au bibtex
-
- titre
- The effect of the antenna group delay on RCS measurements in the L-band
- auteur
- I.V. Mihai, L. Anchidin, R.D. Tamas, A. Sharaiha
- article
- 2018 IEEE Conference on Antenna Measurements and Applications, CAMA 2018, Sep 2018, Västerås, Sweden. pp.8530456, ⟨10.1109/CAMA.2018.8530456⟩
- Accès au bibtex
-
- titre
- New Doppler Processing for the Detection of Small and Slowly-Moving Targets in Highly Ambiguous Radar Context
- auteur
- Linda Aouchiche, Laurent Ferro-Famil, Claude Adnet
- article
- 2018 26th European Signal Processing Conference (EUSIPCO), Sep 2018, Rome, Italy. pp.5862-5865, ⟨10.23919/eusipco.2018.8553021⟩
- Accès au bibtex
-
- titre
- Born approximation to evaluate the em field variation induced by materials properties perturbations
- auteur
- S. Loillier, B. Etchessahar, G. Maze-Merceur, Renaud Loison, S. Méric
- article
- 2018 IEEE Conference on Antenna Measurements and Applications, CAMA 2018, Sep 2018, Västerås, Sweden. pp.8530638, ⟨10.1109/CAMA.2018.8530638⟩
- Accès au bibtex
-
- titre
- Energy consumption modeling for communicating sensors using LoRa technology
- auteur
- Taoufik Bouguera, Jean-François Diouris, Jean-Jacques Chaillout, Guillaume Andrieux
- article
- IEEE Conference on Antenna Measurements and Applications, Sep 2018, Västerås, Sweden. Paper No.1029, ⟨10.1109/cama.2018.8530593⟩
- Accès au bibtex
-
- titre
- Inhomogeneous lens antenna using pressed foam technological process in MM wave range
- auteur
- O. Lafond, Mohamed Himdi, J. Bor, A. Jouade
- article
- 2018 IEEE Conference on Antenna Measurements and Applications, CAMA 2018, Sep 2018, Västerås, Sweden. pp.8530637, ⟨10.1109/CAMA.2018.8530637⟩
- Accès au bibtex
-
- titre
- End-to-End Real-Time ROI-Based Encryption in HEVC Videos
- auteur
- Mohammed Abu Taha, N. Sidaty, Wassim Hamidouche, Olivier Déforges, J. Vanne, M. Viitanen
- article
- EUropean Signal Processing Conference (EUSIPCO 2018), Sep 2018, Rome, Italy
- Accès au bibtex
-
- titre
- Global power amplifier efficiency evaluation withPAPR reduction method for post-OFDM waveforms
- auteur
- Yves Louët, Daniel Roviras, Amor Nafkha, Hmaied Shaiek, Rafik Zayani
- article
- International Symposium on Wireless Communication System (ISWCS 2018), Aug 2018, Lisbonne, Portugal. ⟨10.1109/iswcs.2018.8491086⟩
- Accès au bibtex
-
- titre
- Impact of selective channels on post-OFDMwaveforms for 5G Machine Type Communications
- auteur
- Yahia Medjahdi, Yves Louët, Daniel Roviras, Sylvain Traverso, Robin Gerzaguet, Hmaied Shaiek, Rafik Zayani, David Demmer, Rostom Zakaria, Jean-Baptiste Doré, Mouna Ben Mabrouk, Didier Le Ruyet
- article
- International Symposium on Wireless Communication System (ISWCS 2018), Aug 2018, Lisbonne, Portugal. ⟨10.1109/iswcs.2018.8491073⟩
- Accès au bibtex
-
- titre
- Inferring the Probability Distribution of the Electromagnetic Susceptibility of Equipment from a Limited Set of Data
- auteur
- T. Houret, Philippe Besnier, S. Vauchamp, P. Pouliguen
- article
- 2018 International Symposium on Electromagnetic Compatibility (EMC EUROPE), Aug 2018, Amsterdam, Netherlands. ⟨10.1109/emceurope.2018.8485108⟩
- Accès au texte intégral et bibtex
-
- titre
- The practice in microelectronics: a mandatory complement of the online courses in the context of digital society
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 33rd Symposium on Microelectronics Technology and Devices (SBMICRO 2018), Aug 2018, Bento Gonçalves - Rio Grande do Sul, Brazil. ⟨10.1109/sbmicro.2018.8511430⟩
- Accès au bibtex
-
- titre
- A REDUCED COMPLEXITY OQPSK-TYPEDETECTOR FOR SOQPSK
- auteur
- Rami Othman, Yves Louët, Alexandre Skrzypczak
- article
- Vehicular Technology Conference (VTC 2018-Fall), Aug 2018, Chicago, United States. ⟨10.1109/vtcfall.2018.8690874⟩
- Accès au bibtex
-
- titre
- A Study of Electric-Field Measurement Disturbances Brought by Probe Supports
- auteur
- Ludivine Le Bars, Jean-François Rosnarho, Jérôme Sol, Philippe Besnier, Francois Sarrazin, Elodie Richalot
- article
- 2018 International Symposium on Electromagnetic Compatibility - EMC EUROPE, Aug 2018, Amsterdam, France. ⟨10.1109/EMCEurope.2018.8484993⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning approach for global no-reference video quality model generation
- auteur
- I. Saidi, Lu Zhang, V. Barriac, O. Deforges
- article
- Applications of Digital Image Processing XLI 2018, Aug 2018, San Diego, United States. pp.1075212, ⟨10.1117/12.2320996⟩
- Accès au bibtex
-
- titre
- Wideband Electrically Small Antenna Internally Loaded With Non-Foster Circuit
- auteur
- Hussein Jaafar, Dominique Lemur, Sylvain Collardey, Ala Sharaiha
- article
- 18th International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM), Aug 2018, Waterloo, Canada. ⟨10.1109/antem.2018.8572983⟩
- Accès au bibtex
-
- titre
- Ka-band modulated metasurface antennas fabricated by metal additive manufacturing
- auteur
- David Gonzalez-Ovejero, Mauro Ettorre, Nacer Chahat, Ronan Sauleau, Stefano Maci, Goutam Chattopadhyay
- article
- 18th International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM), Aug 2018, Waterloo, Canada. ⟨10.1109/antem.2018.8572964⟩
- Accès au bibtex
-
- titre
- Performance comparison of objective metrics on free-viewpoint videos with different depth coding algorithms
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, Olivier Déforges
- article
- SPIE International Symposium on Optics + Photonics, Aug 2018, San diego, United States. ⟨10.1117/12.2321270⟩
- Accès au texte intégral et bibtex
-
- titre
- FM antenna with soft visual impact made from micrometric mesh metal technology
- auteur
- Alexis Martin, Corentin Gautier, Xavier Castel, Mohamed Himdi
- article
- ANTEM 2018 “18th International Symposium on Antenna Technology and Applied Electromagnetics”, Aug 2018, Waterloo, Canada. pp.108, ⟨10.1109/antem.2018.8573032⟩
- Accès au bibtex
-
- titre
- Equivalent circuit for an ultra-wide bande monopole antenna inserted in a magneto-dielectric medium
- auteur
- A. Kabalan, A. Sharaiha, A-C. Tarot
- article
- 18th International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM), Aug 2018, Waterloo, Canada. ⟨10.1109/antem.2018.8573046⟩
- Accès au bibtex
-
- titre
- 60 GHz optically transparent patch antenna arrays made of double-sided micrometric mesh metal layers
- auteur
- Alexis Martin, Olivier Lafond, Mohamed Himdi, Xavier Castel
- article
- ANTEM 2018 “18th International Symposium on Antenna Technology and Applied Electromagnetics”, Aug 2018, Waterloo, Canada. pp.201, ⟨10.1109/antem.2018.8572996⟩
- Accès au bibtex
-
- titre
- HW-based Architecture for Runtime Verification of Embedded Software on SOPC systems
- auteur
- Dimitry Solet, Jean-Luc Béchennec, Mikaël Briday, Sébastien Faucou, Sébastien Pillement
- article
- 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), Aug 2018, Edinburgh, United Kingdom. ⟨10.1109/AHS.2018.8541459⟩
- Accès au bibtex
-
- titre
- Salgan360 Visual saliency prediction on 360 degree images with generative adversarial networks
- auteur
- F.-Y. Chao, Lu Zhang, Wassim Hamidouche, O. Deforges
- article
- 2018 IEEE International Conference on Multimedia and Expo Workshops, ICMEW 2018, Jul 2018, San Diego, United States. pp.8551543, ⟨10.1109/ICMEW.2018.8551543⟩
- Accès au bibtex
-
- titre
- Introduction to image processing and its applications
- auteur
- Kidiyo Kpalma
- article
- Regional Colloquium on Physics and Applications (CREPAS 2018), Jul 2018, Lomé, Togo
- Accès au bibtex
-
- titre
- A tribute to Wolfgang-Martin BOERNER
- auteur
- E. Pottier
- article
- IGARSS 2018, Jul 2018, Valencia, Spain
- Accès au bibtex
-
- titre
- POLARIMETRIC COHERENCE OPTIMIZATION AS A MULTIDIMENSIONAL POLARIMETRIC SAR SIGNAL PROCESSING TOOL
- auteur
- Laurent Ferro-Famil, Yue Huang
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. ⟨10.1109/igarss.2018.8519068⟩
- Accès au bibtex
-
- titre
- IMPROVED CHARACTERIZATION OF A TROPICAL FOREST USING POLARIMETRIC TOMOGRAPHIC SAR DATA ACQUIRED AT P BAND
- auteur
- Laurent Ferro-Famil, B. El Hajj Chehade, R. Abdo, Dinh Ho Tong Minh, S. Tebaldini, Thuy Le Toan
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. pp.8590-8593, ⟨10.1109/IGARSS.2018.8519280⟩
- Accès au bibtex
-
- titre
- POLSARPRO-BIO AN ESA EDUCATIONAL TOOLBOX USED FOR SELF-EDUCATION IN THE FIELD OF POLSAR, POL-INSAR AND POL-TOMOSAR DATA ANALYSIS
- auteur
- E. Pottier, Laurent Ferro-Famil, Magdalena Fitrzyk, Yves-Louis Desnos
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. pp.6568-6571, ⟨10.1109/igarss.2018.8517841⟩
- Accès au bibtex
-
- titre
- COMBINED USE OF MULTIMODAL SIMILARITY MEASURES FOR VISUAL TO RADAR IMAGE REGISTRATION
- auteur
- M. L. Uss, B. Vozel, V. V. Lukin, K. Chehdi
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain
- Accès au bibtex
-
- titre
- ANALYSIS OF POLARIMETRIC FEATURE COMBINATION BASED ON POLSAR IMAGE CLASSIFICATION PERFORMANCE WITH MACHINE LEARNING APPROACH
- auteur
- Qiang Yin, Wen Hong, Fan Zhang, E. Pottier
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. ⟨10.1109/igarss.2018.8517585⟩
- Accès au bibtex
-
- titre
- HYPERSPECTRAL IMAGE RESTORATION BASED ON SALIENT EDGES
- auteur
- Mo Zhang, B. Vozel, K. Chehdi, Mykhail Uss, Sergey Abramov, Vladimir Lukin
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain
- Accès au bibtex
-
- titre
- Fixed Complexity Soft-Output Detection Algorithm Through Exploration and Exploitation Processes
- auteur
- Bastien Trotobas, Amor Nafkha
- article
- The Fourteenth Advanced International Conference on Telecommunications (AICT 2018), Jul 2018, Barcelone, Spain. pp.89-93
- Accès au texte intégral et bibtex
-
- titre
- Low-Complexity Antenna Selection for Minimizing the Power Consumption of a MIMO Base Station
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot, Amor Nafkha
- article
- The Fourteenth Advanced International Conference on Telecommunications AICT 2018, Jul 2018, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- SINCOHMAP LAND-COVER AND VEGETATION MAPPING USING MULTI-TEMPORAL SENTINEL-1 INTERFEROMETRIC COHERENCE
- auteur
- F. Vicente-Guijalba, A. Jacob, J. M. Lopez-Sanchez, C. Lopez-Martinez, J. Duro, C. Notarnicola, D. Ziolkowski, A. Mestre-Quereda, E. Pottier, J. J. Mallorqui, M. Lavalle, M. Engdahl
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. ⟨10.1109/igarss.2018.8517926⟩
- Accès au bibtex
-
- titre
- Identification of winter land use in temperate agricultural landscapes based on Sentinel-1 and 2 times-series.
- auteur
- Julien Denize, Laurence Hubert-Moy, Samuel Corgne, Julie Betbeder, E. Pottier
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. ⟨10.1109/igarss.2018.8517673⟩
- Accès au bibtex
-
- titre
- Afrisar-Tropisar: Forest Biomass Retrieval by P-Band Sar Tomography
- auteur
- Y.-N. Ngo, Dinh Ho Tong Minh, I. Moussawi, Ludovic Villard, Laurent Ferro-Famil, M. Mariotti d'Alessandro, S. Tebaldini, C. Albinetv, K. Scipal, Thuy Le Toan
- article
- IGARSS 2018 - 2018 IEEE International Geoscience and Remote Sensing Symposium, Jul 2018, Valencia, France. pp.8675-8678, ⟨10.1109/IGARSS.2018.8517464⟩
- Accès au bibtex
-
- titre
- Machine-learning fusion of PolSAR and LiDAR data for tropical forest canopy height estimation
- auteur
- M. Pourshamsi, M. Garcia, M. Lavalle, E. Pottier, H. Balzter
- article
- 38th Annual IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2018, Jul 2018, Valencia, Spain. pp.8108-8111, ⟨10.1109/IGARSS.2018.8518030⟩
- Accès au bibtex
-
- titre
- Evaluation of the potentiality of polarimetric C- and L-SAR timeseries images for the identification of winter land-use
- auteur
- Julien Denize, Laurence Hubert-Moy, Samuel Corgne, Julie Betbeder, E. Pottier
- article
- 38th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2018, Valencia, Spain. ⟨10.1109/igarss.2018.8517904⟩
- Accès au bibtex
-
- titre
- Challenge of the semiconductor technologies through innovative education
- auteur
- Olivier Bonnaud
- article
- The first International Semiconductor Conference for Global Challenges (ISCGC 2017), Electrochemical Society and the Chinese Physical Society, Jul 2018, Nanjing (Jiangsu), China
- Accès au bibtex
-
- titre
- The Challenge of Multi-Operand Adders in CNNs on FPGAs: How Not to Solve It!
- auteur
- Kamel Abdelouahab, Maxime Pelcat, François Berry
- article
- 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS '18), Jul 2018, Pythagorion, Greece. pp.157-160, ⟨10.1145/3229631.3235024⟩
- Accès au texte intégral et bibtex
-
- titre
- Delays and States in Dataflow Models of Computation
- auteur
- Florian Arrestier, Karol Desnos, Maxime Pelcat, Julien Heulot, Eduardo Juarez, Daniel Menard
- article
- SAMOS XVIII, Jul 2018, Pythagorion, Greece. ⟨10.1145/3229631.3229645⟩
- Accès au texte intégral et bibtex
-
- titre
- Algorithm-level Approximation for Fast (or not) Embedded Stereovision Algorithm
- auteur
- Justine Bonnot, Karol Desnos, Daniel Ménard
- article
- SAMOS: International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation, Jul 2018, Samos Island, Greece. ⟨10.1145/3229631.3229638⟩
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Model Predictive Control for Building Energy Management of Hybrid Systems
- auteur
- Amanda Abreu, Romain Bourdais, Hervé Guéguen
- article
- Conference on Analysis and Design of Hybrid Systems ADHS, Jul 2018, Oxford, United Kingdom. pp.235 - 240, ⟨10.1016/j.ifacol.2018.08.040⟩
- Accès au bibtex
-
- titre
- Integrated Sensors and NanoPhotonics for Soft-matter Processes
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Alain Moréac, R Castro-Beltrán, Bruno Bêche
- article
- Molecular Electronic and Photonics Symposium, MEP 2018, Jul 2018, Rennes, France. pp.58-59
- Accès au bibtex
-
- titre
- Estimation de la densité de puissance incidente mono-source en visibilité directe
- auteur
- Shirin Aghabeiki, Constant Niamien, Moncef Kadi, Mohamed Fall
- article
- 19ème Colloque International & Exposition sur la Compatibilité Electromagnétique (CEM 2018), Jul 2018, Paris, France
- Accès au bibtex
-
- titre
- A Neural Network Based Handover for Multi-RAT Heterogeneous Networks with Learning Agent
- auteur
- M.-A.-F. Rihani, M. Mroue, J.-C. Prevotct, F. Nouvel, Y. Mohanna
- article
- 13th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2018, Jul 2018, Lille, France. pp.8449382, ⟨10.1109/ReCoSoC.2018.8449382⟩
- Accès au bibtex
-
- titre
- Analyse de la vraisemblance de résultats issus de différents outils de simulation numérique de la CEM de cartes électroniques
- auteur
- F Delaporte, Philippe Besnier, B Azanowsky
- article
- CEM 2018 “19ème Colloque International et Exposition sur la Compatibilité ElectroMagnétique”, Jul 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Dépôt en couche mince de blindage électromagnétique préservant la transparence optique de substrats de verre : ajustement des performances par modélisation
- auteur
- Yonathan Corredores, Xavier Castel, Philippe Besnier, Patrice Foutrel, Cyril Dupeyrat
- article
- CEM 2018 “19ème Colloque International et Exposition sur la Compatibilité ElectroMagnétique”, Jul 2018, Paris, France. 6 pp
- Accès au bibtex
-
- titre
- Ferroelectricity and high tunability in novel strontium and tantalum based layered perovskite materials
- auteur
- Florent Marlec, Claire Le Paven, Laurent Le Gendre, Ratiba Benzerga, François Cheviré, Franck Tessier, Benoit Guiffard, Ala Sharaiha
- article
- Electroceramics XVI, Jul 2018, Hasselt, Belgium
- Accès au bibtex
-
- titre
- SInCohMap: Sentinel-1 multi-temporal interferometric coherence for land cover classification and mapping
- auteur
- F. Vicente-Guijalba, Jakob A., Juan M. Lopez-Sanchez, C. Lopez-Martinez, C. Notarnicola, Ziolowski D., Duro J., R. Sonnenschein, A. Hoscilo, Dabrowska K., Z. Bochenek, J.J. Mallorquí, E. Pottier, Lavalle M., M. Engdahl
- article
- 38th Annual EARSeL Symposium 2018, Jul 2018, Chania, Greece
- Accès au bibtex
-
- titre
- Self-Inductance Prediction for Straight and Toroidal Air Core Coils
- auteur
- Marine Stojanovic, Frédéric Lafon, Richard Perdriau, Mohamed Ramdani
- article
- CEM 2018, Jul 2018, Paris, France
- Accès au bibtex
-
- titre
- Inférence statistique du niveau de susceptibilité électromagnétique à partir de tests effectués sur quelques exemplaires d'un équipement
- auteur
- T. Houret, Philippe Besnier, S Vauchamp, P. Pouliguen
- article
- CEM 2018 “19ème Colloque International et Exposition sur la Compatibilité ElectroMagnétique”,, Jul 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Antenna Far Field Antenna Characterization with Reduced Number of Sampling Points
- auteur
- Benjamin Fuchs, Laurent Le Coq, Sébastien Rondineau, Marco Donald Migliore
- article
- IEEE International Symposium on Antennas and Propagation, Jul 2018, Boston, United States
- Accès au bibtex
-
- titre
- Perturbative Reciprocal Formulation for Maxwell's Equations a First Approach
- auteur
- S. Loillier, B. Etchessahar, G. Maze-Merceur, Stéphane Meric, Renaud Loison
- article
- IEEE-Antennas-and-Propagation-Society International Symposium on Antennas and Propagation / USNC/URSI National Radio Science Meeting, Jul 2018, Boston, MA, United States. ⟨10.1109/apusncursinrsm.2018.8608956⟩
- Accès au bibtex
-
- titre
- Innovative CTS antenna architecture for beam reconfiguration in E-plane
- auteur
- Thomas Potelon, Mauro Ettorre, Ronan Sauleau, Terry Bateman, Jim Francey
- article
- IEEE-Antennas-and-Propagation-Society International Symposium on Antennas and Propagation / USNC/URSI National Radio Science Meeting, Jul 2018, Boston, MA, United States. ⟨10.1109/apusncursinrsm.2018.8609036⟩
- Accès au bibtex
-
- titre
- Non-Uniformly Fed Broadband CTS Array for Side Lobe Level Reduction in E-plane
- auteur
- Thomas Potelon, Mauro Ettorre, Ronan Sauleau
- article
- IEEE-Antennas-and-Propagation-Society International Symposium on Antennas and Propagation / USNC/URSI National Radio Science Meeting, Jul 2018, Boston, MA, United States. ⟨10.1109/apusncursinrsm.2018.8609160⟩
- Accès au bibtex
-
- titre
- Non-Uniformly Fed Broadband CTS Array for Side Lobe Level Reduction is E-plane
- auteur
- T. Potelon, M. Ettorre, R. Sauleau
- article
- 2018 IEEE Antennas and Propagation Society International Symposium and USNC/URSI National Radio Science Meeting, APSURSI 2018, Jul 2018, Boston, United States. pp.819-820, ⟨10.1109/APUSNCURSINRSM.2018.8609160⟩
- Accès au bibtex
-
- titre
- Single Layer Dual-Band 'Phoenix' Reflectarray Unit Cell With Dual-Linear Polarization
- auteur
- Tony Makdissy, Raphaël Gillard
- article
- IEEE-Antennas-and-Propagation-Society International Symposium on Antennas and Propagation / USNC/URSI National Radio Science Meeting, Jul 2018, Boston, United States
- Accès au bibtex
-
- titre
- Low Scan Loss Bifocal Ka-band Transparent Transmitarray Antenna
- auteur
- Guang Liu, Eduardo Motta Cruz, Trung Kien Pham, David Gonzalez-Ovejero, Ronan Sauleau
- article
- IEEE-Antennas-and-Propagation-Society International Symposium on Antennas and Propagation / USNC/URSI National Radio Science Meeting, Jul 2018, Boston, MA, United States. ⟨10.1109/apusncursinrsm.2018.8609274⟩
- Accès au bibtex
-
- titre
- A Fast PM-Based DOA Estimation Method with Automatic Pairing
- auteur
- Weicong Tang, Liu Licheng, Yide Wang
- article
- International Conference on Communication Software and Networks, Jul 2018, Chengdu, China. Paper ID : N1011, ⟨10.1109/iccsn.2018.8488231⟩
- Accès au bibtex
-
- titre
- Best-Performing Color Space for Land-Sea Segmentation
- auteur
- S. Toure, O. Diop, K. Kpalma, A.S. Maiga
- article
- 41st International Conference on Telecommunications and Signal Processing, TSP 2018, Jul 2018, Athens, Greece. pp.8441437, ⟨10.1109/TSP.2018.8441437⟩
- Accès au bibtex
-
- titre
- Utilisation d'impulsions courtes pour driver de grille communicant sous un fort dv/dt
- auteur
- Julien Weckbrodt, Nicolas Ginot, Christophe Batard, Stéphane Azzopardi
- article
- Symposium de Génie Electrique, Université de Lorraine [UL], Jul 2018, Nancy, France. pp.sciencesconf.org:sge2018:184065
- Accès au texte intégral et bibtex
-
- titre
- Optimisation de charge de véhicules électriques au sein d'un micro-réseau avec production d'énergie renouvelable, et stockage fixe
- auteur
- David Roszczypala, Christophe Batard, Nicolas Ginot, Frédéric Poitiers
- article
- Symposium de Génie Electrique, Université de Lorraine [UL], Jul 2018, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Gestion d'énergie avec entrées incertaines : quel algorithme choisir ? Benchmark open source sur une maison solaire
- auteur
- Pierre Haessig, Jesse James Arthur Prince Agbodjan, Romain Bourdais, Hervé Guéguen
- article
- Symposium de Génie Electrique (SGE 2018), Université de Lorraine [UL], Jul 2018, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Optimisation de charge de véhicules électriques au sein d'un micro-réseau avec production d'énergie renouvelable, et stockage fixe
- auteur
- David Roszczypala, Christophe Batard, Nicolas Ginot, Frédéric Poitiers
- article
- Symposium de Génie Electrique, Jul 2018, Nancy, France. pp.sciencesconf.org:sge2018:184430
- Accès au bibtex
-
- titre
- Modeling and Characterization of in-Body Antennas
- auteur
- Denys Nikolayev
- article
- 17th IEEE International Conference on Mathematical Methods in Electromagnetic Theory (MMET), Jul 2018, Kyiv, Ukraine
- Accès au bibtex
-
- titre
- Metal-Only Transmitarray Based on C-Shaped Slot
- auteur
- Kien Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- 2018 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2018, Boston, United States. ⟨10.1109/apusncursinrsm.2018.8608611⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarization Control of a Metal-Only Transmitarray Unit-Cell
- auteur
- Kien Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- 2018 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2018, Boston, United States. ⟨10.1109/apusncursinrsm.2018.8609344⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparison of evaporation duct models for tropical seas
- auteur
- Andy Kulessa, Hedley Hansen, Jorg Hacker, Sally Garrett, Jacques Claverie, Martin Veasey
- article
- IEEE AP-S and URSI Symposium, Jul 2018, Boston, United States
- Accès au bibtex
-
- titre
- Design of a 3-Facet Linearly-Polarized Transmitarray Antenna at Ka-band
- auteur
- Fatimata Diaby, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Kien Pham, Erwan Fourn
- article
- 2018 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting, Jul 2018, Boston, United States. ⟨10.1109/apusncursinrsm.2018.8608481⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytical expression of the refractivity profile - Subrefraction and optical cases
- auteur
- Jacques Claverie, Yvonick Hurtaud
- article
- IEEE AP-S and URSI Symposium, Jul 2018, Boston, United States. ⟨10.1109/USNC-URSI.2018.8602909⟩
- Accès au bibtex
-
- titre
- User Selection in 5G Heterogeneous Networks Based on Millimeter-Wave and Beamforming
- auteur
- Ahmad Fadel, Bernard Cousin, Ayman Khalil
- article
- IEEE HPCC Conference, Jun 2018, Exeter, United Kingdom. ⟨10.1109/HPCC/SmartCity/DSS.2018.00097⟩
- Accès au texte intégral et bibtex
-
- titre
- Innovation for education on Internet of things
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- International Conference on Advanced Technology Innovation (ICATI 2018), Jun 2018, Krabi, Thailand
- Accès au bibtex
-
- titre
- Full-Duplex or Half-Duplex D2D Mode? Closed Form Expression of the Optimal Power Allocation
- auteur
- Hussein Chour, Youssef Nasser, Oussama Bazzi, Faouzi Bader
- article
- 25th International Conference on Telecommunications (ICT'2018), Jun 2018, Saint-Malo, France. ⟨10.1109/ict.2018.8464870⟩
- Accès au texte intégral et bibtex
-
- titre
- SpatiallyCorrelated Sparse MIMO Channel Path Delay Estimation in ScatteringEnvironments
- auteur
- Ali Mohydeen, Pascal Chargé, Yide Wang, Oussama Bazzi
- article
- 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. paper #1570425219
- Accès au bibtex
-
- titre
- Tone Reservation Based Gaussian Clipping andFiltering for OFDM PAPR Mitigation
- auteur
- Jacques Palicot, Yves Louët, Désiré Guel
- article
- International Conference on Teleccommunications, Jun 2018, St Malo, France. ⟨10.1109/ict.2018.8464932⟩
- Accès au bibtex
-
- titre
- Analytical and Experimental study for LoRa Modulation
- auteur
- Hussein Mroue, Nasser Abbass, Benoît Parrein, Sofiane Hamrioui, Eduardo Motta-Cruz, Gilles Rouyer
- article
- 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. paper #1570427744
- Accès au bibtex
-
- titre
- An Analysis of Adaptive Digital Predistortion Algorithms in RF Power Amplifier
- auteur
- Han Le Duc, Bruno Feuvrie, Matthieu Pastore, Yide Wang
- article
- 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. paper #1570433259
- Accès au bibtex
-
- titre
- Analytical and Simulation study for LoRa Modulation
- auteur
- H. Mroue, Abdelkader Nasser, Sofiane Hamrioui, E. Mona-Cruz, G. Rouyer, Benoît Parrein
- article
- 25th International Conference on Telecommunications, ICT 2018, Jun 2018, Saint-Malo, France. pp.655-659, ⟨10.1109/ICT.2018.8464879⟩
- Accès au bibtex
-
- titre
- Latency Efficient Request Access Rate for Congestion Reduction in LTE MTC
- auteur
- Rémi Bonnefoi, Tarcisio F Maciel, C. Estêvão R. Fernandes
- article
- ICT 2018 - 25 th International Conference on Telecommunications , Jun 2018, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Internet of Mobile Things: Overview of LoRaWAN, DASH7, and NB-IoT in LPWANs standards and Supported Mobility
- auteur
- Wael Ayoub, Abed Ellatif Samhat, Fabienne Nouvel, Mohamad Mroue, Jean-Christophe Prévotet
- article
- 2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. ⟨10.1109/COMST.2018.2877382⟩
- Accès au texte intégral et bibtex
-
- titre
- Generalization and Coefficients Optimization of the Newton Structure
- auteur
- Ali Zeineddine, Stéphane Paquelet, Amor Nafkha, Christophe Moy, Pierre-Yves Jezequel
- article
- 2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. ⟨10.1109/ICT.2018.8464867⟩
- Accès au bibtex
-
- titre
- Overview and Measurement of Mobility in DASH7
- auteur
- Wael Ayoub, Fabienne Nouvel, Abed Ellatif Samhat, Jean-Christophe Prévotet, Mohamad Mroue
- article
- 2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. pp.532-536, ⟨10.1109/ICT.2018.8464846⟩
- Accès au texte intégral et bibtex
-
- titre
- Above-90GHz Spectrum and Single-Carrier Waveform as Enablers for Efficient Tbit/s Wireless Communications
- auteur
- Jean-Baptiste Doré, Yoann Corre, Simon Bicais, Jacques Palicot, Emmanuel Faussurier, Dimitri Kténas, Faouzi Bader
- article
- 25th International Conference on Telecommunications (ICT'2018), Jun 2018, Saint-Malo, France. ⟨10.1109/ict.2018.8464918⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint Channel Estimation and Detection ofSOQPSK Using the PAM Decomposition
- auteur
- Rami Othman, Alexandre Skrzypczak, Yves Louet
- article
- International Conference on Telecommunications, Jun 2018, St Malo, France. ⟨10.1109/ict.2018.8464828⟩
- Accès au bibtex
-
- titre
- Performance Analysis of a Multiplex With DifferentPAPR Reduction Techniques
- auteur
- Ali Cheaito, Yves Louet
- article
- International Conference on Telecommunications, Jun 2018, St Malo, France. ⟨10.1109/ict.2018.8464888⟩
- Accès au bibtex
-
- titre
- Variable Fractional Delay Filter: A Novel Architecture Based on Hermite Interpolation
- auteur
- Ali Zeineddine, Amor Nafkha, Christophe Moy, Stéphane Paquelet, Pierre-Yves Jezequel
- article
- 2018 25th International Conference on Telecommunications (ICT), Jun 2018, Saint Malo, France. ⟨10.1109/ICT.2018.8464948⟩
- Accès au bibtex
-
- titre
- Fourier Based Adaptive Waveform
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval, Faouzi Bader
- article
- ICT 2018 - 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. pp.37-41, ⟨10.1109/ICT.2018.8464864⟩
- Accès au texte intégral et bibtex
-
- titre
- Cyclic Autocorrelation based Spectrum Sensing: Theoretical Derivation Framework
- auteur
- Vincent Gouldieff, Amor Nafkha, Nicolas Grollier, Jacques Palicot, Steredenn Daumont
- article
- 2018 25th International Conference on Telecommunications (ICT), Jun 2018, Saint-Malo, France. ⟨10.1109/ICT.2018.8464887⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid beamforming for muli-user MISO channels with equal gain transmission: a robust and spectral efficient approach
- auteur
- Mohamed Shehata, Matthieu Crussière, Maryline Hélard, Patrice Pajusco
- article
- ICT2018: 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France. ⟨10.1109/ICT.2018.8464909⟩
- Accès au bibtex
-
- titre
- Wavelet Decomposition Pre-processing for Spatial Scalability Video Compression Scheme
- auteur
- Glenn Herrou, W. Hamidouche, Luce Morin
- article
- 2018 Picture Coding Symposium (PCS), Jun 2018, San Francisco, United States. ⟨10.1109/PCS.2018.8456307⟩
- Accès au texte intégral et bibtex
-
- titre
- Human Exposure Assessment in Indoor Environments Using A 60 GHz Personal Exposure Meter
- auteur
- Reza Aminzadeh, Abdou Khadir Fall, Jérôme Sol, Arno Thielens, Philippe Besnier, Maxim Zhadobov, Nele de Geeter, Prakash Parappurath Vasudevan, Luc Dupré, Roel Van Holen, Luc Martens, Wout Joseph
- article
- BioEM2018 Joint Meeting of The Bioelectromagnetics Society (BEMS), Jun 2018, Portoroz, Slovenia
- Accès au texte intégral et bibtex
-
- titre
- Backward Compatible Layered Video Coding for 360° Video Broadcast
- auteur
- Thibaud Biatek, Jean-Francois Travers, Pierre-Loup Cabarat, W. Hamidouche
- article
- 2018 Picture Coding Symposium (PCS), Jun 2018, San Francisco, United States. ⟨10.1109/PCS.2018.8456301⟩
- Accès au bibtex
-
- titre
- Machine Learning Based Choice of Characteristics for the One-Shot Determination of the HEVC Intra Coding Tree
- auteur
- Alexandre Mercat, Florian Arrestier, Maxime Pelcat, Wassim Hamidouche, Daniel Menard
- article
- 2018 Picture Coding Symposium (PCS), Jun 2018, San Francisco, France. ⟨10.1109/PCS.2018.8456261⟩
- Accès au bibtex
-
- titre
- Temporal Adaptive Quantization using accurate estimations of Inter and Skip probabilities
- auteur
- Maxime Bichon, Julien Le Tanou, Michael Ropert, Wassim Hamidouche, Luce Morin, Lu Zhang
- article
- Picture Coding Symposium (PCS), Jun 2018, San Francisco, United States. ⟨10.1109/PCS.2018.8456275⟩
- Accès au texte intégral et bibtex
-
- titre
- A MISO Radar System for Drone Localization
- auteur
- Giulia Sacco, Erika Pittella, Stefano Pisa, Emanuele Piuzzi
- article
- 2018 5th IEEE International Workshop on Metrology for AeroSpace (MetroAeroSpace), Jun 2018, Rome, France. pp.549-553, ⟨10.1109/MetroAeroSpace.2018.8453572⟩
- Accès au bibtex
-
- titre
- New vision in microelectronics education Smart e-Learning and know-how, a complementary approach
- auteur
- O. Bonnaud
- article
- 5th International KES conference on Smart Education and e-Learning, SEEL 2018, Jun 2018, Gold Coast, Australia. pp.267-275, ⟨10.1007/978-3-319-92363-5_25⟩
- Accès au bibtex
-
- titre
- Recent Advances in the Characterization of Forests using SAR Tomography in Spaceborne Configurations
- auteur
- Laurent Ferro-Famil, Erxue Chen, S. Tebaldini, Yue Huang, Wen Hong, Xinwu Li
- article
- 2018 ESA NRSCC Dragon 4 symposium, Jun 2018, Xi'an, China
- Accès au bibtex
-
- titre
- Land Use/Cover Classification and Forest Quantitative Information Extraction Based on Spaceborne SA
- auteur
- Erxue Chen, Zengyuan Li, Zhao Lei, Laurent Ferro-Famil, Wen Hong
- article
- 2018 ESA NRSCC Dragon 4 symposium, Jun 2018, Xi'an, China
- Accès au bibtex
-
- titre
- Enhanced Parameter Convergence for Linear Systems Identification: The DREM Approach
- auteur
- Alexey Belov, Stanislav Aranovskiy, Romeo Ortega, Nikita Barabanov, Alexey Bobtsov
- article
- 16th European Control Conference (ECC 2018), Jun 2018, Limassol, Cyprus. ⟨10.23919/ecc.2018.8550338⟩
- Accès au texte intégral et bibtex
-
- titre
- Overlay Inband D2D-e Network Using Fuzzy C-Means Clustering for Disaster Situations
- auteur
- Romain Chevillon, Guillaume Andrieux, Jean-François Diouris
- article
- European Conference on Networks and Communications, Jun 2018, Ljubljana, Slovenia. pp.#1570432044, ⟨10.1109/eucnc.2018.8442582⟩
- Accès au bibtex
-
- titre
- Power Budget and Reconstruction Algorithms for Through the Wall Radar Imaging Systems
- auteur
- S. Pisa, E. Piuzzi, E. Pittella, P. d'Atanasio, A. Zambotti, Giulia Sacco
- article
- 2018 IEEE International Microwave Biomedical Conference (IMBioC), Jun 2018, Philadelphia, France. pp.208-210, ⟨10.1109/IMBIOC.2018.8428944⟩
- Accès au bibtex
-
- titre
- Analyse de micro-expressions faciales : un moyen de lire les sentiments intérieurs d'une personne
- auteur
- Kidiyo Kpalma
- article
- International Scientific Conference High-Technologies for Sustainable Development, Jun 2018, Sofia, Bulgarie
- Accès au bibtex
-
- titre
- Development and QoE evaluation of an iOS mHealth app for self-controlling and education of patients with heart diseases
- auteur
- Sofiane Hamrioui, Jesus Herreros Gonzalez, Gema Castillo, Isabel de La Torre-Diez, Miguel Lopez-Coronado
- article
- 2018 13th Iberian Conference on Information Systems and Technologies (CISTI), Jun 2018, Cáceres, Spain. ⟨10.23919/CISTI.2018.8398633⟩
- Accès au bibtex
-
- titre
- Facial Identification and Macro Expression Recognition with a New Textural Featuring Approach
- auteur
- Joseph Ronsin, Hua Lu, Kidiyo Kpalma, Mingqiang Yang
- article
- 2018 International Conference on High Technology for Sustainable Development (HiTech), Jun 2018, Sofia, Bulgaria. pp.1-4, ⟨10.1109/hitech.2018.8566310⟩
- Accès au bibtex
-
- titre
- A radar system for indoor human localization and breath monitoring
- auteur
- Giulia Sacco, E. Pittella, E. Piuzzi, S. Pisa
- article
- 2018 IEEE International Symposium on Medical Measurements and Applications (MeMeA), Jun 2018, Rome, France. pp.1-6, ⟨10.1109/MeMeA.2018.8438759⟩
- Accès au bibtex
-
- titre
- Design of Full-Metal Polarizing Screen Based on Circuit Modeling
- auteur
- C. Molero, T. Debogovic, M. García-Vigueras
- article
- 2018 IEEE/MTT-S International Microwave Symposium, IMS 2018, Jun 2018, Philadelphia, United States. pp.23-26, ⟨10.1109/MWSYM.2018.8439694⟩
- Accès au bibtex
-
- titre
- On potential to improve DCT-based denoising with local threshold
- auteur
- A. Zemliachenko, V. Lukin, I. Djurović, B. Vozel
- article
- 7th Mediterranean Conference on Embedded Computing, MECO 2018, Jun 2018, Budva, Montenegro. pp.1-4, ⟨10.1109/MECO.2018.8406055⟩
- Accès au bibtex
-
- titre
- A Low Complexity PAPR Reduction Algorithm for ATSC 3.0 Transmitters
- auteur
- Sri Satish Krishna Chaitanya Bulusu, Matthieu Crussière, J.-F. Hélard
- article
- 13th IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, BMSB 2018, Jun 2018, Valencia, Spain. pp.8436699, ⟨10.1109/BMSB.2018.8436699⟩
- Accès au bibtex
-
- titre
- Power Efficiency of the Hybrid Broadcast Unicast Network with Suitable Resource Allocation
- auteur
- Ahmad Shokair, Matthieu Crussière, Jean-François Hélard, Oussama Bazzi, Youssef Nasser
- article
- IEEE International Symposium on Broadband Multimedia Systems and Broadcasting (BMSB 2018), Jun 2018, Valence, Spain. ⟨10.1109/bmsb.2018.8436840⟩
- Accès au texte intégral et bibtex
-
- titre
- Identification and characterization of agricultural winter land-use based on Alos-2 and Radarsat-2 polarimetric SAR time-series images
- auteur
- J. Denize, Laurence Hubert-Moy, Samuel Corgne, J. Betbeder, E. Pottier
- article
- 12th European Conference on Synthetic Aperture Radar, EUSAR 2018, Jun 2018, Aachen, Germany. pp.549-553
- Accès au bibtex
-
- titre
- PolSARpro-BIO The new scientific toolbox for ESA and third party fully polarimetric SAR missions
- auteur
- E. Pottier, Laurent Ferro-Famil, M. Fitrzyk, Y.-L. Desnos
- article
- 12th European Conference on Synthetic Aperture Radar, EUSAR 2018, Jun 2018, Aachen, Germany. pp.780-783
- Accès au bibtex
-
- titre
- Design of Dipole Antenna Array for the Radiolocation of Mobile Phones from Drones
- auteur
- Jean Marie Floc’h, A. Ferreol, Imen Ben Trad, P. Thaly
- article
- EUC 2018, Jun 2018, Munich, Germany
- Accès au bibtex
-
- titre
- Angular Based Beamforming and Power Allocation Framework in a Multi-User Millimeter-Wave Massive MIMO System
- auteur
- Mohamed Shehata, Maryline Hélard, Matthieu Crussière, Antoine Rozé, Charlotte Langlais
- article
- 2018 IEEE 87th Vehicular Technology Conference: VTC2018-Spring, Jun 2018, Porto, Portugal. ⟨10.1109/vtcspring.2018.8417520⟩
- Accès au texte intégral et bibtex
-
- titre
- Extended Receive Spatial Modulation MIMO scheme for Higher Spectral Efficiency
- auteur
- Ali Mokh, Jean-Christophe Prévotet, Matthieu Crussière
- article
- 2018 IEEE 87th Vehicular Technology Conference, Jun 2018, Porto, Portugal. ⟨10.1109/vtcspring.2018.8417598⟩
- Accès au texte intégral et bibtex
-
- titre
- Positioning data-rate trade-off in mm-wave small cells and service differentiation for 5g networks
- auteur
- G. Ghatak, R. Koirala, A. de Domenico, B. Denis, D. Dardari, Bernard Uguen
- article
- 87th IEEE Vehicular Technology Conference, VTC Spring 2018, Jun 2018, Porto, Portugal. pp.1-5, ⟨10.1109/VTCSpring.2018.8417791⟩
- Accès au bibtex
-
- titre
- Localization Data-Rate Trade-off in Small Cells and Service Differentiation for 5G Networks
- auteur
- Gourab Ghatak, Remun Koirala, Antonio de Domenico, Benoît Denis, Davide Dardari, Bernard Uguen
- article
- IEEE 87th Vehicular Technology Conference: (VTC2018-Spring), Jun 2018, Porto, Portugal. pp.1-5
- Accès au bibtex
-
- titre
- Efficiency of the TR Technique and the POPS Algorithm for Waveform Optimization in MISO-OFDM Systems
- auteur
- W. Khrouf, F. Abdelkefi, M. Siala, Matthieu Crussière
- article
- IEEE International Conference on Advanced Information Networking and Applications (AINA), Jun 2018, Cracovie, Poland. pp.373-377, ⟨10.1109/AINA.2018.00063⟩
- Accès au bibtex
-
- titre
- Microélectronique et société connectée : formation au savoir-faire du réseau CNFM
- auteur
- Olivier Bonnaud
- article
- ENOVA 2018 Toulouse, May 2018, Toulouse, France
- Accès au bibtex
-
- titre
- On the Subjective Assessment of the Perceived Quality of Medical Images and Videos
- auteur
- Lucie Lévêque, Hantao Liu, Sabina Barakovic, Jasmina Barakovic Barakovic, Maria Martini, Meriem Outtas, Lu Zhang, Asli Kumcu, Ljiljana Platisa, Rafael Rodrigues, Antonio Pinheiro, Athanassios Skodras
- article
- 2018 Tenth International Conference on Quality of Multimedia Experience (QoMEX), May 2018, Cagliari, Italy. pp.1-6, ⟨10.1109/QoMEX.2018.8463297⟩
- Accès au bibtex
-
- titre
- Evaluation of No-reference quality metrics for Ultrasound liver images
- auteur
- Meriem Outtas, Lu Zhang, Olivier Déforges, W. Hamidouche, Amina Serir
- article
- 2018 Tenth International Conference on Quality of Multimedia Experience (QoMEX), May 2018, Cagliari, France. ⟨10.1109/QoMEX.2018.8463299⟩
- Accès au bibtex
-
- titre
- A study of the peak-windowing performance considering the impact of the window width
- auteur
- Ali Cheaito, Yves Louët
- article
- URSI AT RASC Conference, May 2018, Gran Canaria, Spain. ⟨10.23919/ursi-at-rasc.2018.8471363⟩
- Accès au bibtex
-
- titre
- Non linear power amplifier effects on post-OFDM waveforms
- auteur
- Yahia Medjahdi, Hmaied Shaiek, Daniel Roviras, Yves Louët, Mouna Ben Mabrouk
- article
- URSI AT RASC Conference, May 2018, Gran Canaria, Spain
- Accès au bibtex
-
- titre
- Network Characteristic Modes Optimisation for Wideband and Superdirective Small Antennas
- auteur
- H. Jaafar, Sylvain Collardey, A. Sharaiha
- article
- 2nd URSI Atlantic Radio Science Meeting, AT-RASC 2018, May 2018, Gran Canaria, Canary Islands. pp.8471637, ⟨10.23919/URSI-AT-RASC.2018.8471637⟩
- Accès au bibtex
-
- titre
- Stochastic Modeling to Accelerate Approximate Operators Simulation
- auteur
- Justine Bonnot, Karol Desnos, Daniel Menard
- article
- ISCAS 2018, May 2018, Florence, Italy. ⟨10.1109/iscas.2018.8350940⟩
- Accès au texte intégral et bibtex
-
- titre
- Live Demonstration: End-to-End Real-Time ROI-based Encryption in HEVC Videos
- auteur
- Naty Sidaty, Marko Viitanen, Wassim Hamidouche, Jarno Vanne, Olivier Déforges
- article
- 2018 IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy. ⟨10.1109/ISCAS.2018.8351775⟩
- Accès au bibtex
-
- titre
- Amélioration de la dispersion de nanoparticules dans des polymères en combinant l’utilisation de sonication et de tensio-actifs
- auteur
- Yahya Ouellaf, Mohammed El Gibari, Patricia Bertoncini, Stéphane Ginestar, Emmanuelle Abisset-Chavanne, Olivier Chauvet, Hong Wu Li
- article
- Journées Scientifiques du Groupe Français des Polymères Grand Ouest et du programme SPEED, May 2018, Le Mans, France
- Accès au bibtex
-
- titre
- MSE and PSNR prediction for ADCT coder applied to lossy image compression
- auteur
- S. Krivenko, M. Zriakhov, V. Lukin, B. Vozel
- article
- 9th IEEE International Conference on Dependable Systems, Services and Technologies, DESSERT 2018, May 2018, Kyiv, Ukraine. pp.613-618, ⟨10.1109/DESSERT.2018.8409205⟩
- Accès au bibtex
-
- titre
- A Fast and Fuzzy Functional Simulator of Inexact Arithmetic Operators for Approximate Computing Systems
- auteur
- Justine Bonnot, Karol Desnos, Maxime Pelcat, Daniel Menard
- article
- GLSVLSI 2018, May 2018, Chicago, United States. ⟨10.1145/3194554.3194574⟩
- Accès au texte intégral et bibtex
-
- titre
- Uncertainty quantification of SiP based integrated voltage regulator
- auteur
- M. Barbi, H.M. Torun, M. Swaminathan, I.S. Stievano, F.G. Canavero, Philippe Besnier
- article
- 22nd IEEE Workshop on Signal and Power Integrity, SPI 2018, May 2018, Brest, France. pp.1-4, ⟨10.1109/SaPIW.2018.8401677⟩
- Accès au bibtex
-
- titre
- Impedance measurement in operating conditions for PLC applications
- auteur
- Oumarou Mahamane Sani Sabo, Loris Pace, Jean-Charles Le Bunetel, Anne-Sophie Descamps, Christophe Batard, Nadir Idir
- article
- 2018 IEEE 22nd Workshop on Signal and Power Integrity, May 2018, Brest, France. pp.1 - 4, ⟨10.1109/sapiw.2018.8401649⟩
- Accès au bibtex
-
- titre
- High-Level Reliability Evaluation of Reconfiguration-Based Fault Tolerance Techniques
- auteur
- Tien Thanh Nguyen, Mathieu Thevenin, Anthony Mouraud, Gwenolé Corre, Olivier Pasquier, Sébastien Pillement
- article
- Reconfigurable Architectures Workshop (RAW 2018), May 2018, Vancouver, Canada. pp.202-205, ⟨10.1109/IPDPSW.2018.00038⟩
- Accès au bibtex
-
- titre
- Flexible piezoelectric micro-generator with interdigitated electrodes for energy harvesting
- auteur
- Julien Le Scornec, Benoit Guiffard, Raynald Seveno, Vincent Le Cam
- article
- Journées Nationales sur la Récupération et le Stockage d’Energie (JNRSE 2018), May 2018, Besançon, France
- Accès au bibtex
-
- titre
- Investigating the Detection of Lipids gel/fluid Phase Transition by Change of Scattering Light and Coupling Factor into Optical Microresonators
- auteur
- Bruno Bêche, Qingyue Li, Véronique Vié, Lucas Garnier, Hervé Lhermite, Claire Bourlieu-Lacanal, A. Moréac, D. Morineau, Aziz Ghoufi, Didier Dupont, Etienne Gaviot
- article
- OPAL' 2018 - First International Conference on Optics, Photonics and Lasers proceeding, pp. 10-12,, May 2018, Barcelone, Spain
- Accès au texte intégral et bibtex
-
- titre
- Power Modeling on FPGA: A Neural Model for RT-Level Power Estimation
- auteur
- Yehya Nasser, Jean-Christophe Prevotet, Maryline Hélard
- article
- ACM International Conference on Computing Frontiers 2018, May 2018, Ischia, Italy. ⟨10.1145/3203217.3204462⟩
- Accès au texte intégral et bibtex
-
- titre
- Automatic instrumentation of dataflow applications using PAPI
- auteur
- D. Madronal, A. Morvan, R. Lazcano, R. Salvador, Karol Desnos, E. Juarez, C. Sanz
- article
- 15th ACM International Conference on Computing Frontiers, CF 2018, May 2018, Ischia, Italy. pp.232-235, ⟨10.1145/3203217.3209886⟩
- Accès au bibtex
-
- titre
- Using co-cross polarization coherence from TOPS SLC S-1 data for wind field retrieval
- auteur
- Longepe N., Husson R., Mouche A., E. Pottier, G Hajduch, Colard F., Archer O.
- article
- SeaSAR 2018, Advances in SAR Oceanography, ESA-ESRIN, May 2018, Frascati, Italy
- Accès au bibtex
-
- titre
- FPGA Side Channel Attacks without Physical Access
- auteur
- Chethan Ramesh, Shivukumar B. Patil, Siva Nishok Dhanuskodi, George Provelengios, Sébastien Pillement, Daniel Holcomb, Russell Tessier
- article
- International Symposium on Field-Programmable Custom Computing Machines, Apr 2018, Boulder, United States. paper#116, ⟨10.1109/fccm.2018.00016⟩
- Accès au bibtex
-
- titre
- Introduction of the multidisciplinary know-how of the French national training network to contribute to the evolution of microelectronic technologies towards connected objects
- auteur
- O. Bonnaud
- article
- 17th International Conference on Information Technology Based Higher Education and Training, ITHET 2018, Apr 2018, Algarve, Portugal. pp.8424787, ⟨10.1109/ITHET.2018.8424787⟩
- Accès au bibtex
-
- titre
- A novel small and compact flexible monopole antenna for UWB applications
- auteur
- A. Hachi, H. Lebbar, Mohamed Himdi
- article
- 4th International Conference on Optimization and Applications, ICOA 2018, Apr 2018, Mohammedia, Morocco. pp.1-4, ⟨10.1109/ICOA.2018.8370527⟩
- Accès au bibtex
-
- titre
- Towards IP over LPWANs technologies: LoRaWAN, DASH7, NB-IoT
- auteur
- Wael Ayoub, Mohamad Mroue, Fabienne Nouvel, Abed Ellatif Samhat, Jean-Christophe Prévotet
- article
- 2018 Sixth International Conference on Digital Information, Networking, and Wireless Communications (DINWC), Apr 2018, Beirut, Lebanon. pp.43-47, ⟨10.1109/dinwc.2018.8356993⟩
- Accès au bibtex
-
- titre
- Parallel Generator of Discrete Sequences using Multi-Threading Approach
- auteur
- Mohammed Abu Taha, Safwan El Assad, Audrey Queudet
- article
- International Conference on Future Information Technology, Apr 2018, Salerno, Italy. pp.161-167
- Accès au bibtex
-
- titre
- MAC layer-based evaluation of IoT technologies: LoRa, Sigfox and NB-IoT
- auteur
- Hussein Mroue, Nasser Abbass, Sofiane Hamrioui, Benoît Parrein, Eduardo Motta-Cruz, Gilles Rouyer
- article
- IEEE Middle East & North Africa COMMunications Conference, Apr 2018, Jounieh, Lebanon. paper #1570421369, ⟨10.1109/menacomm.2018.8371016⟩
- Accès au bibtex
-
- titre
- Low Complexity Joint RDO of Prediction Units Couples for HEVC Intra Coding
- auteur
- Maxime Bichon, Julien Le Tanou, Michael Ropert, Wassim Hamidouche, Luce Morin, Lu Zhang
- article
- International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2018, Calgary, Canada. ⟨10.1109/ICASSP.2018.8462489⟩
- Accès au texte intégral et bibtex
-
- titre
- SC-FDMA with Index Modulation for M2M and IoT Uplink Applications
- auteur
- Marwa Chafii, Faouzi Bader, Jacques Palicot
- article
- 2018 IEEE Wireless Communications and Networking Conference (WCNC), Apr 2018, Barcelona, Spain. ⟨10.1109/wcnc.2018.8377028⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancing Coverage in Narrow Band-IoT Using Machine Learning
- auteur
- Marwa Chafii, Faouzi Bader, Jacques Palicot
- article
- IEEE Wireless Communications and Networking Conference (IEEE WCNC'2018), Apr 2018, Barcelona, Spain. ⟨10.1109/wcnc.2018.8377263⟩
- Accès au texte intégral et bibtex
-
- titre
- REM-Based Handover Algorithm for Next-Generation Multi-Tier Cellular Networks
- auteur
- Cristo Suarez-Rodriguez, B A Jayawickrama, Faouzi Bader, E Dutkiewicz, Michael Heimlich
- article
- IEEE Wireless Communications and Networking Conference (IEEE WCNC'2018), Apr 2018, Barcelone, Spain. ⟨10.1109/wcnc.2018.8377242⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance Analysis of Extended RASK under Imperfect Channel Estimation and Antenna Correlation
- auteur
- Ali Mokh, Matthieu Crussière, Jean-Christophe Prévotet
- article
- IEEE Wireless Communications and Networking Conference, Apr 2018, Barcelona, Spain. ⟨10.1109/wcnc.2018.8377261⟩
- Accès au texte intégral et bibtex
-
- titre
- Aggregation of Multi-Armed Bandits Learning Algorithms for Opportunistic Spectrum Access
- auteur
- Lilian Besson, Emilie Kaufmann, Christophe Moy
- article
- IEEE WCNC - IEEE Wireless Communications and Networking Conference, Apr 2018, Barcelona, Spain. ⟨10.1109/wcnc.2018.8377070⟩
- Accès au texte intégral et bibtex
-
- titre
- A Multi-wall and Multi-frequency Home Environment Path Loss Characterization and Modeling
- auteur
- M Kacou, V. Guillet, Ghaïs El Zein, Gheorghe Zaharia
- article
- 12th European Conference on Antennas and Propagation (EUCAP 2018), Apr 2018, Londres, United Kingdom. ⟨10.1049/cp.2018.0464⟩
- Accès au texte intégral et bibtex
-
- titre
- Antennas for space instruments from GHz to THz
- auteur
- G. Chattopadhyay, M. Alonsodelpino, C. Jung-Kubiak, T. Reck, C. Lee, N. Chahat, D. González-Ovejero, I. Mehdi
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0732⟩
- Accès au bibtex
-
- titre
- Adaptive continuous beam steering in quasi-optical antenna solutions
- auteur
- M.R.D. Kodnoeih, R. Negrier, E.M. Cruz, Y. Letestu, R. Sauleau, Laurent Ferro-Famil
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.1007⟩
- Accès au bibtex
-
- titre
- Compact Folded Fresnel Zone Plate Lens Antenna for 5G Point-to-Point Communications
- auteur
- Mohammad Reza Dehghani Kodnoeih, Yoann Letestu, Ronan Sauleau, Eduardo Motta-Cruz, André Doll
- article
- European Conference on Antennas and Propagation, Apr 2018, London, United Kingdom. paper 1570406623, ⟨10.1049/cp.2018.0370⟩
- Accès au bibtex
-
- titre
- Comparison of regularization techniques for microwave imaging of brain stroke
- auteur
- M. Bjelogrlic, Benjamin Fuchs, J.-P. Thiran, M. Mattes
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0770⟩
- Accès au bibtex
-
- titre
- Internally loaded endfire superdirective array for wideband performance
- auteur
- H. Jaafar, Sylvain Collardey, A. Sharaiha
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.1019⟩
- Accès au bibtex
-
- titre
- Ka-band metasurface antenna for data downlink from LEO satellites
- auteur
- G. Minatti, F. Caminita, E. Martini, V. Martorelli, A. Benini, L. Le Coq, M. Ettorre, R. Sauleau, M. Bandinelli, R. Ravanelli, M. Sabbadini, S. Maci
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0735⟩
- Accès au bibtex
-
- titre
- Metallic metasurface antennas for space
- auteur
- D. Gonzalez-Ovejero, Xavier Morvan, N. Chahat, G. Chattopadhyay, R. Sauleau, M. Ettorre
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0731⟩
- Accès au bibtex
-
- titre
- Circularly Polarized Fabry-Perot Antenna Fed by an Arbitrarily-Rotated Source
- auteur
- Antoine Calleau, M. García-Vigueras, H. Legay, R. Sauleau, M. Ettorre
- article
- 12th European Conference on Antennas and Propagation (EuCAP 2018), Apr 2018, Londres, United Kingdom
- Accès au bibtex
-
- titre
- Design criteria of X-wave launchers for millimeter-wave applications
- auteur
- Walter Fuscaldo, Santi Concetto Pavone, Davide Comite, Guido Valerio, Matteo Albani, Mauro Ettore, Alessandro Galli
- article
- Conference EuCAP 2018, Apr 2018, Londres, United Kingdom. ⟨10.1049/cp.2018.0570⟩
- Accès au bibtex
-
- titre
- A linear perturbation model with physical optics approximation to recover inhomogeneous surface impedance
- auteur
- S. Loillier, S. Méric, Renaud Loison, G. Maze-Merceur
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.1254⟩
- Accès au bibtex
-
- titre
- Implementation of a correcting coupling mechanism to mitigate surface wave in phased arrays
- auteur
- A.A. Manga, R. Gillard, Renaud Loison, I. Le Roy-Naneix, C. Renard
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom
- Accès au bibtex
-
- titre
- Adaptive Continous Beam Steering in Quasi-optical Antenna Solutions
- auteur
- Mohammad Reza Dehghani Kodnoeih, Romain Négrier, Eduardo Motta-Cruz, Yoann Letestu, Ronan Sauleau, Laurent Ferro-Famil
- article
- European Conference on Antennas and Propagation, Apr 2018, London, United Kingdom. paper 1570407059
- Accès au bibtex
-
- titre
- Metasurface-inspired Antenna in Cavity for GNSS Applications
- auteur
- L. García-Gámez, L. Bernard, R. Sauleau, Sylvain Collardey, K. Mahdjoubi, P. Pouliguen, P. Potier
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0586⟩
- Accès au bibtex
-
- titre
- Dual-band self-diplexed modulated metasurface antennas
- auteur
- M. Faenzi, D. González-Ovejero, F. Caminita, S. Maci
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0842⟩
- Accès au bibtex
-
- titre
- Multibeam antenna with a passive beamforming system in LTCC technology for Mm-wave systems-in-package
- auteur
- F.F. Manzillo, M. Smierzchalski, M. Ettorre, J. Aurinsalo, K.T. Kautio, M.S. Lahti, A.E.I. Lamminen, J. Säily, R. Sauleau
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0936⟩
- Accès au bibtex
-
- titre
- Wireless Power Transfer: Exposure Assessment for Grounded and Ungrounded Human Body
- auteur
- M. Koohestani, M. Ettorre, M. Zhadobov
- article
- 12th European Conference on Antennas and Propagation (EuCAP 2018), Apr 2018, London, France. 703 (4 pp.)-703 (4 pp.)
- Accès au bibtex
-
- titre
- A Millimeter Wave Transparent Transmitarray Antenna Using Meshed Double Circle Rings Elements
- auteur
- Guang Liu, Kien Pham, Eduardo Motta-Cruz, D. Gonzalez-Ovejero, Ronan Sauleau
- article
- European Conference on Antennas and Propagation, Apr 2018, London, United Kingdom. paper 1570406892, ⟨10.1049/cp.2018.0365⟩
- Accès au bibtex
-
- titre
- 2-bit reconfigurable circularly-polarized unit-cell at ka-band
- auteur
- F. Diaby, L.D. Palma, A. Clemente, L. Dussopt, K. Pham, E. Fourn, R. Sauleau
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0429⟩
- Accès au bibtex
-
- titre
- High Efficient and Wide Bandwidth Fresnel Lens Antenna at Millimeter-Wave
- auteur
- Antoine Jouadé, Mohamed Himdi, Olivier Lafond
- article
- EUCAP2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0439⟩
- Accès au bibtex
-
- titre
- Dual-circularly polarized patch antenna using simple isolation techniques and its array application
- auteur
- P. Le Bihan, Y. Zhaksylyk, P.D. Hilario Re, S.K. Podilchak, M. García-Vigueras, G. Goussetis
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom
- Accès au bibtex
-
- titre
- Comparison of optimization procedures for the design of continuous parallel plate waveguide multiple beam lens antennas
- auteur
- F. Doucet, N.J.G. Fonseca, E. Girard, H. Legay, R. Sauleau
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0594⟩
- Accès au bibtex
-
- titre
- Compressive sensing approach for fast antenna far field characterization
- auteur
- Benjamin Fuchs, L. Le Coq, S. Rondineau, M.D. Migliore
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0637⟩
- Accès au bibtex
-
- titre
- Circuit-model approach for polarizing surfaces based on stacked meander-line gratings
- auteur
- C. Molero, M. García-Vigueras, R. Rodriguez-Berral, F. Mesa
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0643⟩
- Accès au bibtex
-
- titre
- Frequency Reconfigurable Dual Narrow Band Antenna matched on the Low Band LTE FDD Physical Layer
- auteur
- Serge Bories, François Sarrazin, Alexandre Giry
- article
- 12th European Conference on Antennas and Propagation (EuCAP 2018), Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.0891⟩
- Accès au texte intégral et bibtex
-
- titre
- On the improvement of the power transfer efficiency between radiating apertures
- auteur
- S. Zhou, M. Ettorre
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.1168⟩
- Accès au bibtex
-
- titre
- Wireless power transfer Exposure assessment for grounded and ungrounded human body
- auteur
- M. Koohestani, M. Ettorre, M. Zhadobov
- article
- 12th European Conference on Antennas and Propagation, EuCAP 2018, Apr 2018, London, United Kingdom. ⟨10.1049/cp.2018.1062⟩
- Accès au bibtex
-
- titre
- Multi-Player Bandits Revisited
- auteur
- Lilian Besson, Emilie Kaufmann
- article
- Algorithmic Learning Theory, Mehryar Mohri; Karthik Sridharan, Apr 2018, Lanzarote, Spain
- Accès au texte intégral et bibtex
-
- titre
- K/Ka-Band Transmitarray Antennas Based on Polarization Twisted Unit-Cells
- auteur
- Kien Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- 12th European Conference on Antennas and Propagation (EuCAP), Apr 2018, Londres, United Kingdom. ⟨10.1049/cp.2018.0995⟩
- Accès au texte intégral et bibtex
-
- titre
- Système radar FMCW pour l'identification de transpondeurs
- auteur
- Nizar Bouhlel, Stéphane Meric, Claude Moullec, Christian Brousseau
- article
- Journées scientifiques 2018 d’URSI-France "Géolocalisation et navigation", Mar 2018, Meudon, France
- Accès au texte intégral et bibtex
-
- titre
- Antennes compactes en cavité pour applications GNSS
- auteur
- Laura García-Gámez, Loic Bernard, Ronan Sauleau, Sylvain Collardey, Kouroch Mahdjoubi, Philippe Pouliguen, Patrick Potier
- article
- Journées scientifiques URSI France 2018, Mar 2018, Meudon, France
- Accès au bibtex
-
- titre
- Dielectric characteristics and microwave absorption of graphene composite materials
- auteur
- Mohamed Himdi, Kevin Rubrice, Xavier Castel, Patrick Parneix
- article
- 7th Workshop NIMS-UR1-CNRS-SG "Materials and Sustainable development: Issues and Challenges of the 21st century", Mar 2018, Cavaillon, France. pp.825
- Accès au bibtex
-
- titre
- Low-Complexity Spatial Scalability Scheme Using HEVC for 4K and VR Videos
- auteur
- Glenn Herrou, W. Hamidouche, Luce Morin
- article
- Data Compression Conference, Mar 2018, Snowbird, United States. pp.411-411, ⟨10.1109/dcc.2018.00064⟩
- Accès au texte intégral et bibtex
-
- titre
- (K, Na) – (Nb, Ta) – O perovskite thin films grown by PLD for tunable microwave devices
- auteur
- Barthélemy Aspe, Fatou Cissé, Maryline Guilloux-Viry, Xavier Castel, Stéphanie Députier, Valérie Demange, Valérie Bouquet, Sophie Ollivier, Ronan Sauleau
- article
- 7th Workshop NIMS-UR1-CNRS-SG "Materials and Sustainable development: Issues and Challenges of the 21st century", Mar 2018, Cavaillon, France. pp.2
- Accès au bibtex
-
- titre
- Transparent and tunable antennas at microwaves
- auteur
- Alexis Martin, Xavier Castel, Mohamed Himdi, Maryline Guilloux-Viry
- article
- 7th Workshop NIMS-UR1-CNRS-SG "Materials and Sustainable development: Issues and Challenges of the 21st century", Mar 2018, Cavaillon, France. pp.22
- Accès au bibtex
-
- titre
- Carbon-containing glass foams: microwave insulating materials
- auteur
- Laurent Le Gendre, Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Patrick Queffelec, Ala Sharaiha
- article
- 7th Workshop NIMS, Mar 2018, Cavaillon, France
- Accès au bibtex
-
- titre
- Amélioration de la résolution angulaire d’un radar FMCW panoramique
- auteur
- Romain Fouetilloux, Laurent Ferro-Famil, Stéphane Méric
- article
- Journées URSI, "géolocalisation et navigation dans l'espace et le temps", Mar 2018, Meudon, France
- Accès au bibtex
-
- titre
- Antennes Large Bande en impression 3D
- auteur
- Jean Marie Floc’h
- article
- Microwave & RF 2018, Mar 2018, Paris, France
- Accès au bibtex
-
- titre
- Antenne pour capteur à 24 GHz réalisée en impression 3D
- auteur
- Jean Marie Floc’h
- article
- Microwave & RF 2018, Mar 2018, Paris, France
- Accès au bibtex
-
- titre
- Energy-Efficient Actor Execution for SDF Application on Heterogeneous Architectures
- auteur
- H. Rexha, S. Lafond, Karol Desnos
- article
- 26th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2018, Mar 2018, Cambridge, United Kingdom. pp.486-493, ⟨10.1109/PDP2018.2018.00083⟩
- Accès au bibtex
-
- titre
- An Optimized Hardware Implementation of 4-point Adaptive Multiple Transform design for post-HEVC
- auteur
- A. Kammoun, S. Ben Jdidia, F. Belghith, W. Hamidouche, Jean François Nezan, N. Masmoudi
- article
- 4th International Conference on Advanced Technologies for Signal and Image Processing (ATSIP), Mar 2018, Sousse, Tunisia. ⟨10.1109/atsip.2018.8364448⟩
- Accès au bibtex
-
- titre
- KNN perovskite thin films grown by PLD for tunable microwave devices: influence of the composition and of the substrate
- auteur
- Barthélemy Aspe, Fatou Cissé, Xavier Castel, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Ronan Sauleau, Maryline Guilloux-Viry
- article
- Journées Nationales du GDR OXYFUN, Mar 2018, Piriac-sur-Mer, France. pp.34
- Accès au bibtex
-
- titre
- Nouvel absorbant pour l’hyperfréquence à base de liège
- auteur
- Chloé Mejean, Ratiba Benzerga, Ala Sharaiha
- article
- JCMM2018, 15èmes Journées de Caractérisation Microondes et Matériaux, Mar 2018, Paris, France
- Accès au bibtex
-
- titre
- Conception et développement d'un CMS ferroélectrique accordable
- auteur
- C Borderon, S Ginestar, H W Gundel, K Nadaud, R Renoud
- article
- 15èmes Journées de Caractérisation Microondes et Matériaux 2018 JCMM 2018, Mar 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Influence du substrat sur les propriétés structurales et le comportement diélectrique hyperfréquence de couches minces de KNN déposées sur Saphir-R et MgO (100)
- auteur
- Barthélemy Aspe, Fatou Cissé, Xavier Castel, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Ronan Sauleau, Maryline Guilloux-Viry
- article
- JCMM 2018 “15èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2018, Paris, France. pp.ID-44
- Accès au bibtex
-
- titre
- Nouvelles céramiques ferroélectriques de composition perovskite (Sr2Ta2O7)100-x(La2Ti2O7)x : caractérisation diélectrique et ferroélectrique
- auteur
- Florent Marlec, Claire Le Paven, Ratiba Benzerga, Laurent Le Gendre, Vincent Laur, Alexis Chevalier, Benoit Guiffard, Thibault Dufay, François Cheviré, Franck Tessier, Ala Sharaiha
- article
- JCMM2018, 15èmes Journées de Caractérisation Microondes et Matériaux, Mar 2018, Paris, France
- Accès au bibtex
-
- titre
- Caractérisation en transparence de plaques destinées à des radômes
- auteur
- Jean Marie Floc’h, Sébastien Gueret
- article
- JCMM 2018, Mar 2018, Paris, France
- Accès au bibtex
-
- titre
- Détection de transition de phase de lipides membranaires par l'utilisation de microrésonateurs
- auteur
- Véronique Vié, Qingyue Li, Lucas Garnier, Denis Morineau, Hervé Lhermite, Bruno Bêche
- article
- Congrès des microscopies à sonde locale, Mar 2018, La Rochelle, France
- Accès au bibtex
-
- titre
- Coastline detection using Fusion Of Over Segmentation and Distance Regularization Level Set Evolution
- auteur
- Seynabou Toure, Oumar Diop, Kidiyo Kpalma, Amadou S. H. Maiga
- article
- GeoInformatics for Disaster Management (Gi4DM 2018), Mar 2018, Istanbul, Turkey
- Accès au bibtex
-
- titre
- High-performance, hysteresis free, ambipolar hybrid perovskite based field-effect transistors
- auteur
- Noélia Devesa Canicoba, Kasun Fernando, Jean Christophe Blancon, Fangze Liu, Laurent Le Brizoual, Regis Rogel, Jacky Even, Bruce Alphenaar, Wanyie Nie, Aditya D Mohite
- article
- American Physical Society March Meeting 2018 (APS March Meeting 2018), Mar 2018, Los Angeles, United States
- Accès au bibtex
-
- titre
- Impact of magneto-dielectric materials on the miniaturization of UWB monopole antennas
- auteur
- A. Kabalan, A. Sharaiha, A-C. Tarot, J-L. Mattei, D. Souriou, Patrick Queffelec
- article
- IEEE International Workshop on Antenna Technology (iWAT), Mar 2018, Nanjing, China. ⟨10.1109/iwat.2018.8379224⟩
- Accès au bibtex
-
- titre
- A Broadside Efficient Superdirective Compact Array With Internally Loaded Wideband Unit Elements
- auteur
- Hussein Jaafar, Ala Sharaiha, Sylvain Collardey
- article
- IEEE International Workshop on Antenna Technology (iWAT), Mar 2018, Nanjing, China. ⟨10.1109/iwat.2018.8379218⟩
- Accès au bibtex
-
- titre
- High-performance, hysteresis free, ambipolar hybrid perovskite based field-effect transistors
- auteur
- Noélia Devesa Canicoba, Kasun Fernando, Jean-Christophe Blancon, Fangze Liu, Laurent Le Brizoual, Regis Rogel, Jacky Even, Bruce W Alphenaar, Wanyi Nie, Aditya D Mohite
- article
- International Conference on Perovskite Thin Film Photovoltaics, Photonics and Optoelectronics 2018 (ABXPV&PEROPTO 18), Feb 2018, Rennes, France. ⟨10.29363/nanoge.abxpvperopto.2018.089⟩
- Accès au bibtex
-
- titre
- Strategy for Higher Education in electronic Circuits and Systems in the perspective of the up-coming digital society
- auteur
- Olivier Bonnaud, Laurent Fesquet, Luc Hébrard
- article
- IEEE 9th Latin American Symposium on Circuits & Systems (LASCAS 2018), Feb 2018, Puerto Vallarta, Mexico. ⟨10.1109/lascas.2018.8399942⟩
- Accès au bibtex
-
- titre
- MSE prediction in DCT-based lossy compression of noise-free and noisy remote sensing
- auteur
- S. Krivenko, M. Zriakhov, V. Lukin, B. Vozel
- article
- 14th International Conference on Advanced Trends in Radioelectronics, Telecommunications and Computer Engineering, TCSET 2018, Feb 2018, Slavske, Ukraine. pp.883-888, ⟨10.1109/TCSET.2018.8336338⟩
- Accès au bibtex
-
- titre
- A CAN Network Architecture for Gate Drivers Dedicated to Wide Band Gap Components
- auteur
- Christophe Bouguet, Nicolas Ginot, Christophe Batard
- article
- 2018 IEEE International Conference on Industrial Technology, Feb 2018, Lyon, France. pp.LD-008362, ⟨10.1109/icit.2018.8352239⟩
- Accès au bibtex
-
- titre
- Évolution des technologies Microélectroniques vers les objets connectés : mutation de la formation nationale vers la pluridisciplinarité,
- auteur
- Olivier Bonnaud
- article
- ENOVA’2018,, Feb 2018, Lyon, France
- Accès au bibtex
-
- titre
- A survey on databases of facial macro-expression and micro-expression
- auteur
- R. Weber, J. Li, Catherine Soladie, Renaud Seguier
- article
- 13th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, VISIGRAPP 2018, Jan 2018, Funchal, Portugal. pp.298-325, ⟨10.1007/978-3-030-26756-8_15⟩
- Accès au bibtex
-
- titre
- A survey on databases for facial expression analysis
- auteur
- Raphael Weber, Catherine Soladie, Renaud Seguier
- article
- International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications. VISAPP, Jan 2018, Funchal, Portugal. p.73-84, ⟨10.5220/0006553900730084⟩
- Accès au bibtex
-
- titre
- Outils de Surveillance pour Réseaux d'Assainissement Intelligents
- auteur
- Jean Marie Floc’h, Mathieu Zug, J. Vasquez
- article
- Carrefour des Gestion Locales de l'Eau, Jan 2018, Rennes, France
- Accès au bibtex
-
- titre
- Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- PARMA-DITAM, Jan 2018, Manchester, United Kingdom. ⟨10.1145/3183767.3183780⟩
- Accès au texte intégral et bibtex
-
- titre
- Model-based programming for multi-processor platforms with TTool/DIPLODOCUS and OMC
- auteur
- A. Enrici, J. Lallet, R. Pacalet, Ludovic Apvrille, Karol Desnos, I. Latif
- article
- 6th International Conference on Model-Driven Engineering and Software Development, MODELSWARD 2018, Jan 2018, Funchal, Portugal. pp.56-81, ⟨10.1007/978-3-030-11030-7_4⟩
- Accès au bibtex
-
- titre
- «Dielectric properties in low and high frequencies of oxynitride layered perovskite compounds»
- auteur
- C. Le Paven, Ratiba Benzerga, L. Le Gendre, A. Sharaiha, Franck Tessier, François Cheviré, R. Desfeux, S. Saitzek, A. Ferri, X. Castel
- article
- Electroceramics XVI, 2018, Hasselt, Belgium
- Accès au bibtex
-
- titre
- Polarimetric analysis of oil contaminated laboratory grown saltwater ice imaged by a Ground Based SAR
- auteur
- Mariane Myrnes, C. Brekke, Laurent Ferro-Famil, Christian Petrich
- article
- Proceedings of EUSAR 2018: 12th European Conference on Synthetic Aperture Radar, 2018, Aachen, Germany. pp.1-4
- Accès au bibtex
-
- titre
- LTP-ML: Micro-Expression Detection by Recognition of Local Temporal Pattern of Facial Movements
- auteur
- Jingting Li, Catherine Soladie, Renaud Seguier
- article
- IEEE international conference on Automatic face and gesture recognition, 2018, Xi'an, China. ⟨10.1109/fg.2018.00100⟩
- Accès au bibtex
-
- titre
- Towards Continuous Health Diagnosis from Faces with Deep Learning
- auteur
- Victor Martin, Renaud Seguier, Aurélie Porcheron, Frédérique Morizot
- article
- International Conference On Medical Image Computing & Computer Assisted Intervention MICCAI, 2018, Granada, Spain. pp.120-128, ⟨10.1007/978-3-030-00320-3_15⟩
- Accès au bibtex
-
- titre
- A full-reference Image Quality Assessment metric for 3D Synthesized Views
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, Olivier Déforges
- article
- Image Quality and System Performance Conference, at IS&T Electronic Imaging 2018, 2018, Burlingame, United States. pp.366-1-366-5, ⟨10.2352/issn.2470-1173.2018.12.iqsp-366⟩
- Accès au bibtex
-
- titre
- Relocalisation Robuste de Caméra en Temps Réel pour la Réalité Augmentée par une Approche Hybride combinant Réseaux de Neurones et Méthodes Géométriques
- auteur
- Nam-Duong Duong, Amine Kacete, Catherine Soladie, Pierre-Yves Richard, Jérôme Royan
- article
- RFIAP, 2018, Marne-la-Vallée, France
- Accès au texte intégral et bibtex
-
- titre
- Détection de Micro-expressions par Reconnaissance de Motif Local Temporel de Mouvements Faciaux
- auteur
- Jingting Li, Catherine Soladie, Renaud Seguier
- article
- Reconnaissance des Formes, Image, Apprentissage et Perception, 2018, Marne la Vallée, France
- Accès au bibtex
-
- titre
- Understanding the visual effects of cosmetic products on beauty via Deep Learning
- auteur
- Victor Martin, Renaud Seguier, Aurélie Porcheron, Frédérique Morizot
- article
- IFSCC Congress, 2018, Munich, Germany
- Accès au bibtex
-
- titre
- Evaluating Spaceborne L-band PolTomoSAR for Forest Biomass Retrieval based on Airborne SAR Data
- auteur
- Erik Blomberg, Laurent Ferro-Famil, Lars Ulander, Maciej Soja, S. Tebaldini
- article
- Proceedings of EUSAR 2018: 12th European Conference on Synthetic Aperture Radar, 2018, Aachen, Germany. pp.1-4
- Accès au bibtex
-
Book sections
- titre
- Lossy Compression of Remote Sensing Images with Controllable Distortions
- auteur
- Vladimir Lukin, Alexander Zemliachenko, Sergey Krivenko, Benoit Vozel, Kacem Chehdi
- article
- Satellite Information Classification and Interpretation, IntechOpen, 2018, ⟨10.5772/intechopen.82361⟩
- Accès au bibtex
-
- titre
- Polarimetric SAR Modelling: Mellin Kind Statistics and Time-Frequency Analysis
- auteur
- Torbjorn Eltoft, Laurent Ferro-Famil, Stian Anfinsen, Anthony Doulgeris
- article
- Mathematical Models for Remote Sensing Image Processing, pp.191-242, 2018, ⟨10.1007/978-3-319-66330-2_5⟩
- Accès au bibtex
-
- titre
- Despeckling of Multitemporal Sentinel SAR Images and Its Impact on Agricultural Area Classification
- auteur
- Vladimir Lukin, Oleksii Rubel, Ruslan Kozhemiakin, Sergey Abramov, Andrii Shelestov, Mykola Lavreniuk, Mykola Meretsky, Benoit Vozel, Kacem Chehdi
- article
- Recent Advances and Applications in Remote Sensing, InTech, 2018, ⟨10.5772/intechopen.72577⟩
- Accès au texte intégral et bibtex
-
- titre
- Waveform Design for MIMO Radar and SAR Application
- auteur
- Stéphane Méric, Jean-Yves Baudais
- article
- Graham Weinberg. Topics in Radar Signal Processing, , 2018, 978-1-78923-120-5. ⟨10.5772/intechopen.71300⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of an UHF antenna insensitive to the concrete dielectric characteristics. In : Dominique Lesselier, Christophe Reboud (Eds.). Electromagnetic Non-Destructive Evaluation (XXI)
- auteur
- Théo Richard, Mohamed Latrach, Amine Ihamouten, Caroline Borderon, Hartmut Gundel, Xavier Derobert
- article
- Design of an UHF antenna insensitive to the concrete dielectric characteristics. In : Dominique Lesselier, Christophe Reboud (Eds.). Electromagnetic Non-Destructive Evaluation (XXI), IOP Publishing, pp. 136-143, 2018, Studies in Applied Electromagnetics and Mechanics, 978-1-61499-835-8. ⟨10.3233/978-1-61499-836-5-136⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-field focusing by non-diffracting bessel beams
- auteur
- S.C. Pavone, Massimiliano Casaletti, M. Albani, A. Mazzinghi, A. Freni, M. Ettorre
- article
- Aperture Antennas for Millimeter and Sub-Millimeter Wave Applications, Springer, pp.243-288, 2018, ⟨10.1007/978-3-319-62773-1_8⟩
- Accès au bibtex
-
- titre
- Terahertz antennas and feeds
- auteur
- G. Chattopadhyay, M. Alonso-Delpino, N. Chahat, D. González-Ovejero, C. Lee, T. Reck
- article
- Terahertz antennas and feeds, Springer, pp.335-386, 2018, ⟨10.1007/978-3-319-62773-1_10⟩
- Accès au bibtex
-
- titre
- Metasurface antennas
- auteur
- G. Minatti, M. Faenzi, M. Mencagli, F. Caminita, D. González Ovejero, C.D. Giovampaola, A. Benini, E. Martini, M. Sabbadini, S. Maci
- article
- Aperture Antennas for Millimeter and Sub-Millimeter Wave Applications, Springer, pp.289-333, 2018, ⟨10.1007/978-3-319-62773-1_9⟩
- Accès au bibtex
-
- titre
- Integrated lens antennas
- auteur
- A.V. Boriskin, R. Sauleau, J.R. Costa, C. Fernandes
- article
- Integrated lens antennas, Springer, pp.3-36, 2018, ⟨10.1007/978-3-319-62773-1_1⟩
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Contribution au développement de composants micro-ondes multi-bandes et/ou reconfigurables. Applications aux antennes directives et aux filtres planaires.
- auteur
- Erwan Fourn
- article
- Electronique. Université de Rennes 1, 2018
- Accès au texte intégral et bibtex
-
- titre
- Contribution au développement de composants micro-ondes multi-bandes et/ou reconfigurables. Applications aux antennes directives et aux filtres planaires.
- auteur
- Erwan Fourn
- article
- Electromagnétisme. Université de Rennes 1, 2018
- Accès au texte intégral et bibtex
-
- titre
- Energy efficient communications: from resource allocations to machine learning
- auteur
- Philippe Mary
- article
- Engineering Sciences [physics]. Université de Rennes 1, 2018
- Accès au texte intégral et bibtex
-
Lectures
- titre
- Julia, my new friend for computing and optimization?
- auteur
- Pierre Haessig, Lilian Besson
- article
- Master. France. 2018
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Compromis efficacités énergétique et spectrale dans un réseau cellulaire de grande taille. De la consommation, des matrices aléatoires et de la géométrie stochastique.
- auteur
- Jean-Yves Baudais
- article
- 2018
- Accès au texte intégral et bibtex
-
- titre
- Anechoic chamber Absorbers: Optimization ways
- auteur
- Ratiba Benzerga, Chloé Mejean, Laura Pometcu, Ala Sharaiha, Philippe Pouliguen, Claire Le Paven, Badard Mathieu
- article
- 2018
- Accès au bibtex
-
- titre
- Novel Strontium and Tantalum Based Layered Perovskite Ferroelectric Materials
- auteur
- Claire Le Paven, Florent Marlec, Laurent Le Gendre, Ratiba Benzerga, Mohamad Haydoura, Ala Sharaiha
- article
- 2018
- Accès au bibtex
-
- titre
- Microwave absorption of Carbon containing Glass Foams
- auteur
- Ratiba Benzerga, Laurent Le Gendre, Vincent Laur, Ronan Lebullenger, Patrick Queffelec, Ala Sharaiha
- article
- 2018
- Accès au bibtex
-
- titre
- Design and implementation of robust Keyed Hash functions based on Chaotic Neural Network
- auteur
- Nabil Abdoun, Safwan El Assad, Rima Assaf, Olivier Déforges, Mohamad Khalil, Safya Belghith
- article
- 2018
- Accès au bibtex
-
- titre
- Advanced Waveforms, MAC Design and Dynamic Radio Resource Allocation for Device to-Device in 5G Wireless Networks. Deliverable 1.3: Resource allocation for D2D communications: gain of advanced FBMC
- auteur
- Mylène Pischella, Rostom Zakaria, Didier Le Ruyet, Quentin Bodinier, Faouzi Bader, Hussein Chour
- article
- 2018
- Accès au texte intégral et bibtex
-
Books
- titre
- Synthesis and Structural Characterization of Glass Foam Composites for Electromagnetic Application
- auteur
- Younes Lamri, Ratiba Benzerga, Azzedine Ayadi, Laurent Le Gendre, Fayrouz Benhaoua
- article
- Springer International Publishing, pp.593-601, 2018
- Accès au bibtex
-
- titre
- Radar Propagation and Scattering in a Complex Maritime Environment: Modeling and Simulation from MatLab
- auteur
- Christophe Bourlier
- article
- ISTE Press, 2018, 978-1-78548-230-4
- Accès au bibtex
-
Patents
- titre
- Ensemble de blindage électromagnétique transparent optiquement
- auteur
- Cyril Dupeyrat, Patrice Foutrel, Philippe Besnier, Xavier Castel
- article
- France, Patent n° : WO2018/215243. 2018
- Accès au bibtex
-
- titre
- Procédé de formation d'une séquence d'images de sortie à partir d'une séquence d'images d'entrée, procédé de reconstruction d'une séquence d'images d'entrée à partir d'une séquence d'images de sortie, dispositifs, équipement serveur, équipement client et programmes d'ordinateurs associés.
- auteur
- Jean-Yves Aubié, Wassim Hamidouche, Patrick Dumenil, Glenn Herrou
- article
- France, N° de brevet: WO2020025510A1. 2018
- Accès au bibtex
-
- titre
- Method for processing a sequence of holographic images, devices, signals, devices and computer program associated therewith
- auteur
- Patrick Gioia, Kartik Viswanathan
- article
- United States, Patent n° : US9971302B2. 2018
- Accès au bibtex
-
- titre
- Method for affecting biological cells using specific waveforms and heat profiles
- auteur
- Maxim Zhadobov
- article
- France, Patent n° : EP18305507. 2018
- Accès au bibtex
-
- titre
- Méthode de détermination d'une vitesse de sédimentation
- auteur
- Bruno Bêche, Hervé Lhermite, Véronique Vié, Lucas Garnier
- article
- France, N° de brevet: 18/00110. 2018
- Accès au bibtex
-
- titre
- Surface sélective en fréquence commandable et multifonctionnelle
- auteur
- Kevin Rubrice, Mohamed Himdi, Xavier Castel, Patrick Parneix
- article
- France, N° de brevet: WO2018/011294. 2018
- Accès au bibtex
-
- titre
- Substrat alvéolaire fonctionnalisé et structure composite sandwich intégrant un tel substrat
- auteur
- Patrick Parneix, Kevin Rubrice, Mohamed Himdi, Xavier Castel
- article
- France, N° de brevet: WO2018/011317. 2018
- Accès au bibtex
-
- titre
- Structure composite à caractéristiques diélectriques reconfigurables
- auteur
- Kevin Rubrice, Xavier Castel, Mohamed Himdi, Patrick Parneix
- article
- France, N° de brevet: WO2018/011312. 2018
- Accès au bibtex
-
Poster communications
- titre
- Blindage CEM optiquement transparent à ajustement dynamique en efficacité
- auteur
- Quentin Tricas, Patrice Foutrel, Philippe Besnier, Xavier Castel, Claire Le Paven-Thivet
- article
- Journée des Doctorants, SAFRAN Electronics & Defense, Dec 2018, Massy, France
- Accès au bibtex
-
- titre
- SAMCOM – Systèmes Antennaires en Matériaux COMposites
- auteur
- Patrick Parneix, Philippe Besnier, Baptiste Le Bourhis, Xavier Castel, Mohamed Himdi
- article
- Forum Innovation Défense, Nov 2018, Paris, France. Actes du colloque "Forum Innovation Défense"
- Accès au bibtex
-
- titre
- NanoPhotonics for Sensors Applications
- auteur
- Lucas Garnier, Hervé Lhermite, Véronique Vié, Hervé Cormerais, Bruno Bêche
- article
- Doctoral School 3M (Matters, Molecules and Materials) Day : "Molecules and Materials for everyday use", Oct 2018, Rennes, France
- Accès au bibtex
-
- titre
- Bacteria Sensors based on Interdigitated Silicon Nanowires Electrodes
- auteur
- Anne-Claire Salaün, Laurent Pichon, Y. Benserhir, Anne Jolivet-Gougeon, N. Oliviero, F. Geneste, R. Selmi
- article
- AiMES 2018 (Americas International meeting on Electrochemistry and Solid-State Science), Oct 2018, Cancun, Mexico. IEEE, pp.1-4
- Accès au bibtex
-
- titre
- Waste tire-based glass foam composites for microwave absorption application
- auteur
- Younes Lamri, Ratiba Benzerga, Azzedine Ayadi, Laurent Le Gendre, Aicha El Assal, Fayrouz Benhaoua
- article
- MMA 2018 10th International Conference on Microwave Materials and their Applications, Oct 2018, Osaka, Japan. Proceedings of the 10th International Conference on Microwave Materials and their Applications (MMA 2018)
- Accès au bibtex
-
- titre
- Dielectric properties in radio-frequency and microwave ranges of ferroelectric (Sr2Ta2O7)100-x(La2Ti2O7)x layered perovskite ceramics
- auteur
- Mohamad Haydoura, Florent Marlec, Ratiba Benzerga, Vincent Laur, Claire Le Paven, Alexis Chevalier, Laurent Le Gendre, François Cheviré, Franck Tessier, Ala Sharaiha
- article
- MMA 2018 10th International Conference on Microwave Materials and their Applications, Oct 2018, Osaka, Japan. Proceedings of the 10th International Conference on Microwave Materials and their Applications (MMA 2018)
- Accès au bibtex
-
- titre
- Symmetrical metamaterial for wide band microwave absorption improvement of pyramidal absorbers
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- MMA2018 (10th International Conference on Microwave Materials and their Applications 2018), Oct 2018, Osaka, Japan
- Accès au bibtex
-
- titre
- Inorganic foam composites for high power microwave terminations
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Patrick Queffelec, Ala Sharaiha
- article
- MMA 2018 10th International Conference on Microwave Materials and their Applications, Oct 2018, Osaka, Japan. Proceedings of the 10th International Conference on Microwave Materials and their Applications (MMA 2018)
- Accès au bibtex
-
- titre
- WIND-WAVE-POOL EXPERIMENTAL DATA OF CONTAMINATED SEAWATER SURFACES: STATISTICAL SURFACES AND RADAR BACKSCATTERED FIELD
- auteur
- Aymeric Mainvis, Vincent Fabbro, Henri-Jose Mametsa, Christophe Bourlier, Pierre Borderies, Véronique Miegebielle
- article
- International Geoscience and Remote Sensing Symposium, Jul 2018, Valence, Spain.
- Accès au bibtex
-
- titre
- Premiers résultats de l'étude d'un déflecteur électro-optique sur polymères en vue de la réalisation d’un convertisseur analogique numérique tout-optique
- auteur
- Pierre-Vincent Dugué, Mohammed El Gibari, Mathieu Halbwax, Stéphane Ginestar, Jean-Pierre Vilcot, Hong Wu Li
- article
- Journée du Club Optique Microondes, Jul 2018, Toulouse, France.
- Accès au bibtex
-
- titre
- Multi-Armed bandit Learning in Iot Networks (MALIN)
- auteur
- Remi Bonnefoi, Lilian Besson, Christophe Moy
- article
- ICT 2018 - 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France.
- Accès au texte intégral et bibtex
-
- titre
- A Neural Model for RT-Level Power Estimation on FPGAs
- auteur
- Yehya Nasser, Jean-Christophe Prevotet, Maryline Hélard
- article
- 13ème Colloque du GDR SoC/SiP 2018, Jun 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Fonctionnalisation de surfaces par nébullisation gazeuse en spectrométrie de masse pour applications senseurs et systèmes antennaires
- auteur
- Antoine Denis, David Rondeau, Thomas Delhaye, Xavier Castel, Mohamed Himdi
- article
- Journées des Doctorants IETR, Jun 2018, Vannes, France. 1 pp., 2018, Actes de colloque des Journées des Doctorants IETR
- Accès au bibtex
-
- titre
- Elaboration et caractérisation de matériaux composites pour applications en hyperfréquences
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier
- article
- Journées des Doctorants IETR, Jun 2018, Vannes, France. 2 pp., 2018, Actes de colloque des Journées des Doctorants IETR
- Accès au bibtex
-
- titre
- Multi-Player Bandits Revisited
- auteur
- Lilian Besson
- article
- Séminaire « IETR : Interagir Evaluer Transmettre Réunir », Jun 2018, Vannes, France
- Accès au texte intégral et bibtex
-
- titre
- Short pulse transmission for SiC communicating Gate Driver under high dv/dt
- auteur
- Julien Weckbrodt, Nicolas Ginot, Christophe Batard, Stéphane Azzopardi
- article
- International Exhibition and Conference for Power Electronics, Intelligent Motion, Renewable Energy and Energy Management, Jun 2018, Nuremberg, Germany. , pp.1552-1557, 2018
- Accès au bibtex
-
- titre
- Hybrid material based on metamaterial for absorption improvement of pyramidal absorbers
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- EUCAP 2018, The 12th European Conference on Antennas and Propagation, Apr 2018, London, United Kingdom
- Accès au bibtex
-
- titre
- Design and fabrication of a tunable leaky-wave antenna printed on a ferroelectric KTa0.5Nb0.5O3 thin film
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- Journées Nationales du GDR OXYFUN, Mar 2018, Piriac-sur-Mer, France. pp.62, 2018, Actes de colloque des Journées Nationales du GDR OXYFUN
- Accès au bibtex
-
- titre
- Power Aware Framework for Fast & Early Power Estimation on FPGA
- auteur
- Yehya Nasser, Jean-Christophe Prévotet, Maryline Hélard
- article
- DATE 2018, PhD Forum, Mar 2018, Dresden, Germany
- Accès au texte intégral et bibtex
-
- titre
- Matériau et forme innovants pour les absorbants en chambre anéchoïque
- auteur
- Laura Pometcu, Chloé Mejean, Ratiba Benzerga, Ala Sharaiha, Aicha El Assal, Philippe Pouliguen
- article
- JCMM2018, 15èmes Journées de Caractérisation Microondes et Matériaux, Mar 2018, Paris, France. 2018
- Accès au bibtex
-
- titre
- Conception et fabrication d'une antenne à ondes de fuite reconfigurable imprimée sur couche mince ferroélectrique de KTa0,5Nb0,5O3
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JCMM 2018 “15èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2018, Paris, France. pp.ID-32, 2018, Actes de colloque des JCMM 2018
- Accès au bibtex
-
Documents associated with scientific events
- titre
- A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
- auteur
- Alberto Bosio, Daniel Menard, Olivier Sentieys
- article
- ESWEEK 2018 - Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-2
- Accès au texte intégral et bibtex
-
Reports
- titre
- Documentation et manuel utilisateur de la mesure de taux d'erreur OFDM
- auteur
- Jean-Yves Baudais, Philippe Tanguy, François Yven, Nizar Bouhlel
- article
- [Rapport Technique] IETR. 2018
- Accès au bibtex
-
- titre
- Beyond-5G wireless Tbps scenarios and requirements
- auteur
- Majed Saad, Carlos Faouzi Bader, Jacques Palicot, Yoann Corre, Gregory Gougeon, Jean-Baptiste Doré
- article
- [Research Report] BRAVE D1.0, Supelec; CEA. 2018
- Accès au texte intégral et bibtex
-
- titre
- Intra Coding Performance Comparison of HEVC, H.264/AVC, Motion-JPEG2000 and JPEGXR Encoders
- auteur
- Yi Liu, Wassim Hamidouche, Olivier Déforges, Yi Lui, Olivier Dforges
- article
- [Research Report] IETR/INSA Rennes. 2018
- Accès au texte intégral et bibtex
-
- titre
- Documentation et manuel utilisateur du générateur OFDM
- auteur
- Jean-Yves Baudais, Philippe Tanguy, François Yven, Nizar Bouhlel
- article
- [Rapport Technique] IETR. 2018
- Accès au bibtex
-
- titre
- Documentation et manuel utilisateur du démodulateur OFDM
- auteur
- Philippe Tanguy, Jean-Yves Baudais, Nizar Bouhlel
- article
- [Rapport Technique] IETR. 2018
- Accès au bibtex
-
- titre
- Synthèse bibliographique des techniques de démodulation coopérative et non coopérative des formes d'ondes OFDM
- auteur
- Jean-Yves Baudais, Philippe Tanguy
- article
- [Rapport de recherche] IETR. 2018
- Accès au bibtex
-
- titre
- Recensement des systèmes OFDM
- auteur
- Jean-Yves Baudais, Philippe Tanguy, François Yven
- article
- [Rapport de recherche] IETR. 2018
- Accès au bibtex
-
- titre
- Spécification des fonctions élémentaires du générateur OFDM
- auteur
- Jean-Yves Baudais, Philippe Tanguy, François Yven
- article
- [Rapport Technique] IETR. 2018
- Accès au bibtex
-
- titre
- Spécification des fonctions élémentaires de démodulation OFDM
- auteur
- Philippe Tanguy, Jean-Yves Baudais
- article
- [Rapport Technique] IETR. 2018
- Accès au bibtex
-
Notes de synthèse
- titre
- A Note on the Ei Function and a Useful Sum-Inequality
- auteur
- Lilian Besson
- article
- 2018
- Accès au texte intégral et bibtex
-
Theses
- titre
- Development and characterization of perovskite based devices: field effect transistors and solar cells
- auteur
- Noélia Devesa Canicoba
- article
- Micro and nanotechnologies/Microelectronics. Université de Rennes1, 2018. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Management of Dynamic Reconfiguration in a Wireless Digital Communication Context
- auteur
- Mohamad-Al-Fadl Rihani
- article
- Computers and Society [cs.CY]. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0030⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions to Software Runtime for Clustered Manycores Applied to Embedded and High-Performance Applications
- auteur
- Julien Hascoët
- article
- Embedded Systems. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0029⟩
- Accès au texte intégral et bibtex
-
- titre
- Imagerie computationnelle active et passive à l’aide d’une cavité chaotique micro-ondes
- auteur
- Ariel Christopher Tondo Yoya
- article
- Traitement du signal et de l'image [eess.SP]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S130⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware support for the security analysis of embedded softwares : applications on information flow control and malware analysis
- auteur
- Muhammad Abdul Wahab
- article
- Hardware Architecture [cs.AR]. CentraleSupélec, 2018. English. ⟨NNT : 2018CSUP0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Complexity Control for Low-Power HEVC Encoding
- auteur
- Alexandre Mercat
- article
- Signal and Image processing. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0035⟩
- Accès au texte intégral et bibtex
-
- titre
- Techniques de Maîtrise des Phénomènes de Couplage dans les Antennes Réseaux Imprimées à Balayage Electronique : Application à la Réduction des Directions Aveugles
- auteur
- Aurélien Ayissi Manga
- article
- Réseaux et télécommunications [cs.NI]. INSA de Rennes; Thales (Firme), 2018. Français. ⟨NNT : 2018ISAR0033⟩
- Accès au texte intégral et bibtex
-
- titre
- Reflection control techniques of a plane wave using transformation optics and surface impedance modulation - Application to the flattening of the retro-directive reflector
- auteur
- Hassan Haddad
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes; Université Libanaise - Liban, 2018. English. ⟨NNT : 2018ISAR0025⟩
- Accès au texte intégral et bibtex
-
- titre
- Receive and Transmit Spatial Modulation Techniques for Low Complexity Devices
- auteur
- Ali Mokh
- article
- Signal and Image Processing. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0020⟩
- Accès au texte intégral et bibtex
-
- titre
- Études de la mise en oeuvre matérielle d’une transmission sans fil combinant retournement temporel et OFDM
- auteur
- Yvan Kokar
- article
- Réseaux et télécommunications [cs.NI]. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0031⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficacité énergétique des communications Device-to-Device dans les réseaux hétérogènes
- auteur
- Romain Chevillon
- article
- Electronique. UNIVERSITE DE NANTES, 2018. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of next-generation 5G directive antennas at millimeter waves
- auteur
- Mohammad Reza Dehghani Kodnoeih
- article
- Electronics. UNIVERSITE DE NANTES, 2018. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Nouveaux matériaux perovskites ferroélectriques : céramiques et couches minces issues de la solution solide (Sr₂Ta₂O₇)₁₀₀₋ₓ(La₂Ti₂O₇)ₓ
- auteur
- Florent Marlec
- article
- Electronique. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S024⟩
- Accès au texte intégral et bibtex
-
- titre
- Utilisation de la Radio Intelligente pour un Réseau Mobile à Faible Consommation d’Energie
- auteur
- Rémi Bonnefoi
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupelec, 2018. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Utilisation de la radio intelligente pour un réseau mobile à faible consommation d’énergie
- auteur
- Rémi Bonnefoi
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupélec, 2018. Français. ⟨NNT : 2018CSUP0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception de balises de détresse intégrées aux équipements de sécurité maritime
- auteur
- Adjo Sefofo Sokpor
- article
- Electronique. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S068⟩
- Accès au texte intégral et bibtex
-
- titre
- Massive MIMO for 5G Scenarios with OFDM and FBMC/OQAM Waveforms
- auteur
- Alexis Bazin
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0019⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude et modélisation des couplages inter-composants pour la conception des filtres : Application aux filtres du domaine automobile
- auteur
- Marine Stojanovic
- article
- Electronique. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband and superdirective small antennas with embedded optimized loads using the characteristic modes theory
- auteur
- Hussein Jaafar
- article
- Electronics. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S031⟩
- Accès au texte intégral et bibtex
-
- titre
- Time-delay estimation and source localization in radar systems
- auteur
- Jingjing Pan
- article
- Electronics. UNIVERSITE DE NANTES, 2018. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Clustering Nature of Base Station and Traffic Demand in Cellular Networks and the Corresponding Caching and Multicast Strategies
- auteur
- Yifan Zhou
- article
- Signal and Image processing. Centrale Supélec, 2018. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Clustering Nature of Base Stations and Traffic Demands in Cellular Networks and the Corresponding Caching and Multicast Strategies
- auteur
- Yifan Zhou
- article
- Signal and Image processing. CentraleSupélec; Zhejiang University (Hangzhou, Chine), 2018. English. ⟨NNT : 2018CSUP0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude sur les dispositifs d'amélioration de SER inspirés des reflectarrays et des métamatériaux
- auteur
- Hussein Srour
- article
- Electronique. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0018⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthèse analytique de panneaux réflecteurs imprimés : Utilisation de circuits équivalents et de techniques de synthèse de filtres
- auteur
- Alexandre Grossetete
- article
- Electronique. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude et conception de métamatériaux accordables pour la miniaturisation d’antennes aux fréquences micro-ondes
- auteur
- Nebil Kristou
- article
- Réseaux et télécommunications [cs.NI]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S016⟩
- Accès au texte intégral et bibtex
-
- titre
- Outils de synthèse pour les réseaux réflecteurs exploitant la cellule Phoenix et les réseaux de neurones
- auteur
- Vincent Richard
- article
- Electromagnétisme. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Video Analysis for Micro- Expression Spotting and Recognition
- auteur
- Hua Lu
- article
- Signal and Image processing. INSA de Rennes, 2018. English. ⟨NNT : 2018ISAR0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Localisation à haute résolution de cibles lentes et de petite taille à l’aide de radars de sol hautement ambigus
- auteur
- Linda Hadded Aouchiche
- article
- Traitement du signal et de l'image [eess.SP]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S008⟩
- Accès au texte intégral et bibtex
-
- titre
- Analyse et modélisation de la qualité perçue des applications de visiophonie
- auteur
- Inès Saidi
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0013⟩
- Accès au texte intégral et bibtex
-
- titre
- Codeur vidéo scalable haute-fidélité SHVC modulable et parallèle
- auteur
- Ronan Parois
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2018. Français. ⟨NNT : 2018ISAR0016⟩
- Accès au texte intégral et bibtex
-
- titre
- Optical antennas for harvesting solar radiation energy
- auteur
- Waleed Tariq Sethi
- article
- Electronics. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S129⟩
- Accès au texte intégral et bibtex
-
- titre
- Indoor localization techniques for wireless sensor networks
- auteur
- Jinze Du
- article
- Electronics. UNIVERSITE DE NANTES, 2018. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- SMPyBandits: an Experimental Framework for Single and Multi-Players Multi-Arms Bandits Algorithms in Python
- auteur
- Lilian Besson
- article
- 2018
- Accès au texte intégral et bibtex
-
- titre
- Accelerating CNN inference on FPGAs: A Survey
- auteur
- Kamel Abdelouahab, Maxime Pelcat, François Berry, Jocelyn Sérot
- article
- 2018
- Accès au texte intégral et bibtex
-
- titre
- MIMO Channel Hardening: A Physical Model based Analysis
- auteur
- Matthieu Roy, Stéphane Paquelet, Luc Le Magoarou, Matthieu Crussière
- article
- 2018
- Accès au texte intégral et bibtex
-
- titre
- What Doubling Tricks Can and Can't Do for Multi-Armed Bandits
- auteur
- Lilian Besson, Emilie Kaufmann
- article
- 2018
- Accès au texte intégral et bibtex
-
- titre
- Power Modeling for Fast Power Estimation on FPGA
- auteur
- Yehya Nasser, Jean-Christophe Prevotet, Maryline Hélard
- article
- 2018
- Accès au texte intégral et bibtex
-
2017
Journal articles
- titre
- Evaluation of LPWAN technology for Smart City
- auteur
- Hussein Mroue, Guillaume Andrieux, Eduardo Motta Cruz, Gilles Rouyer
- article
- EAI Endorsed Transactions on Smart Cities, 2017, 2 (6), ⟨10.4108/eai.20-12-2017.153494⟩
- Accès au bibtex
-
- titre
- The inherent matrix properties of lichen metabolites in matrix-assisted laser desorption ionization time-of-flight mass spectrometry
- auteur
- Pierre Le Pogam, Joël Boustie, Pascal Richomme, Antoine Denis, Andreas Schinkovitz
- article
- Rapid Communications in Mass Spectrometry, 2017, 31 (23), pp.1993-2002. ⟨10.1002/rcm.7980⟩
- Accès au texte intégral et bibtex
-
- titre
- Conformal antennas for miniature in‐body devices: the quest to improve radiation performance
- auteur
- Maxim Zhadobov
- article
- Radio Science Bulletin, 2017, 363, pp.52-64
- Accès au bibtex
-
- titre
- A transparent and flexible polymer-fabric tissue UWB antenna for future wireless networks
- auteur
- Husameldin A. Elmobarak, Sharul A. K. Rahim, Mohamed Himdi, Xavier Castel, Mohammad Abedian
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16 (1), pp.1333-1336. ⟨10.1109/LAWP.2016.2633790⟩
- Accès au bibtex
-
- titre
- Ferroelectric and dielectric study of strontium tantalum based perovskite oxynitride films deposited by reactive rf magnetron sputtering
- auteur
- Claire Le Paven, Ratiba Benzerga, Anthony Ferri, Didier Fasquelle, Vincent Laur, Laurent Le Gendre, Florent Marlec, Franck Tessier, François Cheviré, Rachel Desfeux, Sébastien Saitzek, Xavier Castel, Ala Sharaiha
- article
- Materials Research Bulletin, 2017, The 9th international conference on the Microwave Materials and Their Applications, 96 (Part 2), pp.126-132. ⟨10.1016/j.materresbull.2016.11.030⟩
- Accès au texte intégral et bibtex
-
- titre
- Leaky waveguide deflector for 40 Gs/s all-optical analog-to-digital converters
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Journal of The Franklin Institute, 2017, 354 (18), pp.8710-8720. ⟨10.1016/j.jfranklin.2016.10.042⟩
- Accès au texte intégral et bibtex
-
- titre
- Primary Prevention of Asymptomatic Cardiovascular Disease Using Physiological Sensors Connected to an iOS App
- auteur
- Leire Moreno-Alsasua, Begonya Garcia-Zapirain, J. David Rodrigo-Carbonero, Ibon Oleagordia Ruiz, Sofiane Hamrioui, Isabel de La Torre Díez
- article
- Journal of Medical Systems, 2017, 41 (12), ⟨10.1007/s10916-017-0840-2⟩
- Accès au bibtex
-
- titre
- Power Spectrum density of Single Side band CPM using Lorenztian frequency pulses
- auteur
- Haïfa Farès, D. C Glattli, Yves Louët, Jacques Palicot, Preden S Roulleau, Christophe Moy
- article
- IEEE Wireless Communications Letters, 2017, 6 (6), ⟨10.1109/LWC.2017.2742505⟩
- Accès au texte intégral et bibtex
-
- titre
- A Virtual Synchronous Generator Based Hierarchical Control Scheme of Distributed Generation Systems
- auteur
- Gang Yao, Zhichong Lu, Yide Wang, Mohamed Benbouzid, Luc Moreau
- article
- Energies, 2017, 10 (12), ⟨10.3390/en10122049⟩
- Accès au texte intégral et bibtex
-
- titre
- Signal Subspace Smoothing Technique for Time Delay Estimation Using MUSIC Algorithm
- auteur
- Meng Sun, Yide Wang, Cédric Le Bastard, Jingjing Pan, Yuehua Ding
- article
- Sensors, 2017, 17 (12), pp.2868. ⟨10.3390/s17122868⟩
- Accès au texte intégral et bibtex
-
- titre
- PN-PAM scheme for short range optical transmission over SI-POF — an alternative to Discrete Multi-Tone (DMT) scheme
- auteur
- Linning Peng, Ming Liu, Maryline Hélard, Sylvain Haese
- article
- Journal of the European Optical Society : Rapid publications, 2017, 13 (1), ⟨10.1186/s41476-017-0048-6⟩
- Accès au texte intégral et bibtex
-
- titre
- FTUC: A Flooding Tree Uneven Clustering Protocol for a Wireless Sensor Network
- auteur
- Wei He, Sébastien Pillement, Du Xu
- article
- Sensors, 2017, 17 (12), pp.2706. ⟨10.3390/s17122706⟩
- Accès au bibtex
-
- titre
- Bimodal packet aware scheduling for an OFDMA based on-chip RF interconnect
- auteur
- Eren Unlu, Christophe Moy
- article
- Journal of Parallel and Distributed Computing, 2017, 109, pp.15 - 28. ⟨10.1016/j.jpdc.2017.05.002⟩
- Accès au bibtex
-
- titre
- On the capacity of MIMO-OFDM based diversity and spatial multiplexing in Radio-over-Fiber system
- auteur
- Moussa El Yahyaoui, Ali El Moussati, Ghaïs El Zein
- article
- Optics Communications, 2017, Opt. Commun. - Optics Communications, 402, pp.252 - 259. ⟨10.1016/j.optcom.2017.05.078⟩
- Accès au bibtex
-
- titre
- A Systematic Review of Techniques and Sources of Big Data in the Healthcare Sector
- auteur
- Susel Góngora Alonso, Isabel de La Torre Díez, Joel Rodrigues, Sofiane Hamrioui, Miguel López-Coronado
- article
- Journal of Medical Systems, 2017, 41 (11), ⟨10.1007/s10916-017-0832-2⟩
- Accès au bibtex
-
- titre
- A multi-modeling electro-optical transfer function for display and transmission of high dynamic range content
- auteur
- Yi Liu, Wassim Hamidouche, Olivier Deforges, Fernando Pescador
- article
- IEEE Transactions on Consumer Electronics, 2017, 63 (4), pp.350 - 358. ⟨10.1109/TCE.2017.015068⟩
- Accès au bibtex
-
- titre
- Green Communication via Cooperative Protocols using Message-Passing Decoder over AWGN Channels
- auteur
- Haïfa Farès, Baptiste Vrigneau, Olivier Berder, Pascal Scalart
- article
- IET Communications, 2017, 11 (15), pp.2320-2327. ⟨10.1049/iet-com.2016.1188⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexoelectric response in soft polyurethane films and their use for large curvature sensing
- auteur
- Victor Ishrayelu Merupo, Benoit Guiffard, Raynald Seveno, M. Tabellout, A. Kassiba
- article
- Journal of Applied Physics, 2017, 122 (14), pp.144101. ⟨10.1063/1.4994760⟩
- Accès au bibtex
-
- titre
- PAM Decomposition of Ternary CPM With Duobinary Encoding
- auteur
- Rami Othman, Yves Louët, Alexandre Skrzypczack
- article
- IEEE Transactions on Communications, 2017, 65 (10), pp.4274-4284. ⟨10.1109/TCOMM.2017.2723567⟩
- Accès au bibtex
-
- titre
- Enhancement of Directivity of an OAM Antenna by Using Fabry-Perot Cavity
- auteur
- W. Wei, K. Mahdjoubi, C. Brousseau, O. Emile, A. Sharaiha
- article
- iet microwaves, Antennas & Propagation, 2017
- Accès au texte intégral et bibtex
-
- titre
- A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
- auteur
- Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time Selective Video Encryption based on the Chaos System in Scalable HEVC Extension
- auteur
- Wassim Hamidouche, Mousa Farajallah, Naty Ould-Sidaty, Safwan El Assad, Olivier Déforges
- article
- Signal Processing: Image Communication, 2017, 58, pp.73-86. ⟨10.1016/j.image.2017.06.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Smart Search Space Reduction for Approximate Computing: a Low Energy HEVC Encoder Case Study
- auteur
- Alexandre Mercat, Justine Bonnot, Maxime Pelcat, Karol Desnos, Wassim Hamidouche, Daniel Menard
- article
- Journal of Systems Architecture, 2017
- Accès au texte intégral et bibtex
-
- titre
- Seeking Finance, Perceived Financial Constraints and R&D Engagement
- auteur
- Yu Lu
- article
- The International Journal of Business Management and Technology, 2017, SEPTEMBER-OCTOBER 2017, 1 (1), pp.1-8
- Accès au texte intégral et bibtex
-
- titre
- Wideband H-plane dielectric horn antenna
- auteur
- Lei Wang, Maria Garcia-Vigueras, Marcos Alvarez-Folgueiras, Juan R. Mosig
- article
- IET Microwaves Antennas and Propagation, 2017, 11 (12), pp.1695 - 1701. ⟨10.1049/iet-map.2016.0850⟩
- Accès au bibtex
-
- titre
- New Miniature Planar Microstrip Antenna Using DGS for ISM Applications
- auteur
- R. Er-Rebyiy, J. Zbitou, Mohamed Latrach, A. Tajmouati, A. Errkik, L. El Abdellaoui
- article
- Telkomnika (Telecommunication, Computing, Electronics and Control), 2017, 15 (3), ⟨10.12928/TELKOMNIKA.v15i3.6864⟩
- Accès au bibtex
-
- titre
- Morphological impact of insulator on inkjet-printed transistor
- auteur
- Sjeung Jae Moon, Malo Robin, Kuai Wenlin, Molard Yann, Byung Seong Bae, Tayeb Mohammed-Brahim, Emmanuel Jacques, Maxime Harnois
- article
- Flexible and Printed Electronics, 2017, 2 (3), pp.035008. ⟨10.1088/2058-8585/aa8760⟩
- Accès au texte intégral et bibtex
-
- titre
- Challenging the Best HEVC Fractional Pixel FPGA Interpolators With Reconfigurable and Multifrequency Approximate Computing
- auteur
- Carlo Sau, Francesca Palumbo, Maxime Pelcat, Julien Heulot, Erwan Nogues, Daniel Menard, Paolo Meloni, Luigi Raffo
- article
- IEEE Embedded Systems Letters, 2017, 9 (3), pp.65 - 68. ⟨10.1109/LES.2017.2703585⟩
- Accès au bibtex
-
- titre
- Two novel diterpenes from the roots of Phyllanthus acidus (L.) Skeel
- auteur
- T.-H. Duong, X.-H. Bui, P. Le Pogam, H.-H. Nguyen, T.-T. Tran, T.-A.-T. Nguyen, W. Chavasiri, J. Boustie, K.-P.-P. Nguyen
- article
- Tetrahedron, 2017, 73 (38), pp.5634--5638. ⟨10.1016/j.tet.2017.07.021⟩
- Accès au bibtex
-
- titre
- Deposition and dielectric study as function of thickness of perovskite oxynitride SrTaO2N thin films elaborated by reactive sputtering
- auteur
- Florent Marlec, Claire Le Paven, Laurent Le Gendre, Ratiba Benzerga, François Cheviré, Franck Tessier, F Gam, Ala Sharaiha
- article
- Surface and Coatings Technology, 2017, 324, pp.607-613. ⟨10.1016/j.surfcoat.2016.10.053⟩
- Accès au texte intégral et bibtex
-
- titre
- A globally convergent frequency estimator of a sinusoidal signal with a time-varying amplitude
- auteur
- Alexey A. Vedyakov, Anastasiia O. Vediakova, Alexey A. Bobtsov, Anton Pyrkin, Stanislav Aranovskiy
- article
- European Journal of Control, 2017, 38, pp.32-38. ⟨10.1016/j.ejcon.2017.08.001⟩
- Accès au bibtex
-
- titre
- Spectrométrie de masse organique - Analyseurs et méthodes en tandem ou MS
- auteur
- David Rondeau
- article
- Techniques de l'Ingénieur, 2017
- Accès au bibtex
-
- titre
- A new compact and miniaturized multiband uniplanar CPW-fed Monopole antenna with T-slot inverted for multiple wireless applications
- auteur
- Rachid Dakir, Jamal Zbitou, Ahmed Mouhsen, Abdelwahed Tribak, Angel Mediavilla Sanchez, Mohamed Latrach
- article
- International Journal of Microwave and Wireless Technologies, 2017, 9 (07), pp.1541 - 1545. ⟨10.1017/S1759078717000149⟩
- Accès au bibtex
-
- titre
- Three-Dimensional Imaging of Objects Concealed Below a Forest Canopy Using SAR Tomography at L-Band and Wavelet-Based Sparse Estimation
- auteur
- Yue Huang, Jacques Lévy-Vehel, Laurent Ferro-Famil, Andreas Reigber
- article
- IEEE Geoscience and Remote Sensing Letters, 2017, 14 (9), pp.1454-1458. ⟨10.1109/LGRS.2017.2709839⟩
- Accès au bibtex
-
- titre
- Manual Closed-Loop Insulin Delivery Using a Saddle Point Model Predictive Control Algorithm: Results of a Crossover Randomized Overnight Study
- auteur
- I. Guilhem, M. Penet, A. Paillard, Marc Carpentier, A. Esvant, Marie-Anne Lefebvre, J.-Y. Poirier
- article
- Journal of diabetes science and technology, 2017, 11 (5), pp.1007-1014. ⟨10.1177/1932296817717503⟩
- Accès au bibtex
-
- titre
- Compensating for a Multisinusoidal Disturbance Based on Youla–Kucera Parametrization
- auteur
- Jian Wang, Stanislav Aranovskiy, Alexey Bobtsov, Anton Pyrkin
- article
- Automation and Remote Control / Avtomatika i Telemekhanika, 2017, 78 (9), pp.19-33. ⟨10.1134/S0005117917090028⟩
- Accès au bibtex
-
- titre
- Mass spectrometry as a versatile ancillary technique for the rapid in situ identification of lichen metabolites directly from TLC plates
- auteur
- Pierre Le Pogam, Aline Pillot, Françoise Lohézic-Le Dévéhat, Anne-Cécile Le Lamer, Béatrice Legouin, Alice Gadea, Aurélie Sauvager, Damien Ertz, Joël Boustie
- article
- Lichenologist, 2017, 49 (5), pp.507-520. ⟨10.1017/S0024282917000433⟩
- Accès au bibtex
-
- titre
- Mesh parameters influence on transparent and active antennas performance at microwaves
- auteur
- Alexis Martin, Xavier Castel, Mohamed Himdi, Olivier Lafond
- article
- AIP Advances, 2017, 7 (8), pp.085120. ⟨10.1063/1.4985746⟩
- Accès au texte intégral et bibtex
-
- titre
- A Principal Components Rearrangement Method for Feature Representation and Its Application to the Fault Diagnosis of CHMI
- auteur
- Zhuo Liu, Tianzhen Wang, Tianhao Tang, Yide Wang
- article
- Energies, 2017, 10 (1273), 15 p. ⟨10.3390/en10091273⟩
- Accès au bibtex
-
- titre
- MOSFET et IGBT : circuits de commande, sécurisation et protection du composant à semi-conducteur
- auteur
- Nicolas Ginot, Christophe Batard, Philippe Lahaye
- article
- Les Techniques de l'Ingenieur, 2017, Dossier n°D3234 - MOSFET et IGBT : circuits de commande / 42245210 Compo. Actifs en Electro. de Puissance, 29 p
- Accès au bibtex
-
- titre
- Bactericidal efficiency of UVA-active titanium dioxide thin layers on bacteria from food industry environments
- auteur
- Marion Barthomeuf, Perrine Raymond, Nyedna Policarpo, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- Materials Technology: Advanced Performance Materials, 2017, 32 (13), pp.782-791. ⟨10.1080/10667857.2017.1363143⟩
- Accès au bibtex
-
- titre
- A New Planar Multiband Antenna for GPS, ISM and WiMAX Applications
- auteur
- I. Zahraoui, A. Errkik, M. Abounaima, A. Tajmouati, L. Abdellaoui, Mohamed Latrach
- article
- International Journal of Electrical and Computer Engineering, 2017, 7 (4), ⟨10.11591/ijece.v7i4.pp2018-2026⟩
- Accès au bibtex
-
- titre
- Microstrip Rectangular Monopole Antennas with Defected Ground for UWB Applications
- auteur
- S. Elajoumi, A. Tajmouati, A. Errkik, Am. Sanchez, Mohamed Latrach
- article
- International Journal of Electrical and Computer Engineering, 2017, 7 (4), ⟨10.11591/ijece.v7i4.pp2027-2035⟩
- Accès au bibtex
-
- titre
- Design of Compact Tri-Band Fractal Antenna for RFID Readers
- auteur
- Mohamed Ihamji, Elhassane Abdelmounim, Hamid Bennis, Mostafa Hefnawi, Mohamed Latrach
- article
- International Journal of Electrical and Computer Engineering, 2017, 7 (4), ⟨10.11591/ijece.v7i4.pp2036-2044⟩
- Accès au bibtex
-
- titre
- Bandwidth improvement of microwave photonic components based on electro-optic polymers loaded with TiO2 nanoparticles
- auteur
- Den-God-Frez Palessonga, Mohammed El Gibari, Stéphane Ginestar, Hélène Terisse, Benoit Guiffard, Abdelhadi Kassiba, Hong Wu Li
- article
- Applied physics. A, Materials science & processing, 2017, 123 (8), pp.542. ⟨10.1007/s00339-017-1154-4⟩
- Accès au bibtex
-
- titre
- Adjustment of shielding effectiveness, optical transmission and sheet resistance of conducting films deposited on glass substrates
- auteur
- Yonathan Corredores, Philippe Besnier, Xavier Castel, Jérôme Sol, Cyril Dupeyrat, Patrice Foutrel
- article
- IEEE Transactions on Electromagnetic Compatibility, 2017, 59 (4), pp.1070-1078. ⟨10.1109/TEMC.2017.2654269⟩
- Accès au bibtex
-
- titre
- Polymer resonators sensors for detection of sphingolipid gel/fluid phase transition and melting temperature measurement
- auteur
- Qingyue Li, Véronique Vié, Hervé Lhermite, Etienne Gaviot, Claire Bourlieu-Lacanal, Alain Moréac, Denis Morineau, Didier Dupont, Sylvie Beaufils, Bruno Bêche
- article
- Sensors and Actuators A: Physical , 2017, 263, pp.707-717. ⟨10.1016/j.sna.2017.07.037⟩
- Accès au texte intégral et bibtex
-
- titre
- Specialized Metabolites of the Lichen Vulpicida pinastri Act as Photoprotective Agents
- auteur
- Béatrice Legouin, Françoise Lohézic-Le Dévéhat, Solenn Ferron, Isabelle Rouaud, Pierre Le Pogam, Laurence Cornevin, Michel Bertrand, Joël Boustie
- article
- Molecules, 2017, 22 (7), pp.1162. ⟨10.3390/molecules22071162⟩
- Accès au bibtex
-
- titre
- On Spectral Coexistence of CP-OFDM and FB-MC Waveforms in 5G Networks
- auteur
- Quentin Bodinier, Faouzi Bader, Jacques Palicot
- article
- IEEE Access, 2017, 5, pp.13883-13900. ⟨10.1109/ACCESS.2017.2723822⟩
- Accès au bibtex
-
- titre
- Effect of acute millimeter wave exposure on dopamine metabolism of NGF-treated PC12 cells
- auteur
- A.J. Haas, Y. Le Page, M. Zhadobov, R. Sauleau, Y.L. Dréan, C. Saligaut
- article
- Journal of Radiation Research, 2017, 58 (4), pp.439--445. ⟨10.1093/jrr/rrx004⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of VCSEL Performances Using Localized Copper Bonding Through Silicon Vias
- auteur
- Fethallah Taleb, Salvatore Pes, Cyril Paranthoen, Christophe Levallois, Nicolas Chevalier, Olivier de Sagazan, Alain Le Corre, Hervé Folliot, Mehdi Alouini
- article
- IEEE Photonics Technology Letters, 2017, 29 (13), pp.1105 - 1108. ⟨10.1109/LPT.2017.2703599⟩
- Accès au texte intégral et bibtex
-
- titre
- A Novel Threshold based Compressed Channel Sensing in OFDM System
- auteur
- Hui Xie, Guillaume Andrieux, Yide Wang, Suili Feng, Zhiwen Yu
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2017, 77, pp.149-155. ⟨10.1016/j.aeue.2017.05.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectrométrie de masse organique - Principe, méthodes d’introduction et d’ionisation
- auteur
- David Rondeau
- article
- Techniques de l'Ingénieur, 2017, p-2645
- Accès au bibtex
-
- titre
- Extended PCJO for the detection-localization of hypersignals and hyposignals in CT images
- auteur
- Yang Chen, Lu Zhang, Wenlong Yuan, Guanyu Yang, Jian Yang, Tianjie Xu, Shu Huazhong, Limin M. Luo, Qianjing Feng, Xuetong Zhai
- article
- IEEE Access, 2017, 5, pp.24239-24248. ⟨10.1109/ACCESS.2017.2720418⟩
- Accès au texte intégral et bibtex
-
- titre
- Cooperation-Based Probabilistic Caching Strategy inClustered Cellular Networks
- auteur
- Yifan Zhou, Zhao Zhifeng, Rongpeng Li, Honggang Zhang, Yves Louet
- article
- IEEE Communications Letters, 2017, 21 (9), pp.2029-2032. ⟨10.1109/LCOMM.2017.2717398⟩
- Accès au bibtex
-
- titre
- Domain wall motion in Pb(Zr$_{0.20}$Ti$_{0.80}$)O$_3$ epitaxial thin films
- auteur
- Caroline Borderon, Alan Brunier, Kevin Nadaud, Raphaël Renoud, Marin Alexe, Hartmut Wolfgang Gundel
- article
- Scientific Reports, 2017, 7 (1), pp.3444. ⟨10.1038/s41598-017-03757-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Nondestructive Redox Quantification Reveals Glassmaking of Rare French Gothic Stained Glasses
- auteur
- Myrtille Hunault, Claudine Loisel, Fanny Bauchau, Quentin Lemasson, Claire Pacheco, Laurent Pichon, Brice Moignard, Karine Boulanger, Michel Hérold, Georges Calas, Isabelle Pallot-Frossard
- article
- Analytical Chemistry, 2017, 89 (11), pp.6277-6284. ⟨10.1021/acs.analchem.7b01452⟩
- Accès au texte intégral et bibtex
-
- titre
- PAPR analysis in noncontiguous OFDM systems
- auteur
- Pawel Kryszkiewicz, Adrian Kliks, Yves Louët
- article
- Transactions on emerging telecommunications technologies, 2017, 28 (6), pp.e3133. ⟨10.1002/ett.3133⟩
- Accès au bibtex
-
- titre
- Metrics on Energy Efficiency for Cognitive Green Equipment Based on FPGA Platform
- auteur
- Xiguang Wu, Jacques Palicot, Pierre Leray
- article
- IEEE Systems Journal, 2017, PP (99), pp.1-12. ⟨10.1109/JSYST.2015.2448596⟩
- Accès au bibtex
-
- titre
- Gain and bandwidth enhancement of New Planar microstrip array antennas geometry for C band weather radar applications
- auteur
- Abdellatif Slimani, Saad Dosse Bennani, Ali El Alami, Mohamed Amellal
- article
- International Journal of Microwave and Wireless Technologies, 2017, 9 (5), pp.1139-1146. ⟨10.1017/S1759078716001203⟩
- Accès au bibtex
-
- titre
- Multibeam by Metasurface Antennas
- auteur
- David Gonzalez-Ovejero, Gabriele Minatti, Goutam Chattopadhyay, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (6), pp.2923-2930. ⟨10.1109/TAP.2017.2670622⟩
- Accès au bibtex
-
- titre
- Current waveform optimization techniques for synchronous machines and numerical evaluation in the case of a PMSM wind turbine generator
- auteur
- Alexandros C. Charalampidis, Antonios Chaniotis, Antonios Kladas
- article
- Electrical Engineering, 2017, 99 (2), pp.525 - 533. ⟨10.1007/s00202-016-0374-5⟩
- Accès au bibtex
-
- titre
- Asymptotic Approximation of the Standard Condition Number Detector for Large Multi-Antenna Cognitive Radio Systems
- auteur
- Hussein Kobeissi, Youssef, Joseph Nasser, Amor Nafkha, Oussama Bazzi, Yves Louet
- article
- EAI Endorsed Transactions on Cognitive Communications, 2017, 3 (11), ⟨10.4108/eai.31-5-2017.152554⟩
- Accès au bibtex
-
- titre
- DOA Finding with Support Vector Regression based Forward-Backward Linear Prediction,
- auteur
- Jingjing Pan, Yide Wang, Cédric Le Bastard, Tianzhen Wang
- article
- Sensors, 2017, 17 (6), pp.1225. ⟨10.3390/s17061225⟩
- Accès au bibtex
-
- titre
- Photophysical Properties of SrTaO2N Thin Films and Influence of Anion Ordering: A Joint Theoretical and Experimental Investigation
- auteur
- Ahmed Ziani, Claire Le Paven, Laurent Le Gendre, Florent Marlec, Ratiba Benzerga, Franck Tessier, François Cheviré, Mohamed N. Hedhili, Angel T. Garcia-Esparza, Sigismund Melissen, Philippe Sautet, Tangui Le Bahers, Kazuhiro Takanabe
- article
- Chemistry of Materials, 2017, 29 (9), pp.3989-3998. ⟨10.1021/acs.chemmater.7b00414⟩
- Accès au texte intégral et bibtex
-
- titre
- An Ambulatory Electroencephalography System for Freely Moving Horses: An Innovating Approach
- auteur
- Hugo Cousillas, Martial Oger, Céline Rochais, Claire Pettoello, Mathilde Ménoret, Séverine Henry, Martine Hausberger
- article
- Frontiers in Veterinary Science, 2017, 4, pp.57. ⟨10.3389/fvets.2017.00057⟩
- Accès au texte intégral et bibtex
-
- titre
- On the choice of the dielectric characterization method for foam composite absorber material
- auteur
- Laura Pometcu, Chloé Mejean, Ratiba Benzerga, Ala Sharaiha, Philippe Pouliguen, Claire Le Paven
- article
- Materials Research Bulletin, 2017, 96 (2), pp.107 - 114. ⟨10.1016/j.materresbull.2017.04.055⟩
- Accès au texte intégral et bibtex
-
- titre
- The use HPTLC and Direct Analysis in Real Time-Of-Flight Mass Spectrometry DART-TOF-MS for rapid analysis of degradation by oxidation and sonication of an azo dye
- auteur
- Hayet Djelal, Carole Cornée, Ronan Tardivel, Olivier Lavastre, Abdeltif Amrane
- article
- Arabian Journal of Chemistry, 2017, 10 (Supplement 2), pp.S1619-S1628. ⟨10.1016/j.arabjc.2013.06.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Broadband Capacitively Grounded Coplanar to Coupled Microstrip Transition for Planar Microwave Photonic Components
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- International Journal of Microwave and Wireless Technologies, 2017, 4 (9), pp.815-819. ⟨10.1017/S1759078716000969⟩
- Accès au bibtex
-
- titre
- New erythritol derivatives from the fertile form of Roccella montagnei
- auteur
- Thuc Huy Duong, Bui Linh Chi Huynh, Warinthorn Chavasiri, Marylene Chollet-Krugler, van Kieu Nguyen, Thi Hoai Thu Nguyen, Poul Erik Hansen, Pierre Le Pogam, Holger Thüs, Joël Boustie, Kim Phi Phung Nguyen
- article
- Phytochemistry, 2017, 137, pp.156-164. ⟨10.1016/j.phytochem.2017.02.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Le transfert d’énergie à distance
- auteur
- Maxim Zhadobov
- article
- Les cahiers de la Recherche : Santé, Environnement, Travail, 2017, Radiofréquences et santé, 9, pp.16-17
- Accès au texte intégral et bibtex
-
- titre
- Exciting Vorticity Through Higher Order Bessel Beams With a Radial-Line Slot-Array Antenna
- auteur
- Davide Comite, Guido Valerio, Matteo Albani, Allessandro Galli, Massimiliano Casaletti, Mauro Ettore
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (4), pp.2123 - 2128. ⟨10.1109/TAP.2017.2670503⟩
- Accès au texte intégral et bibtex
-
- titre
- Asymptotic Analysis of Area Spectral Efficiency and Energy Efficiency in PPP Networks with SLNR Precoder
- auteur
- Ahmad Mahbubul Alam, Philippe Mary, Jean-Yves Baudais, Xavier Lagrange
- article
- IEEE Transactions on Communications, 2017, 65 (7), pp.3172 - 3185. ⟨10.1109/TCOMM.2017.2699192⟩
- Accès au texte intégral et bibtex
-
- titre
- Stereolithography-Based Antennas for Satellite Communications in Ka-Band
- auteur
- Joana Silva, Maria Garcia-Vigueras, Debogovic Tomislav, Jorge R. Costa, Fernandes Carlos, J. R Mosig
- article
- IEEE Transactions on Antennas and Propagation, 2017, 105 (4), pp.655-667. ⟨10.1109/JPROC.2016.2633898⟩
- Accès au bibtex
-
- titre
- A New Compact and Miniaturized GCPW-fed Slotted Rectangular antenna for Wideband UHF FIRD Applications
- auteur
- Rachid Dakir, Jamal Zbitou, Ahmed Mouhsen, A. Tribak, Mohamed Latrach, A. Sanchez
- article
- International Journal of Electrical and Computer Engineering, 2017, 7 (2), ⟨10.11591/ijece.v7i2.pp767-774⟩
- Accès au bibtex
-
- titre
- Performance improvement of IF(CN2)2 meta based N-channel OTFTs and their integration into a stable CMOS inverter
- auteur
- S. Bebiche, I. Bouhadda, T. Mohammed-Brahim, N. Coulon, Jean-Francois Bergamini, Cyril Poriel, Emmanuel Jacques
- article
- Solid-State Electronics, 2017, 130, pp.49--56. ⟨10.1016/j.sse.2017.01.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Electronic Sensor with Integrated Microchannel: Application to pH
- auteur
- Ismaïl Bouhadda, Sarah Bebiche, Olivier de Sagazan, France Le Bihan
- article
- Sensor letters, 2017, 15, pp.351-356
- Accès au bibtex
-
- titre
- Modelling of random ground roughness by an effective impedance and application to time-domain methods
- auteur
- Olivier Faure, Benoit Gauvreau, Fabrice Junker, Philippe Lafon, Christophe Bourlier
- article
- Applied Acoustics, 2017, 119, pp.1-8. ⟨10.1016/j.apacoust.2016.11.019⟩
- Accès au bibtex
-
- titre
- Electron-Deficient Dihydroindaceno-Dithiophene Regioisomers for n-Type Organic Field-Effect Transistors
- auteur
- Jean-David Peltier, Benoît Heinrich, Bertrand Donnio, Joëlle Rault-Berthelot, Emmanuel Jacques, Cyril Poriel
- article
- ACS Applied Materials & Interfaces, 2017, 9 (9), pp.8219-8232. ⟨10.1021/acsami.6b16333⟩
- Accès au texte intégral et bibtex
-
- titre
- Which Specialized Metabolites Does the Native Subantarctic Gastropod Notodiscus hookeri Extract from the Consumption of the Lichens Usnea taylorii and Pseudocyphellaria crocata?
- auteur
- Alice Gadea, Pierre Le Pogam, Grichka Biver, Joël Boustie, Anne-Cécile Le Lamer, Françoise Lohézic-Le Dévéhat, Maryvonne Charrier
- article
- Molecules, 2017, 22 (3), pp.425. ⟨10.3390/molecules22030425⟩
- Accès au texte intégral et bibtex
-
- titre
- The Tropical Air-Sea Propagation Study (TAPS)
- auteur
- Andy Kulessa, Amalia Barrios, Jacques Claverie, Sally Garrett, Tracy Haack, Jorg Hacker, Hedley Hansen, Kate Horgan, Yvonick Hurtaud, C. Lemon, Rob Marshall, J. Mcgregor, M. Mcmillan, Christophe Periard, Vivien Pourret, J. Price, L.T. Rogers, C. Short, Martin Veasey, Victor Wiss
- article
- Bulletin of the American Meteorological Society, 2017, 98 (3), pp.517--537. ⟨10.1175/BAMS-D-14-00284.1⟩
- Accès au texte intégral et bibtex
-
- titre
- Future evolution of public safety communications in the 5G Era
- auteur
- Faouzi Bader, Laurent Martinod, Gianmarco Baldini, Fred Frantz, Sithamparanathan Kandeepan, Oriol Sallent
- article
- Transactions on emerging telecommunications technologies, 2017, 28 (3), pp.e3101. ⟨10.1002/ett.3101⟩
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Saliency Detection via Probabilistic Object Boundaries
- auteur
- Hai Lei, Hai Xie, Wenbin Zou, Xiaoli Sun, Kidiyo Kpalma, Nikos Komodakis
- article
- International Journal of Pattern Recognition and Artificial Intelligence, 2017, 31 (06), ⟨10.1142/S0218001417550102⟩
- Accès au bibtex
-
- titre
- Fast model predictive control for linear periodic systems with state and control constraints
- auteur
- Hoaï-Nam Nguyen, Romain Bourdais, Per-Olof Gutman
- article
- International Journal of Robust and Nonlinear Control, 2017, 27 (17), pp.3703-3726. ⟨10.1002/rnc.3760⟩
- Accès au bibtex
-
- titre
- Green foams for microwave absorbing applications: Synthesis and characterization
- auteur
- Vincent Laur, Ratiba Benzerga, Ronan Lebullenger, L. Le Gendre, G. Lanoë, A. Sharaiha, P Quéffélec
- article
- Materials Research Bulletin, 2017, 96, pp.100-106. ⟨10.1016/j.materresbull.2017.01.052⟩
- Accès au texte intégral et bibtex
-
- titre
- Electron-Rich 4-Substituted Spirobifluorenes: Toward a New Family of High Triplet Energy Host Materials for High-Efficiency Green and Sky Blue Phosphorescent OLEDs
- auteur
- Cassandre Quinton, Sébastien Thiery, Olivier Jeannin, Denis Tondelier, Bernard Geffroy, Emmanuel Jacques, Joëlle Rault-Berthelot, Cyril Poriel
- article
- ACS Applied Materials & Interfaces, 2017, 9 (7), pp.6194-6206. ⟨10.1021/acsami.6b14285⟩
- Accès au texte intégral et bibtex
-
- titre
- Agents with vasodilator properties in acute heart failure
- auteur
- Abhishek Singh, John Teerlink, Alexandre Mebazaa, Gerben Keijzers, Anne-Maree Kelly, Louise Cullen, Sharon Klim, Colin Graham, Simon Craig, Win Sen Kuan, Peter Jones, Anna Holdgate, Charles Lawoko, Said Laribi
- article
- European Heart Journal, 2017, 38 (5), pp.317-325. ⟨10.1093/eurheartj/ehv755⟩
- Accès au bibtex
-
- titre
- Combination of artificial materials with conventional pyramidal absorbers for microwave absorption improvement
- auteur
- Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Philippe Pouliguen
- article
- Materials Research Bulletin, 2017, 96, pp.86-93. ⟨10.1016/j.materresbull.2016.12.050⟩
- Accès au bibtex
-
- titre
- Multi-agent model predictive control based on resource allocation coordination for a class of hybrid systems with limited information sharing
- auteur
- Renshi Luo, Romain Bourdais, Ton van den Boom, B. de Schutter
- article
- Engineering Applications of Artificial Intelligence, 2017, 58, pp.123-133. ⟨10.1016/j.engappai.2016.12.005⟩
- Accès au bibtex
-
- titre
- Tomographic Imaging of Fjord Ice Using a Very High Resolution Ground-Based SAR System
- auteur
- T. G. Yitayew, Laurent Ferro-Famil, T. Eltoft, S. Tebaldini
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2017, 55 (2), pp.698-714. ⟨10.1109/TGRS.2016.2613900⟩
- Accès au bibtex
-
- titre
- AlN film thickness effect on photoluminescence properties of AlN/carbon nanotubes shell/core nanostructures for deep ultra-violet optoelectronic devices
- auteur
- N. Ouldhamadouche, A. Achour, K. Ait. Aissa, M. Islam, A. Ahmadpourian, A. Arman, M. A. Soussou, M. Chaker, L. Le Brizoual, M. A. Djouadi
- article
- Thin Solid Films, 2017, 622, pp.23--28. ⟨10.1016/j.tsf.2016.12.018⟩
- Accès au texte intégral et bibtex
-
- titre
- Rigid composite materials for anechoic chamber application
- auteur
- C. Méjean, M. Badard, Ratiba Benzerga, C. Le Paven-Thivet, A. Sharaiha
- article
- Materials Research Bulletin, 2017, 96, pp.94-99. ⟨10.1016/j.materresbull.2017.01.023⟩
- Accès au bibtex
-
- titre
- Energy and angular momentum transfers from an electromagnetic wave to a copper ring in the UHF band.
- auteur
- Olivier Emile, Christian Brousseau, Janine Emile
- article
- Comptes Rendus. Physique, 2017, 18 (2), pp.137. ⟨10.1016/j.crhy.2016.12.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Sensitivity improvement of broadband electro-optic polymer-based optical phase modulator using 1D and 2D photonic crystal structures
- auteur
- Kevin Receveur, Kai Wei, Massinissa Hadjloum, Mohammed El Gibari, Alfredo de Rossi, Hong Wu Li, Afshin S. Daryoush
- article
- Chinese Optics Letters, 2017, 15 (1), pp.010003. ⟨10.3788/COL201715.010003⟩
- Accès au texte intégral et bibtex
-
- titre
- Fundamental energetic limits of radio communication systems
- auteur
- Jean-Yves Baudais
- article
- Comptes Rendus. Physique, 2017, 18 (2), pp.144 - 155. ⟨10.1016/j.crhy.2016.11.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Periodic solutions versus practical switching control for sensorless Piecewise Affine systems (PWA)
- auteur
- Djekidel Kamri, Romain Bourdais
- article
- Journal of The Franklin Institute, 2017, 354 (2), pp. 917-937. ⟨10.1016/j.jfranklin.2016.10.038⟩
- Accès au texte intégral et bibtex
-
- titre
- Design Methodology of a Printed WPT System for HF-Band Mid-Range Applications Considering Human Safety Regulations
- auteur
- M. Koohestani, M. Zhadobov, M. Ettorre
- article
- IEEE Transactions on Microwave Theory and Techniques, 2017, PP (1), pp.7583637. ⟨10.1109/TMTT.2016.2609931⟩
- Accès au bibtex
-
- titre
- High resolution radar focusing using spectral estimation methods in wide-band and near-field configurations Application to millimeter-wave near-range imaging
- auteur
- A. Jouade, Laurent Ferro-Famil, S. Méric, O. Lafond, L. Le Coq
- article
- Progress In Electromagnetics Research B, 2017, 79, pp.45-64. ⟨10.2528/PIERB17082402⟩
- Accès au bibtex
-
- titre
- The Design of New Structures of Planar Diplexers Using Microstrip Resonators
- auteur
- Abdessamed Chinig, Ahmed Errkik, Abdelali Tajmouati, Hamid Bennis, Jamal Zbitou, Mohamed Latrach
- article
- IGI Global Reference Books, 2017
- Accès au bibtex
-
- titre
- An exact model-based method for near-field sources localization with bistatic MIMO system
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- Sensors, 2017, 17 (4), pp.723. ⟨10.3390/s17040723⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanically reconfigurable radiation pattern slot antenna array feeded by bended sectoral horn and metalized wood splitter
- auteur
- Alexis Martin, V. Le Neillon, A. Jouade, Mohamed Himdi
- article
- Progress In Electromagnetics Research C, 2017, 72, pp.159--165
- Accès au bibtex
-
- titre
- Design of Frequency Reconfigurable Multiband Meander Antenna Using Varactor Diode for Wireless Communication
- auteur
- I. Rouissi, Jean Marie Floc'H, H. Trabelsi
- article
- International journal of advanced computer science and applications (IJACSA), 2017, 8 (3), pp.159--164
- Accès au bibtex
-
- titre
- Theoretical and Practical Limits of Superdirective Antenna Arrays
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- Comptes Rendus. Physique, 2017, 18 (2), pp.118-124. ⟨10.1016/j.crhy.2016.11.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband and Large Coverage Continuous Beam Steering Antenna in the 60-GHz Band
- auteur
- Karim Tekkouk, Jiro Hirokawa, Ronan Sauleau, Makoto Ando
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (9), pp.4418-4426. ⟨10.1109/TAP.2017.2723663⟩
- Accès au bibtex
-
- titre
- An automatized method to parameterize embedded stereo matching algorithms
- auteur
- Judicaël Menant, Guillaume Gautier, Muriel Pressigout, Luce Morin, Jean Francois Nezan
- article
- Journal of Systems Architecture, 2017, 80, pp.92-103. ⟨10.1016/j.sysarc.2017.09.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental studies of passive intermodulation in metal-to-metal contacts
- auteur
- G. Duteil, F. Colombel, S. Avrillon, P. Le Cam, J.P. Harel
- article
- Progress In Electromagnetics Research M, 2017, 60, pp.67-73. ⟨10.2528/PIERM17062810⟩
- Accès au bibtex
-
- titre
- Radiative wireless power-transfer system using wideband, wide-angle slot arrays
- auteur
- M. Ettorre, W.A. Alomar, A. Grbic
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (6), pp.2975-2982. ⟨10.1109/TAP.2017.2688930⟩
- Accès au bibtex
-
- titre
- Switched beam patch array antenna using SPDT GaN HEMT switches
- auteur
- Abdelaziz Hamdoun, Mohamed Himdi, Olivier Lafond, Langis Roy
- article
- Microwave and Optical Technology Letters, 2017, 59 (7), pp.1558--1562. ⟨10.1002/mop.30584⟩
- Accès au bibtex
-
- titre
- A RSSI based parameter tracking strategy for constrained position localization
- auteur
- Jinze Du, Jean-François Diouris, Yide Wang
- article
- EURASIP Journal on Advances in Signal Processing, 2017, 77 (1), pp.JSIG-D-17-00215R1. ⟨10.1186/s13634-017-0512-x⟩
- Accès au texte intégral et bibtex
-
- titre
- A switched-beam conformal array with a 3-D beam forming capability in C-band
- auteur
- V. Jaeck, L. Bernard, K. Mahdjoubi, R. Sauleau, Sylvain Collardey, P. Pouliguen, P. Potier
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (6), pp.2950-2957. ⟨10.1109/TAP.2017.2696418⟩
- Accès au bibtex
-
- titre
- Identifiabilité structurelle et identification de systèmes interconnectés
- auteur
- Safa Jedidi, Romain Bourdais, Jean Buisson, Marie-Anne Lefebvre
- article
- Journal Européen des Systèmes Automatisés (JESA), 2017, 50 (1-2), pp.137-155. ⟨10.3166/jesa.50.137-155⟩
- Accès au bibtex
-
- titre
- Antenna Selection for Array Synthesis Problems
- auteur
- Benjamin Fuchs
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.868--871. ⟨10.1109/LAWP.2016.2612762⟩
- Accès au texte intégral et bibtex
-
- titre
- Hyperspectral remote sensing of coral reefs by semi-analytical model inversion – Comparison of different inversion setups
- auteur
- Thomas Petit, T. Bajjouk, P. Mouquet, S. Rochette, B. Vozel, Christophe Delacourt
- article
- Remote Sensing of Environment, 2017, 190, pp.348--365. ⟨10.1016/j.rse.2017.01.004⟩
- Accès au bibtex
-
- titre
- H{\inf} observer-based decentralised fuzzy control design for nonlinear interconnected systems: an application to vehicle dynamics
- auteur
- Chedia Latrach, Mourad Kchaou, Hervé Guéguen
- article
- International Journal of Systems Science, 2017, 48 (7), pp.1485-1495. ⟨10.1080/00207721.2016.1266527⟩
- Accès au bibtex
-
- titre
- LMMSE channel estimation in OFDM context: a review
- auteur
- Vincent Savaux, Yves Louët
- article
- IET Signal Processing, 2017, Online ISSN 1751-9683, 11 (2), pp.123-134. ⟨10.1049/iet-spr.2016.0185⟩
- Accès au bibtex
-
- titre
- Optimized Manipulation of the Network Characteristic Modes for Wideband Small Antenna Matching
- auteur
- Hussein Jaafar, Sylvain Collardey, Ala Sharaiha
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (11), pp.5757-5767. ⟨10.1109/TAP.2017.2754408⟩
- Accès au bibtex
-
- titre
- Snowpack permittivity profile retrieval from tomographic SAR data
- auteur
- Badreddine Rekioua, Matthieu Davy, Laurent Ferro-Famil, Stefano Tebaldini
- article
- Comptes Rendus. Physique, 2017, 18 (1), pp.57-65. ⟨10.1016/j.crhy.2015.12.016⟩
- Accès au texte intégral et bibtex
-
- titre
- A New Dual Band Planar Fractal Antenna for UMTS and ISM Bands
- auteur
- Taoufik Benyetho, Larbi El Abdellaoui, Jamal Zbitou, Hamid Bennis, Abdelwahed Tribak, Mohamed Latrach
- article
- International Journal on Communications Antenna and Propagation, 2017
- Accès au bibtex
-
- titre
- Corrigendum to “Magnetoelectric coupling in Fe3O4/P(VDF-TrFE) nanocomposites” (Sens. Actuators A 247 (2016) 298–306) (S0924424716302989)(10.1016/j.sna.2016.06.013)
- auteur
- R. Belouadah, L. Seveyrat, D. Guyomar, B. Guiffard, F. Belhora
- article
- Sensors and Actuators A: Physical , 2017, 254, pp.152. ⟨10.1016/j.sna.2017.01.001⟩
- Accès au bibtex
-
- titre
- Autofocus on Depth of Interest for 3D Image Coding
- auteur
- Khouloud Samrouth, Olivier Déforges, Yi Liu, Mohamad Khalil, Wassim El Falou
- article
- Journal of Electrical and Computer Engineering, 2017, 2017, pp.9689715. ⟨10.1155/2017/9689715⟩
- Accès au texte intégral et bibtex
-
- titre
- A frequency-sweeping framework for stability analysis of time-delay systems
- auteur
- Xu-Guang Li, Silviu-Iulian Niculescu, Arben Cela, Lu Zhang, Xu Li
- article
- IEEE Transactions on Automatic Control, 2017, 64 (8), pp.16. ⟨10.1109/TAC.2016.2633533⟩
- Accès au bibtex
-
- titre
- Circularly-Polarized Reconfigurable Transmitarray in Ka-Band with Beam Scanning and Polarization Switching Capabilities
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P. Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (2), pp.529--540. ⟨10.1109/TAP.2016.2633067⟩
- Accès au bibtex
-
- titre
- Near-Field User Exposure in Forthcoming 5G Scenarios in the 60 GHz Band
- auteur
- A.R. Guraliuc, M. Zhadobov, R. Sauleau, L. Marnat, L. Dussopt
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (12), pp.6606-6615. ⟨10.1109/TAP.2017.2754473⟩
- Accès au bibtex
-
- titre
- Assessment of replication fidelity of optical microstructures by hot embossing
- auteur
- Giuseppe A. Cirino, Rene M. Granado, Tayeb Mohammed-Brahim, Renato G. Jasinevicius
- article
- International Journal of Advanced Manufacturing Technology, 2017, 88 (1-4), pp.303--316. ⟨10.1007/s00170-016-8757-3⟩
- Accès au bibtex
-
- titre
- On the Road to 5G: Comparative Study of Physical Layer in MTC Context
- auteur
- Yahia Medjahdi, Sylvain Traverso, Robin Gerzaguet, Hmaied Shaiek, Rafik Zayani, David Demmer, Rostom Zakaria, Jean-Baptiste Doré, Mouna Ben Mabrouk, Didier Le Ruyet, Yves Louët, Daniel Roviras
- article
- IEEE Access, 2017, 5, pp.26556 - 26581. ⟨10.1109/ACCESS.2017.2774002⟩
- Accès au texte intégral et bibtex
-
- titre
- Dual-Band Transmitarrays With Dual-Linear Polarization at Ka-Band
- auteur
- Kien T. Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (12), pp.7009-7018. ⟨10.1109/TAP.2017.2762011⟩
- Accès au bibtex
-
- titre
- Equivalent circuit approach for practical applications of meander-line gratings
- auteur
- Carlos Molero, Maria Garcia-Vigueras, Raul Rodriguez-Berral, Francisco Mesa, Nuria Llombart
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.3088 - 3091. ⟨10.1109/LAWP.2017.2756438⟩
- Accès au bibtex
-
- titre
- Millimeter-Wave Fresnel Zone Plate Lens with new technological process
- auteur
- A. Jouade, J. Bór, Mohamed Himdi, O. Lafond
- article
- International Journal of Microwave and Wireless Technologies, 2017, 9 (4), pp.939--944. ⟨10.1017/S1759078716000854⟩
- Accès au bibtex
-
- titre
- Conformal Electronics Wrapped Around Daily-life Objects Using Original Method: Water Transfer Printing.
- auteur
- Brice Le Borgne, Olivier de Sagazan, Samuel Crand, Emmanuel Jacques, Maxime Harnois
- article
- ACS Applied Materials & Interfaces, 2017, 9 (35), pp.29424-29429. ⟨10.1021/acsami.7b07327⟩
- Accès au texte intégral et bibtex
-
- titre
- Spontaneous Buckling of Multiaxially Flexible and Stretchable Interconnects Using PDMS/Fibrous Composite Substrates
- auteur
- Régis Rogel, Brice Le Borgne, Tayeb Mohammed-Brahim, Emmanuel Jacques, Maxime Harnois
- article
- Advanced Materials Interfaces, 2017, 4 (3), pp.1600946. ⟨10.1002/admi.201600946⟩
- Accès au bibtex
-
- titre
- Lake and Fjord Ice Imaging Using a Multifrequency Ground-Based Tomographic SAR System
- auteur
- Temesgen Gebrie Yitayew, Laurent Ferro-Famil, Torbjorn Eltoft, Stefano Tebaldini
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2017, 10 (10), pp.4457-4468. ⟨10.1109/JSTARS.2017.2718998⟩
- Accès au bibtex
-
- titre
- UHF RFID Reader Antenna using Novel Planar Metamaterial Structure for RFID System
- auteur
- Marwa Zamali, Lotfi Osman, Hedi Ragad, Mohamed Latrach
- article
- International journal of advanced computer science and applications (IJACSA), 2017, 8 (7), ⟨10.14569/IJACSA.2017.080726⟩
- Accès au bibtex
-
- titre
- La formation tout au long de la vie en Microélectronique et en Nanotechnologies dans le cadre du réseau GIP-CNFM
- auteur
- Béatrice Pradarelli, Pascal Nouet, Olivier Bonnaud
- article
- Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2017, 16 (Hors-série 1), ⟨10.1051/j3ea/20171001⟩
- Accès au texte intégral et bibtex
-
- titre
- Hexa-band printed monopole antenna for wireless applications
- auteur
- Waleed Tariq Sethi, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- Microwave and Optical Technology Letters, 2017, 59 (11), pp.2816-2822. ⟨10.1002/mop.30830⟩
- Accès au bibtex
-
- titre
- Computational passive imaging of thermal sources with a leaky chaotic cavity
- auteur
- Ariel Christopher Tondo Yoya, Benjamin Fuchs, Matthieu Davy
- article
- Applied Physics Letters, 2017, 111 (19), pp.10.1063/1.4996964. ⟨10.1063/1.4996964⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of a Random-Fractal Antenna Based on a Natural Tree-Leaf Geometry
- auteur
- Hatem Rmili, Donia Oueslati, Imen Ben Trad, Jean Marie Floc'H, Abdullah Dobaie, Raj Mittra
- article
- International Journal of Antennas and Propagation, 2017, 2017, pp.1-7. ⟨10.1155/2017/2084835⟩
- Accès au bibtex
-
- titre
- Electromagnetic absorber composite made of carbon fibers loaded epoxy foam for anechoic chamber application
- auteur
- C. Méjean, L. Pometcu, Ratiba Benzerga, A. Sharaiha, C. Le Paven-Thivet, M. Badard, P. Pouliguen
- article
- Materials Science and Engineering: B, 2017, 220, pp.59--65. ⟨10.1016/j.mseb.2017.03.009⟩
- Accès au bibtex
-
- titre
- Decision making policy for RF energy harvesting enabled cognitive radios in decentralized wireless networks
- auteur
- Sumit J. Darak, Honggang Zhang, Jacques Palicot, Christophe Moy
- article
- Digital Signal Processing, 2017, 60, pp.33--45. ⟨10.1016/j.dsp.2016.08.014⟩
- Accès au texte intégral et bibtex
-
- titre
- On the interpolation of electromagnetic near field without prior knowledge of the radiating source
- auteur
- Benjamin Fuchs, L.L. Coq, M.D. Migliore
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (7), pp.3568-3574. ⟨10.1109/TAP.2017.2705163⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvement of both bandwidth and driving voltage of polymer phase modulators using buried in-plane coupled micro-strip driving electrodes
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Journal of Applied Physics, 2017, 121 (23), 234901 (8 p.). ⟨10.1063/1.4985285⟩
- Accès au bibtex
-
- titre
- On the Development and Optimization of HEVC Video Decoders Using High-Level Dataflow Modeling
- auteur
- Khaled Jerbi, Hervé Yviquel, Alexandre Sanchez, Daniele Renzi, Damien de Saint Jorre, Claudio Alberti, Marco Mattavelli, Mickael Raulet
- article
- Journal of Signal Processing Systems, 2017, 87 (1), pp.127-138. ⟨10.1007/s11265-016-1113-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling of Time and Frequency Random Access Network and Throughput Capacity Analysis
- auteur
- Vincent Savaux, Apostolos Kountouris, Yves Louët, Christophe Moy
- article
- EAI Endorsed Transactions on Cognitive Communications, 2017, 3 (11), pp.e2. ⟨10.4108/eai.31-5-2017.152555⟩
- Accès au texte intégral et bibtex
-
- titre
- Microscale temperature and SAR measurements in cell monolayer models exposed to millimeter waves
- auteur
- Maxim Zhadobov, Stanislav I. Alekseev, Ronan Sauleau, Yann Le Page, Yves Le Dréan, Evgeny E. Fesenko
- article
- Bioelectromagnetics, 2017, 38 (1), pp.11--21. ⟨10.1002/bem.21999⟩
- Accès au bibtex
-
- titre
- Estimation of time delay and interface roughness by GPR using modified MUSIC
- auteur
- Meng Sun, Cédric Le Bastard, Nicolas Pinel, Yide Wang, Jianzhong Li, Jingjing Pan, Zhiwen Yu
- article
- Signal Processing, 2017, 132, pp.272 - 283. ⟨10.1016/j.sigpro.2016.05.029⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and fabrication of a new circularly polarised 2 × 3 antenna array for rectenna system
- auteur
- Mohamed Sennouni, Jamal Zbitou, Benaissa Abboud, Abdelwahed Tribak, Hamid Bennis, Mohamed Latrach
- article
- International Journal of Engineering Systems Modelling and Simulation, 2017, 9 (2), ⟨10.1504/IJESMS.2017.083227⟩
- Accès au bibtex
-
- titre
- Fast Antenna Far-Field Characterization via Sparse Spherical Harmonic Expansion
- auteur
- Benjamin Fuchs, L. Le Coq, S. Rondineau, M.D. Migliore
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (10), pp.5503-5510. ⟨10.1109/TAP.2017.2738059⟩
- Accès au texte intégral et bibtex
-
- titre
- A Modified Enhanced Transmission Line Theory Applied to Multiconductor Transmission Lines
- auteur
- Sofiane Chabane, Philippe Besnier, M. Klingler
- article
- IEEE Transactions on Electromagnetic Compatibility, 2017, 59 (2), pp.518--528. ⟨10.1109/TEMC.2016.2611672⟩
- Accès au bibtex
-
- titre
- Spontaneous Decoration of Silicon Surfaces with MoOx Nanoparticles for Sunlight-Assisted Hydrogen Evolution Reaction
- auteur
- Thai Giang Truong, Cristelle Mériadec, Bruno Fabre, Jean-François Bergamini, Olivier de Sagazan, Soraya Ababou-Girard, Gabriel Loget
- article
- Nanoscale, 2017, 9 (5), pp.1799-1804. ⟨10.1039/C6NR08408G⟩
- Accès au bibtex
-
- titre
- A Systematic Review of Security Mechanisms for Big Data in Health and New Alternatives for Hospitals
- auteur
- Sofiane Hamrioui, Isabel de La Torre Díez, Begonya Garcia-Zapirain, Kashif Saleem, Joel Rodrigues
- article
- Wireless Communications and Mobile Computing, 2017, 2017, pp.1 - 6. ⟨10.1155/2017/2306458⟩
- Accès au bibtex
-
- titre
- Energy-efficient Joint Power Allocation in Uplink Massive MIMO Cognitive Radio Networks with Imperfect CSI
- auteur
- Manman Cui, Bin-Jie Hu, Jie Tang, Yide Wang
- article
- IEEE Access, 2017, 5, pp.27611-27621. ⟨10.1109/ACCESS.2017.2771399⟩
- Accès au bibtex
-
- titre
- Flexible PET/Al/PZT/Al/PET multi-layered composite for low frequency energy harvesting
- auteur
- Raynald Seveno, Juan Carbajo, Thibault Dufay, Benoit Guiffard, Jean-Christophe Thomas
- article
- Journal of Physics D: Applied Physics, 2017, 50 (16), pp.165502. ⟨10.1088/1361-6463/aa6373⟩
- Accès au bibtex
-
- titre
- Cooperative Spectrum Sensing with Small Sample Size in Cognitive Wireless Sensor Networks
- auteur
- Shaoyang Men, Pascal Chargé, Sébastien Pillement
- article
- Wireless Personal Communications, 2017, 96 (2), pp.1871-1885. ⟨10.1007/s11277-017-4273-5⟩
- Accès au bibtex
-
- titre
- Performance enhancement of approximated model based near field sources localisation techniques
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- IET Signal Processing, 2017, ID paper SPR-2017-0006.R1
- Accès au bibtex
-
- titre
- Energy Minimization in HARQ-I Relay-Assisted Networks with Delay-limited Users
- auteur
- Mohamad Maaz, Philippe Mary, Maryline Hélard
- article
- IEEE Transactions on Vehicular Technology, 2017, 66 (8), pp.6887-6898. ⟨10.1109/TVT.2017.2654684⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient network coding solutions for limiting the effect of packet loss
- auteur
- Samih Abdul-Nabi, Ayman Khalil, Philippe Mary, Jean-François Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2017, 2017 (1), ⟨10.1186/s13638-017-0817-3⟩
- Accès au texte intégral et bibtex
-
- titre
- QoS driven Channel Selection Algorithm for Cognitive Radio Network: Multi-User Multi-armed Bandit Approach
- auteur
- Navikkumar Modi, Philippe Mary, Christophe Moy
- article
- IEEE Transactions on Cognitive Communications and Networking, 2017, 3 (1), pp.49-66. ⟨10.1109/TCCN.2017.2675901⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiband frequency-reconfigurable antenna using metamaterial structure of electromagnetic band gap
- auteur
- Raimi Dewan, M. K. A. Rahim, Mohamed Himdi, M. R. Hamid, H. A. Majid, M. E. Jalil
- article
- Applied physics. A, Materials science & processing, 2017, 123 (1), ⟨10.1007/s00339-016-0643-1⟩
- Accès au bibtex
-
- titre
- Fresnel Lens at Millimeter-Wave: Enhancement of Efficiency and Radiation Frequency Bandwidth
- auteur
- A. Jouade, Mohamed Himdi, O. Lafond
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (11), pp.5776-5786. ⟨10.1109/TAP.2017.2755120⟩
- Accès au bibtex
-
- titre
- Beamwidth Properties of Endfire 1-D Leaky-Wave Antennas
- auteur
- Walter Fuscaldo, David R. Jackson, Alessandro Galli
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (11), pp.6120-6125. ⟨10.1109/TAP.2017.2748363⟩
- Accès au bibtex
-
- titre
- Millimeter wave dosimetry at exposure of cell monolayers
- auteur
- S.I. Alekseev, M.V. Zhadobov, E.E. Fesenko, E. E. Fesenko Jr.
- article
- Biofizika / Biophysics, 2017, 62 (2), pp.261-264. ⟨10.1134/S0006350917020038⟩
- Accès au bibtex
-
- titre
- Personal Exposimeter for Radiation Assessment in Real Environments in the 60-GHz Band
- auteur
- Reza Aminzadeh, Arno Thielens, Haolin Li, Carole Leduc, Maxim Zhadobov, Guy Torfs, Johan Bauwelinck, Luc Martens, Wout Joseph
- article
- Radiation Protection Dosimetry, 2017, 176 (3), pp.316-321. ⟨10.1093/rpd/ncx012⟩
- Accès au bibtex
-
- titre
- Taking into Account GTEM Field Nonuniformities in Radiated Immunity Simulations Through a Simple Measurement
- auteur
- Sjoerd Op 'T Land, Richard Perdriau, Mohamed Ramdani
- article
- IEEE Transactions on Electromagnetic Compatibility, 2017, 59 (6), pp.2042-2045. ⟨10.1109/TEMC.2017.2691800⟩
- Accès au texte intégral et bibtex
-
- titre
- Propagation of nondiffracting pulses carrying orbital angular momentum at microwave frequencies
- auteur
- D. Comite, W. Fuscaldo, S.C. Pavone, Guido Valerio, M. Ettorre, M. Albani, A. Galli
- article
- Applied Physics Letters, 2017, 110 (11), pp.114102. ⟨10.1063/1.4978601⟩
- Accès au texte intégral et bibtex
-
- titre
- Upper and lower bounds for the ergodic capacity of MIMO Jacobi fading channels
- auteur
- Amor Nafkha, Rémi Bonnefoi
- article
- Optics Express, 2017, 25 (11), pp.12144-12151. ⟨10.1364/OE.25.012144⟩
- Accès au texte intégral et bibtex
-
- titre
- Power Line Communication Management of Battery Energy Storage in a Small Scale Autonomous Photovoltaic System
- auteur
- Jérémie Jousse, Nicolas Ginot, Christophe Batard, Elisabeth Lemaire
- article
- IEEE Transactions on Smart Grid, 2017, 8 (5), pp.2129 - 2137. ⟨10.1109/TSG.2016.2517129⟩
- Accès au bibtex
-
- titre
- Upwind-downwind asymmetry of the sea backscattering normalized Radar cross section versus the skewness function
- auteur
- Christophe Bourlier
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2017, pp.TGRS-2017-00393.R1
- Accès au bibtex
-
- titre
- Electrically Reconfigurable Radiation Patterns of Slot Antenna Array Using Agile Plasma Wall
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Alexis Martin
- article
- Progress In Electromagnetics Research M, 2017, 73, pp.75 - 80
- Accès au texte intégral et bibtex
-
- titre
- A correction method for the near field approximated model based localization techniques,
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- Digital Signal Processing, 2017, ID paper DSP-D-16-00827R2
- Accès au bibtex
-
- titre
- A Dual-Band Dual-Circular Polarization Antenna for Ka-Band Satellite Communications
- auteur
- Simon Mener, Raphaël Gillard, Langis Roy
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.274 - 277. ⟨10.1109/LAWP.2016.2572261⟩
- Accès au texte intégral et bibtex
-
- titre
- Cost-effective dual-polarised leaky-wave antennas enabled by three-dimensional printing
- auteur
- Maria Garcia-Vigueras, Esteban Menargues, Tomislav Debogovic, Emile de Rijk, Juan Ramon Mosig
- article
- IET Microwaves Antennas and Propagation, 2017, 11 (14), pp.1985-1991. ⟨10.1049/iet-map.2016.0950⟩
- Accès au bibtex
-
- titre
- Efficient 2-D leaky-wave antenna configurations based on graphene metasurfaces
- auteur
- Walter Fuscaldo, Paolo Burghignoli, Paolo Baccarelli, Alessandro Galli
- article
- International Journal of Microwave and Wireless Technologies, 2017, 9 (6), pp.1293-1303. ⟨10.1017/S1759078717000459⟩
- Accès au bibtex
-
- titre
- Impact of Antenna Topology and Feeding Technique on Coupling With Human Body: Application to 60-GHz Antenna Arrays
- auteur
- Carole Leduc, Maxim Zhadobov
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (12), pp.6779-6787. ⟨10.1109/TAP.2017.2700879⟩
- Accès au bibtex
-
- titre
- Effect of temperature on the synthesis of nanoporous carbon from copper/carbon thin films to nanoporous carbon for sensing applications
- auteur
- Laëtitia Donero, Nicolas Bouts, Abdel-Aziz El Mel, Brice Le Borgne, Eric Gautron, Laurent Le Brizoual, France Le Bihan, Pierre-Yves Tessier
- article
- Thin Solid Films, 2017, 630, pp.59-65. ⟨10.1016/j.tsf.2016.10.014⟩
- Accès au texte intégral et bibtex
-
- titre
- DCT-OFDM with Index Modulation
- auteur
- Marwa Chafii, Justin P Coon, Dene A Hedges
- article
- IEEE Communications Letters, 2017, 21 (7), pp.1489-1492. ⟨10.1109/LCOMM.2017.2682843⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of the incident power on permittivity, losses and tunability of BaSrTiO3 thin films in the microwave frequency range
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Areski Ghalem, Aurelian Crunteanu, Laure Huitema, Frédéric Dumas-Bouchiat, Pascal Marchet, Corinne Champeaux, Hartmut W Gundel
- article
- Applied Physics Letters, 2017, 110 (21), pp.212902. ⟨10.1063/1.4984089⟩
- Accès au texte intégral et bibtex
-
- titre
- Gain Enhancement of Slot Antenna Using Grooves Structure and FSS Layer
- auteur
- Bilal El Jaafari, Jean Marie Floc’h
- article
- Progress In Electromagnetics Research Letters, 2017
- Accès au bibtex
-
- titre
- Approximating the standard condition number for cognitive radio spectrum sensing with finite number of sensors
- auteur
- Hussein Kobeissi, Amor Nafkha, Youssef Nasser, Yves Louët, Oussama Bazzi
- article
- IET Signal Processing, 2017, 11 (2), pp.145-154. ⟨10.1049/iet-spr.2016.0146⟩
- Accès au bibtex
-
- titre
- Design and Efficient Implementation of a Chaos-based Stream Cipher
- auteur
- Mohammad Abu Taha, Safwan El Assad, Audrey Queudet, Olivier Déforges
- article
- International Journal of Internet Technology and Secured Transactions, 2017, paper IJITST_161464
- Accès au bibtex
-
- titre
- Design and Analysis of two Stream Ciphers Based on Chaotic Coupling and Multiplexing techniques
- auteur
- Ons Jallouli, Safwan El Assad, Maryline Chetto, René Lozi
- article
- Multimedia Tools and Applications, 2017, 77 (11), pp.13391 - 13417
- Accès au bibtex
-
- titre
- A novel right handed circular polarization folded reflectarray antenna at 60 GHz
- auteur
- M.F.M. Yusoff, Ronan Sauleau, Z. Johari, M.K.A. Rahim, H.A. Majid
- article
- International Journal of Electrical and Computer Engineering, 2017, 7 (3), pp.1580-1587. ⟨10.11591/ijece.v7i3.pp1580-1587⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Frequency Reconfigurable Multiband Meander Antenna Ysing Vacator Diode for Wireless Communication
- auteur
- Ines Rouissi, Jean Marie Floc’h, Trabelsi H.
- article
- International journal of advanced computer science and applications (IJACSA), 2017, 8 (3), ⟨10.14569/IJACSA.2017.080324⟩
- Accès au texte intégral et bibtex
-
- titre
- Parameter Design and Energy Control of the Power Train in a Hybrid Electric Boat
- auteur
- Diju Gao, Wei Zhang, Aidi Shen, Yide Wang
- article
- Energies, 2017, 10 (7), pp.1028. ⟨10.3390/en10071028⟩
- Accès au bibtex
-
- titre
- Energy-Efficient Power Control Algorithms in Massive MIMO Cognitive Radio Networks
- auteur
- Manman Cui, Binjie Hu, Xiaohuan Li, Hongbing Chen, Shiwei Hu, Yide Wang
- article
- IEEE Access, 2017, 5, pp.1164-1177. ⟨10.1109/ACCESS.2017.2652441⟩
- Accès au bibtex
-
- titre
- A General and Accurate Formula for the Beamwidth of 1-D Leaky-Wave Antennas
- auteur
- W. Fuscaldo, D.R. Jackson, A. Galli
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (4), pp.1670-1679. ⟨10.1109/TAP.2017.2670617⟩
- Accès au bibtex
-
- titre
- Micro-expression detection using integral projections
- auteur
- H. Lu, K. Kpalma, J. Ronsin
- article
- Journal of WSCG, 2017, 25 (2), pp.87-96
- Accès au bibtex
-
- titre
- Flexible and Conformal Printed Monopoles Antennas
- auteur
- Asmae Hachi, Hassan Lebbar, Mohamed Himdi
- article
- Progress In Electromagnetics Research Letters, 2017, 67, pp.89-95. ⟨10.2528/PIERL16121607⟩
- Accès au bibtex
-
- titre
- Efficient DVFS for low power HEVC software decoder
- auteur
- Erwan Nogues, Julien Heulot, Glenn Herrou, Ladislas Robin, Maxime Pelcat, Daniel Menard, Erwan Raffin, Wassim Hamidouche
- article
- Journal of Real-Time Image Processing, 2017, 13 (1), pp.39-54. ⟨10.1007/s11554-016-0624-9⟩
- Accès au bibtex
-
- titre
- Porting a PCA-based hyperspectral image dimensionality reduction algorithm for brain cancer detection on a manycore architecture
- auteur
- R. Lazcano, D. Madronal, Ruben Salvador, Karol Desnos, Maxime Pelcat, R. Guerra, H. Fabelo, S. Ortega, S. Lopez, G. M. Callico, E. Juarez, C. Sanz
- article
- Journal of Systems Architecture, 2017, 77, pp.101-111. ⟨10.1016/j.sysarc.2017.05.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermal Model of Electromagnetic Skin-Equivalent Phantom at Millimeter Waves
- auteur
- Carole Leduc, Maxim Zhadobov
- article
- IEEE Transactions on Microwave Theory and Techniques, 2017, 65 (3), pp.1036--1045. ⟨10.1109/TMTT.2016.2638816⟩
- Accès au bibtex
-
- titre
- Lens Antenna for Wide Angle Beam Scanning at 79 GHz for Automotive Short Range Radar Applications
- auteur
- M. Kamran Saleem, H. Vettikaladi, M.A.S. Alkanhal, Mohamed Himdi
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (4), pp.2041--2046. ⟨10.1109/TAP.2017.2669726⟩
- Accès au bibtex
-
- titre
- Integrated lens antenna array with full azimuth plane beam scanning capability at 60 GHz
- auteur
- M.K. Saleem, M.A.S. Alkanhal, A.F. Sheta, M. Abdel-Rahman, Mohamed Himdi
- article
- Microwave and Optical Technology Letters, 2017, 59 (1), pp.116--120. ⟨10.1002/mop.30239⟩
- Accès au bibtex
-
- titre
- Quantifying the Memory Effects of Power Amplifiers: EVM Closed-Form Derivations of Multicarrier Signals
- auteur
- Ali Cheaito, Matthieu Crussière, Jean-François Hélard, Yves Louët
- article
- IEEE Wireless Communications Letters, 2017, 6 (1), pp.34-37. ⟨10.1109/LWC.2016.2627037⟩
- Accès au bibtex
-
- titre
- Wavelet modulation: An alternative modulation with low energy consumption
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval
- article
- Comptes Rendus. Physique, 2017, 18 (2), pp.156-167. ⟨10.1016/j.crhy.2016.11.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Mode Matching Analysis of an E-Plane 90 degrees Bend With a Square Step in Parallel-Plate Waveguide
- auteur
- Francesco Foglia Manzillo, Ronan Sauleau, Nicolas Capet, Mauro Ettorre
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.2187-2190. ⟨10.1109/LAWP.2017.2704428⟩
- Accès au bibtex
-
- titre
- Blind Modulation Classification for Cognitive Satellite in the Spectral Coexistence Context
- auteur
- Vincent Gouldieff, Jacques Palicot, Steredenn Daumont
- article
- IEEE Transactions on Signal Processing, 2017, 65 (12), pp.3204-3217. ⟨10.1109/TSP.2017.2688976⟩
- Accès au texte intégral et bibtex
-
- titre
- Role of nitrogen doping at the surface of titanium nitride thin films towards capacitive charge storage enhancement
- auteur
- A. Achour, M. Chaker, H. Achour, A. Arman, M. Islam, M. Mardani, M. Boujtita, L. Le Brizoual, M. A. Djouadi, T. Brousse
- article
- Journal of Power Sources, 2017, 359, pp.349-354. ⟨10.1016/j.jpowsour.2017.05.074⟩
- Accès au bibtex
-
- titre
- Energy-Awareness and Performance Management with Parallel Dataflow Applications
- auteur
- Simon Holmbacka, Erwan Nogues, Maxime Pelcat, Sébastien Lafond, Daniel Menard, Johan Lilius
- article
- Journal of Signal Processing Systems, 2017, 87 (1), pp.33-48. ⟨10.1007/s11265-015-1059-4⟩
- Accès au bibtex
-
- titre
- On miniaturization of efficient ultrawideband printed quazi-Yagi antenna array for indoor applications
- auteur
- A. Harmouch, W. Harmouch, A. El Sayed Ahmad, M. Kenaan, Jean Marie Floc'H
- article
- Radioelectronics and Communications Systems, 2017, 60 (6), pp.258-262. ⟨10.3103/S0735272717060024⟩
- Accès au bibtex
-
- titre
- Gain enhancement of slot antenna using grooved structure and FSS layer
- auteur
- B.E. Jaafari, Jean Marie Floc'H
- article
- Progress In Electromagnetics Research Letters, 2017, 65, pp.1-7. ⟨10.2528/PIERL16102507⟩
- Accès au bibtex
-
- titre
- Intermodulation distortion and compression point measurement of active integrated antennas using a radiative method
- auteur
- E. Kaverine, S. Palud, F. Colombel, Mohamed Himdi, D. Lemur
- article
- Progress In Electromagnetics Research M, 2017, 54, pp.145--152. ⟨10.2528/PIERM16120503⟩
- Accès au bibtex
-
- titre
- Mechanically Pattern-Reconfigurable Bended Horn Antenna for High-Power Applications
- auteur
- A. Jouade, Mohamed Himdi, A. Chauloux, F. Colombel
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.457--460. ⟨10.1109/LAWP.2016.2583203⟩
- Accès au bibtex
-
- titre
- Investigation on an effective magnetic permeability of the rod-shaped ferrites
- auteur
- E. Kaverine, S. Palud, F. Colombel, Mohamed Himdi
- article
- Progress In Electromagnetics Research Letters, 2017, 65, pp.43-48. ⟨10.2528/PIERL16110203⟩
- Accès au bibtex
-
- titre
- Gold-induced crystallization of amorphous germanium deposited on glass : bilayer and multilayer films
- auteur
- Ismaïl Bouhadda, L. Laidoudi, Claude Simon, Sarah Bebiche
- article
- physica status solidi (c), 2017, ⟨10.1002/pssc.201700083⟩
- Accès au bibtex
-
- titre
- Analysis of seasonal effects on forest parameter estimation of Indian deciduous forest using TerraSAR-X PolInSAR acquisitions
- auteur
- Unmesh Khati, Gulab Singh, Laurent Ferro-Famil
- article
- Remote Sensing of Environment, 2017, 199, pp.265-276. ⟨10.1016/j.rse.2017.07.019⟩
- Accès au bibtex
-
- titre
- A Dual-Mode Pattern Diversity Antenna for 2.45 GHz Body-Centric Communications
- auteur
- Rizwan Masood, Christian Person, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2017, 16, pp.1064-1067. ⟨10.1109/LAWP.2016.2620724⟩
- Accès au bibtex
-
- titre
- Robust Ultraminiature Capsule Antenna for Ingestible and Implantable Applications
- auteur
- Denys Nikolayev, Maxim Zhadobov, Laurent Le Coq, Pavel Karban, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (11), pp.6107-6119. ⟨10.1109/TAP.2017.2755764⟩
- Accès au bibtex
-
- titre
- On the Performance Analysis and Evaluation of Scaled Largest Eigenvalue in Spectrum Sensing: A Simple Form Approach
- auteur
- Hussein Kobeissi, Amor Nafkha, Youssef Nasser, Oussama Bazzi, Yves Louët
- article
- EAI Endorsed Transactions on Cognitive Communications, 2017, 3 (10), pp.e5. ⟨10.4108/eai.23-2-2017.152193⟩
- Accès au texte intégral et bibtex
-
- titre
- ARM-FPGA-based platform for reconfigurable wireless communication systems using partial reconfiguration
- auteur
- Mohamad-Al-Fadl Rihani, Mohamad Mroue, Jean-Christophe Prévotet, Fabienne Nouvel, Yasser Mohanna
- article
- EURASIP Journal on Embedded Systems, 2017, 2017 (1), pp.35. ⟨10.1186/s13639-017-0083-9⟩
- Accès au texte intégral et bibtex
-
- titre
- A Low-Profile Broadband 32-Slot Continuous Transverse Stub Array for Backhaul Applications in E-Band
- auteur
- T. Potelon, M. Ettorre, L. Le Coq, T. Bateman, J. Francey, D. Lelaidier, E. Seguenot, F. Devillers, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2017, 65 (12), pp.6307-6316. ⟨10.1109/TAP.2017.2712791⟩
- Accès au bibtex
-
- titre
- Titanium vanadium nitride electrode for micro-supercapacitors
- auteur
- A. Achour, R. Lucio-Porto, M. Chaker, A. Arman, A. Ahmadpourian, M.A. Soussou, M. Boujtita, L. Le Brizoual, M.A. Djouadi, T. Brousse
- article
- Electrochemistry Communications, 2017, 77, pp.40-43. ⟨10.1016/j.elecom.2017.02.011⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Hardware implementation of 1-D 8-point adaptive multiple transform in post-HEVC standard
- auteur
- Sonda Ben Jdidia, Ahmed Kammoun, Fatma Belghith, Nouri Masmoudi
- article
- 2017 18th International Conference on Sciences and Techniques of Automatic Control and Computer Engineering (STA), Dec 2017, Monastir, France. pp.146-151
- Accès au bibtex
-
- titre
- A Statistical Characterization Approach to Estimate Software Execution Time in Multiprocessor Systems
- auteur
- Jiatong Li, Sébastien Le Nours, Xiaoyong He, Zhaoxia Jing
- article
- 2017 International Conference on Computer Technology, Electronics and Communication (ICCTEC), Dec 2017, Dalian, China. pp.848-852, ⟨10.1109/ICCTEC.2017.00188⟩
- Accès au bibtex
-
- titre
- Mobile TV directed Resource Allocation Scheme for LTE Networks
- auteur
- Ahmad Shokair, Matthieu Crussière, Jean-François Hélard, Youssef Nasser, Oussama Bazzi
- article
- 2017 20th International Symposium on Wireless Personal Multimedia Communications (WPMC), Dec 2017, Yogyakarta, Indonesia
- Accès au texte intégral et bibtex
-
- titre
- Performance Analysis of the Maximum Ratio Transmission Preprocessing for Extended Receive Antenna Shift Keying
- auteur
- Ali Mokh, Matthieu Crussière, Maryline Hélard
- article
- the 20th International Symposium on Wireless Personal Multimedia Communications, Dec 2017, Yogyakarta, Indonesia
- Accès au texte intégral et bibtex
-
- titre
- Frequency Domain Forecasting Approach for Latency Reduction in Direct Human-Computer Interaction
- auteur
- Stanislav Aranovskiy, Rosane Ushirobira, Denis Efimov, Géry Casiez
- article
- CDC 2017 - 56th IEEE Conference on Decision and Control, Dec 2017, Melbourne, Australia. pp.2623-2628, ⟨10.1109/CDC.2017.8264040⟩
- Accès au texte intégral et bibtex
-
- titre
- New Keyed Chaotic Neural Network Hash Function Based on Sponge Construction
- auteur
- Nabil Abdoun, Safwan El Assad, Khodor Hammoud, Rima Assaf, Mohamad Khalil, Olivier Déforges
- article
- International Conference for Internet Technology and Secured Transactions, Dec 2017, Cambridge, United Kingdom. pp.35-38, ⟨10.23919/ICITST.2017.8356341⟩
- Accès au bibtex
-
- titre
- Conception and realization of highly selective band-pass filters in Ka-band built on thin polymer films
- auteur
- Mohammed El Gibari, Sara Bretin, Patrick Derval, S. Ginestar, Guillaume Lirzin, Hong Wu Li
- article
- 29th International Conference on Microelectronics, ICM 2017, Dec 2017, Beirut, Lebanon. pp.1-4, ⟨10.1109/ICM.2017.8268896⟩
- Accès au bibtex
-
- titre
- Thermal drift compensation of piezoresistive implantable blood pressure sensors with low cost analog solutions
- auteur
- M. El Gibari, C. Le Bleis, G. Lirzin, Benjamin Lauzier, S. Ginestar, J. Tissier, Mohamed Latrach, Chantal Gauthier, H. Li
- article
- 29th International Conference on Microelectronics, ICM 2017, Dec 2017, Beirut, Lebanon. pp.1-4, ⟨10.1109/ICM.2017.8268895⟩
- Accès au bibtex
-
- titre
- Localization of a VHF transmitter based on PDOA methods: simulations and experiments
- auteur
- Nicolas Amiot, Yvon Erhel, Dominique Lemur, François Marie, Martial Oger
- article
- 29th International Conference on Microelectronics (ICM), Dec 2017, beirut, Lebanon
- Accès au bibtex
-
- titre
- Etude de la viabilité et de l’adaptation du biofilm de Listeria monocytogenes en croissance sur couches minces de TiO2
- auteur
- Justine Louis, Marion Barthomeuf, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- RNB 2017 ‘8ème colloque du Réseau National Biofilms’, Dec 2017, Clermont-Ferrand, France
- Accès au bibtex
-
- titre
- Space Shift Keying Modulations for Low Complexity Internet-of-Things Devices
- auteur
- Ali Mokh, Maryline Hélard, Matthieu Crussière
- article
- 2017 IEEE Global Communications Conference, Dec 2017, Singapore, Singapore
- Accès au bibtex
-
- titre
- Human Detection using HOG-SVM, Mixture of Gaussian and Background Contours Subtraction
- auteur
- Abdourahman Houssein Ahmed, Kidiyo Kpalma, Abdoulkader Osman Guedi
- article
- 13th International Conference on SIGNAL IMAGE TECHNOLOGY & INTERNET BASED SYSTEMS, Dec 2017, Jaipur, India. ⟨10.1109/SITIS.2017.62⟩
- Accès au bibtex
-
- titre
- Load Balancing Algorithm for Efficient and Reliable IoT Communications within E-Health Environment
- auteur
- Sofiane Hamrioui, Pascal Lorenz
- article
- 2017 IEEE Global Communications Conference (GLOBECOM 2017), Dec 2017, Singapore, France. ⟨10.1109/GLOCOM.2017.8254435⟩
- Accès au bibtex
-
- titre
- Analyse de micro-expressions faciales : comment lire le sentiment intérieur de quelqu'un
- auteur
- Kidiyo Kpalma
- article
- Premières Journées Doctorales de Génie Electrique de l'université Djillali Liabes, Dec 2017, Sidi Bel Abbès, Algérie
- Accès au bibtex
-
- titre
- Towards a completely blind classifier for hyperspectral images
- auteur
- P. Hallé, S. Le Moan, Claude Cariou
- article
- 2017 International Conference on Image and Vision Computing New Zealand, IVCNZ 2017, Dec 2017, Christchurch, New Zealand. pp.1-6, ⟨10.1109/IVCNZ.2017.8402444⟩
- Accès au bibtex
-
- titre
- Intra prediction modes signalling in HEVC
- auteur
- Reuzé Kevin, Pierrick Philippe, Olivier Déforges, Wassim Hamidouche
- article
- IEEE Picture Coding Consortium (PCS2016), Dec 2017, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Antenna Design Process with Examples
- auteur
- Tchanguiz Razban
- article
- XII International Conference on Electronic Device and Control Systems, Nov 2017, Tomsk, Russia
- Accès au bibtex
-
- titre
- Characteristics of a-IGZO TFT with SU-8 Gate Insulator as Various Hard-bake Temperature
- auteur
- Mintaek Hong, Moon Seungjae, Jongseok Lee, Tayeb Mohammed-Brahim, Euijung Yun, Byungseong Bae
- article
- International Conference on Advanced Electromaterials, ICAE2017, Nov 2017, Jeju, South Korea
- Accès au bibtex
-
- titre
- Détection de la transition de phase gel / fluide de Sphingolipides par sonde de lumière résonante intégrée
- auteur
- Qingyue Li, Véronique Vié, Hervé Lhermite, Lucas Garnier, Claire Bourlieu-Lacanal, Alain Moréac, Denis Morineau, Didier Dupont, Bruno Bêche
- article
- 1ère Journée Scientifique du Département Matériaux Molécules de l'UBL (santé et environnement), Nov 2017, Rennes, France
- Accès au bibtex
-
- titre
- Filtre multi-sorties pour la réduction de bruit appliqué aux images médicales ultrasons
- auteur
- Meriem Outtas, Lu Zhang, Olivier Déforges, Amina Serir, Wassim Hamidouche
- article
- CORESA 2017, Nov 2017, Caen, France
- Accès au texte intégral et bibtex
-
- titre
- NEW CHALLENGES FOR HIGHER EDUCATION IN THE MICROELECTRONICS FIELD
- auteur
- Olivier Bonnaud
- article
- 10th Annual International Conference of Education, Research and Innovation (ICERI), Nov 2017, Seville, Spain
- Accès au bibtex
-
- titre
- A New Compact Rectangular Planar Antenna for THz Applications
- auteur
- F. Karmoude, H. Bennis, R. Dakir, Mohamed Latrach, L. El Abdellaoui, A. Errkik
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167563⟩
- Accès au bibtex
-
- titre
- GaAs FET Broadband Power Amplifier for L and S Bands Applications
- auteur
- M. Ribate, R. Mandry, Mohamed Latrach, A. Errkik, L. El Abdellaoui
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167530⟩
- Accès au bibtex
-
- titre
- A New Reconfigurable Multiband Planar Antenna Based on Varactor Diodes
- auteur
- R. Er-Rebyiy, J. Zbitou, Mohamed Latrach, A. Tajmouati, A. Errkik, L. El Abdellaoui
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167569⟩
- Accès au bibtex
-
- titre
- RFID Chipless Tag Based on Substrate Integrated Triangular Cavity
- auteur
- S. Sakouhi, Mohamed Latrach, H. Raggad, A. Gharsallah
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167546⟩
- Accès au bibtex
-
- titre
- A Microstrip Low Cost Multiband Planar Inverted-F Antenna
- auteur
- S. Elkilani, L. El Abdellaoui, A. Errkik, A. Tajmouati, Mohamed Latrach
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167566⟩
- Accès au bibtex
-
- titre
- Design of Miniaturized Broadband Patch Antenna
- auteur
- Mohamed Latrach, S. Samiul Islam
- article
- the 2nd International Conference, Nov 2017, Larache, Morocco. ⟨10.1145/3167486.3167533⟩
- Accès au bibtex
-
- titre
- A New Design of a Miniature L-slot Microstrip Antenna for RFID Tag
- auteur
- M. Ihamji, E. Abdelmounim, H. Bennis, M. Lotfi, M. Latrach
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167555⟩
- Accès au bibtex
-
- titre
- A Novel Compact CPW Low Pass Filter Using a New Topologie of ZJ-Shaped Slots
- auteur
- Elmahjouby Sghir, Ahmed Errkik, Jamal Zbitou, Larbi Elabdellaoui, Mohamed Latrach, Abdelali Tajmouati
- article
- the 2nd International Conference, Nov 2017, Larache, Morocco. ⟨10.1145/3167486.3167572⟩
- Accès au bibtex
-
- titre
- A New Configuration of a Microstrip Power Amplifier Using Si-BJT in Class-A Mode for DCS Applications
- auteur
- Amine Rachakh, Larbi El Abdellaoui, Rachid Mandry, Ahmed Errkik, Abdelali Tajmouati, Mohamed Latrach
- article
- Proceedings of the 2nd International Conference on Computing and Wireless Communication Systems, Nov 2017, Larache, Morocco. ⟨10.1145/3167486.3167547⟩
- Accès au bibtex
-
- titre
- A New Design of A Miniature RFID Tag Antenna for Metallic Objects
- auteur
- A. El Hamraoui, H. Abdelmounim, A. Errkik, H. Bennis, Mohamed Latrach
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167512⟩
- Accès au bibtex
-
- titre
- A Novel Design of a Miniature Low Cost Planar Antenna for ISM Band Applications
- auteur
- R. Er-Rebyiy, J. Zbitou, Mohamed Latrach, A. Tajmouati, A. Errkik, L. El Abdellaoui
- article
- the 2nd International Conference, Nov 2017, Larache, Morocco. ⟨10.1145/3167486.3167492⟩
- Accès au bibtex
-
- titre
- Study of meander dipole antenna coupled with magnetic metamaterial cells for UHF RFID system
- auteur
- Marwa Zamali, Mohamed Latrach, Lotfi Osman, Hedi Raggad
- article
- the 2nd International Conference, Nov 2017, Larache, France. ⟨10.1145/3167486.3167548⟩
- Accès au bibtex
-
- titre
- Frequency Reconfigurability Array Antenna with Electromagnetic Band Gap (EBG) Cells
- auteur
- R. Dewan, M. K. A. Rahim, Mohamed Himdi, M. R. Hamid, F. Zubir, N. A. Samsuri
- article
- 2017 IEEE Asia Pacific Microwave Conference (APMC), Nov 2017, Kuala Lumpur, Malaysia. ⟨10.1109/APMC.2017.8251555⟩
- Accès au bibtex
-
- titre
- Millimeter-Wave Fresnel Zone Plate Lens with Pressed Foam Technology
- auteur
- Mohamed Himdi, A. Jouade, O. Lafond
- article
- 2017 IEEE Asia Pacific Microwave Conference (APMC), Nov 2017, Kuala Lumpur, Malaysia. ⟨10.1109/APMC.2017.8251408⟩
- Accès au bibtex
-
- titre
- Design of Mechanically Reconfigurable Meander Antenna Using the Galinstan Liquid Metal
- auteur
- Jean Marie Floc’h, Imen Ben Trad
- article
- LAPC 2017, Nov 2017, Loughborough, United Kingdom
- Accès au bibtex
-
- titre
- Design of Ultra Wideband Vivaldi Nanoantenna for Solar Energy Collection
- auteur
- W. Amara, Eltresy N, Yahyaoui A, Hatem Rmili, Taoufik Aguili, Jean Marie Floc’h
- article
- LAPC 2017, Nov 2017, Loughborough, United Kingdom
- Accès au bibtex
-
- titre
- Comparative study of " Phoenix " reflectarray phase-shifting cells
- auteur
- T. Makdissy, R. Gillard
- article
- LAPC2017, Nov 2017, Loughborough, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Résistances à base de nanofils de silicium pour la détection de bactéries
- auteur
- Anne-Claire Salaün, Brice Le Borgne, Laurent Pichon, Anne Jolivet-Gougeon, Sophie Martin, Regis Rogel, Olivier Le Monies de Sagazan
- article
- Journées Natonales des Nanofils Semiconducteurs, Nov 2017, Grenoble, France
- Accès au bibtex
-
- titre
- A compact multiband antenna for mobile handset using characteristic modes optimization
- auteur
- Hussein Jaafar, Sylvain Collardey, A Sharaiha
- article
- Loughborough Antenna and Propagation Conference, Nov 2017, Loughborough United Kingdom
- Accès au bibtex
-
- titre
- Les antennes intégrées d’ARCOTENN
- auteur
- Xavier Castel
- article
- 2èmes Assises de la Recherche et de l’Innovation des Côtes d’Armor, Nov 2017, Saint-Brieuc, France
- Accès au bibtex
-
- titre
- Systèmes & technologies antennaires de rupture en matériaux composites
- auteur
- Maëlle Sergolle, Xavier Castel, Mohamed Himdi, Philippe Besnier
- article
- 2èmes Assises de la Recherche et de l’Innovation des Côtes d’Armor, Nov 2017, Saint-Brieuc, France
- Accès au bibtex
-
- titre
- Glass foam composites based on used tires for microwave absorbing application
- auteur
- Younes Lamri, Ratiba Benzerga, Azzedine Ayadi, Laurent Le Gendre, Aicha El Assal, Fayrouz Benhaoua
- article
- ISMSD2017, 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Effect of the substrate’s temperature on the characteristics of TiN thin films deposited by reactive cathodic sputtering for solar cells applications
- auteur
- Bachir Messaid, Claire Le Paven, Razika Talaighil, Florent Marlec, Ratiba Benzerga, Laurent Le Gendre
- article
- ISMSD2017, 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Recycled CRT based glass foams for high power microwave terminations
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Patrick Queffelec, Ala Sharaiha
- article
- ISMSD2017, 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Nucleation and microstructural study of cuprous oxide thin films electrodeposited in degassed aqueous solution
- auteur
- N. Maddache, Nahed Dokhane, Y. Tadjenant, Ratiba Benzerga
- article
- ISMSD2017, 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- On the Ergodic Capacity in Multicarrier Cognitive Radio Systems under Power Constraints
- auteur
- Hassan Kallam, Hiba Bawab, Oussama Bazzi, Youssef Nasser, Jean-François Hélard
- article
- ICUMT 2017 - 9th International Congress on Ultra Modern Telecommunications and Control Systems, Nov 2017, Munich, Germany. pp.329-333, ⟨10.1109/ICUMT.2017.8255176⟩
- Accès au bibtex
-
- titre
- Application of AL-FEC to HbbTV DVB-T2 Systems for the Provision of VoD Services in Portable and Fixed TV Reception
- auteur
- Ferdaouss Mattoussi, Matthieu Crussière, Jean-François Hélard
- article
- 9th International Congress on Ultra Modern Telecommunications and Control Systems (ICUMT), Nov 2017, Munich, Germany. ⟨10.1109/ICUMT.2017.8255156⟩
- Accès au bibtex
-
- titre
- Near Optimal Linear-Service Oriented Resource Allocation Strategy for LTF Networks
- auteur
- Ahmad Shokair, Youssef Nasser, Oussama Bazzi, Jean-François Hélard, Matthieu Crussière
- article
- 9th International Congress on Ultra Modern Telecommunications and Control Systems (ICUMT), Nov 2017, Munich, Germany
- Accès au bibtex
-
- titre
- Near Optimal Linear-Service Oriented Resource Allocation Strategy for LTE Networks
- auteur
- Ahmad Shokair, Youssef Nasser, Oussama Bazzi, Jean-François Hélard, Matthieu Crussière
- article
- 2017 9th International Congress on Ultra Modern Telecommunications and Control Systems and Workshops (ICUMT), Nov 2017, Munich, Germany. ⟨10.1109/ICUMT.2017.8255162⟩
- Accès au texte intégral et bibtex
-
- titre
- Microsensors on very thin flexible substrate for physiological measurements
- auteur
- Fatima Garcia-Castro, France Le Bihan, Nathalie . Coulon, Claude Simon
- article
- 20th JNRDM, Nov 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- Supervisory control of a building heating system based on radial basis function neural networks
- auteur
- Ouaret Ahmed, Lehouche Hocine, Mendil Boubekeur, Fredj Siham, Hervé Guéguen
- article
- 2017 5th International Conference on Electrical Engineering - Boumerdes (ICEE-B), Oct 2017, Boumerdes, Algeria. ⟨10.1109/ICEE-B.2017.8192182⟩
- Accès au bibtex
-
- titre
- Smart Home, the Work of IETR
- auteur
- Jean Marie Floc’h
- article
- World Electronic Forum, Oct 2017, Angers, France
- Accès au bibtex
-
- titre
- Multi-Band Small-Scale Fading Mitigation at UWB Localization Receivers in Dense Multipath Channels
- auteur
- Jimmy Maceraudi, Francois Dehmas, Benoit Denis, Bernard Uguen
- article
- 14th Workshop on Positioning, Navigation and Communications (WPNC), Oct 2017, Bremen, Germany
- Accès au bibtex
-
- titre
- A Novel SoC Feedback Control of ESS for Frequency Regulation of Fractional Frequency Transmission System with Offshore Wind Power
- auteur
- Boyang Zhao, Xifan Wang, Pierre Haessig
- article
- 2017 China International Electrical and Energy Conference (CIEEC), China Electrotechnical Society (CES), Oct 2017, Beijing, China. ⟨10.1109/cieec.2017.8388434⟩
- Accès au texte intégral et bibtex
-
- titre
- Localization Bound based Beamforming Optimization for multicarrier mmWave MIMO
- auteur
- Remun Koirala, Benoit Denis, Davide Dardari, Bernard Uguen
- article
- 14th Workshop on Positioning, Navigation and Communications (WPNC), Oct 2017, Bremen, Germany
- Accès au bibtex
-
- titre
- Mise en Œuvre d'un Couplage Correcteur pour Réduire les Effets de l'Onde de Surface dans un Réseau à Balayage
- auteur
- Aurélien Ayissi Manga, R. Gillard, Renaud Loison, I Le Roy-Naneix, C Renard
- article
- Assemblée générale GDR ONDES " Interférences d'Ondes ", Oct 2017, Sophia Antipolis, France
- Accès au texte intégral et bibtex
-
- titre
- Conception d'une antenne implantable pour un capteur vaginal
- auteur
- Jean Marie Floc’h, Imen Ben Trad
- article
- GDR Ondes, Oct 2017, Nice, France
- Accès au bibtex
-
- titre
- Tunable Schiffman Phase Shifter for Continuous Beam Steering Antenna
- auteur
- Rawia Ouali, Lotfi Osman, Tchanguiz Razban, Yann Mahé
- article
- International Conference on Internet of Things, Embedded Systems and Communications , Oct 2017, Gafsa, Tunisia. Paper ID: 1570385149, ⟨10.1109/IINTEC.2017.8325934⟩
- Accès au bibtex
-
- titre
- R-D Spatio-Temporal Adaptive Quantization based on Temporal Distortion Backpropagation in HEVC
- auteur
- Michael Ropert, Julien Le Tanou, Maxime Bichon, Médéric Blestel
- article
- 2017 IEEE 19th International Workshop on Multimedia Signal Processing (MMSP), Oct 2017, Luton, United Kingdom. ⟨10.1109/MMSP.2017.8122247⟩
- Accès au texte intégral et bibtex
-
- titre
- Research activities on Antenna Design in Nantes France
- auteur
- Tchanguiz Razban
- article
- 3rd International Conference on Communication Systems (ICCS 2017), Oct 2017, Pilani, India
- Accès au bibtex
-
- titre
- Design of a 2-bit Unit-Cell for Electronically Reconfigurable Transmitarrays at Ka-band
- auteur
- Fatimata Diaby, Antonio Clemente, Luca Di Palma, Laurent Dussopt, Kien Pham, Erwan Fourn, Ronan Sauleau
- article
- 14th European Radar Conference (EURAD), Oct 2017, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Comparison of tropical forest Above Ground Biomass estimation techniques based on Polarimetric and Tomographic SAR data acquired at P band
- auteur
- Bassam El Hajj Chehade, Laurent Ferro-Famil, Stefano Tebaldini, Dinh Ho Tong Minh, Thuy Le Toan
- article
- 14th European Radar Conference (EURAD), Oct 2017, Nuremberg, Germany. ⟨10.23919/EURAD.2017.8249139⟩
- Accès au bibtex
-
- titre
- Lossy Compression of Hyperspectral Images Based on JPEG2000
- auteur
- Alexander Zemliachenko, Vladimir Lukin, Benoit Vozel
- article
- 4th International Scientific-Practical Conference Problems of Infocommunications. Science and Technology (PIC SandT), Oct 2017, Kharkiv, Ukraine. ⟨10.1109/INFOCOMMST.2017.8246472⟩
- Accès au bibtex
-
- titre
- Design and manufacturing of conformal antenna array on a conical surface at 5.2 GHz
- auteur
- V. Jaeck, L. Bernard, K. Mahdjoubi, R. Sauleau, Sylvain Collardey, P. Pouliguen, P. Potier
- article
- 2017 47th European Microwave Conference (EuMC), Oct 2017, Nuremberg, France. ⟨10.23919/EuMC.2017.8231066⟩
- Accès au bibtex
-
- titre
- Characterization of Dual-Band Dual-Linearly Polarized Transmitarray Antennas
- auteur
- Kien Pham, Ronan Sauleau, Erwan Fourn, Fatimata Diaby, Antonio Clemente, Laurent Dussopt
- article
- 47th European Microwave Conference (EuMC), Oct 2017, Nuremberg, Germany. ⟨10.23919/EuMC.2017.8230814⟩
- Accès au bibtex
-
- titre
- A New Class of Nondiffracting Pulses Based on Focusing Leaky Waves
- auteur
- Walter Fuscaldo, Davide Comite, Alessandro Boesso, Paolo Baccarelli, Paolo Burghignoli, Alessandro Galli
- article
- 47th European Microwave Conference (EuMC), Oct 2017, Nuremberg, Germany. ⟨10.23919/EuMC.2017.8231006⟩
- Accès au bibtex
-
- titre
- Flexible UHF/VHF Vivaldi Antenna for Broadband and Gas Balloon applications
- auteur
- Anthony Presse, Jean Marie Floc’h, Anne-Claude Tarot
- article
- EuMC 2017, Oct 2017, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Printed Planar Double Inverted-F Antenna with Large Frequency Reconfigurability Range
- auteur
- Jean Marie Floc’h, Imen Ben Trad
- article
- EuMC 2017, Oct 2017, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Performance Analysis of REM-Based Handover Algorithm for Multi-Tier Cellular Networks
- auteur
- Cristo Suarez-Rodriguez, B A Jayawickrama, Ying He, Faouzi Bader, Michael Heimlich
- article
- The 28th Annual IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (IEEE PIMRC 2017), Oct 2017, Montréal, Canada. ⟨10.1109/pimrc.2017.8292488⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy Optimization of D2D Communications Using Relay Devices and Data Entropy
- auteur
- Romain Chevillon, Guillaume Andrieux, Jean-François Diouris
- article
- IEEE 28th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC 2017), Oct 2017, Montreal, Canada. paper #1570368690
- Accès au bibtex
-
- titre
- Energy Efficiency of Hybrid Unicast-Broadcast Networks for Mobile TV Services
- auteur
- Pape Abdoulaye Fam, Matthieu Crussière, Stéphane Paquelet, Jean-François Hélard, Pierre Brétillon
- article
- International conference on, Personal Indoor and Radio Mobile Communication (PIRMC'17), Oct 2017, Montréal, Canada
- Accès au texte intégral et bibtex
-
- titre
- Design of continuous parallel plate waveguide lens-like beamformers for future low-cost and high-performance multiple beam antennas
- auteur
- François Doucet, N.J.G. Fonseca, Etienne Girard, S. Tubau, Hervé Legay, Ronan Sauleau
- article
- 38th ESA Antenna Workshop, Oct 2017, Noordwijk, Netherlands
- Accès au bibtex
-
- titre
- Design of EBG antenna with multi-sources excitation for high directivity applications
- auteur
- A. Kaabal, M. El Halaoui, B.E. Jaafari, S. Ahyoud, A. Asselman
- article
- 11th International Conference on Interdisciplinarity in Engineering, INTER-ENG 2017, Oct 2017, Targu-Mures, Romania. pp.598-604, ⟨10.1016/j.promfg.2018.03.087⟩
- Accès au bibtex
-
- titre
- Prediction of quad-tree partitioning for budgeted energy HEVC encoding
- auteur
- Alexandre Mercat, Florian Arrestier, Maxime Pelcat, Wassim Hamidouche, Daniel Menard
- article
- 2017 IEEE International Workshop on Signal Processing Systems (SiPS), Oct 2017, Lorient, France. ⟨10.1109/SiPS.2017.8110025⟩
- Accès au bibtex
-
- titre
- Statistical analysis of Post-HEVC encoded videos
- auteur
- A. Jallouli, F. Belghith, M.A. Ben Ayed, W. Hamidouche, Jean François Nezan, N. Masmoudi
- article
- 2017 IEEE International Workshop on Signal Processing Systems, SiPS 2017, Oct 2017, Lorient, France. pp.8110020, ⟨10.1109/SiPS.2017.8110020⟩
- Accès au bibtex
-
- titre
- Task-based execution of synchronous dataflow graphs for scalable multicore computing
- auteur
- G. Georgakarakos, S. Kanur, J. Lilius, Karol Desnos
- article
- 2017 IEEE International Workshop on Signal Processing Systems, SiPS 2017, Oct 2017, Lorient, France. pp. 8110023, ⟨10.1109/SiPS.2017.8110023⟩
- Accès au bibtex
-
- titre
- Microsensors developed on flexible substrates for the measurement of skin
- auteur
- Fatima Garcia-Castro, France Le Bihan, Nathalie . Coulon, Claude Simon
- article
- Colloque GDR International NAMIS, Oct 2017, Freiburg, Germany
- Accès au bibtex
-
- titre
- KALIDEOS Bretagne, dispositif scientifique pour l'écologie spatiale. De la caractérisation écologique au suivi des interactions hommes / milieux.
- auteur
- Thomas Houet, Laurence Hubert-Moy, Laurence Houpert, Jean Nabucet, Samuel Corgne, E. Pottier, Hervé Nicolas, Nicolas Bellec
- article
- Rencontres d’écologie du Paysage 2017, Oct 2017, Toulouse, France
- Accès au bibtex
-
- titre
- Model-driven reliability evaluation for MPSoC design
- auteur
- Tien Thanh Nguyen, Anthony Mouraud, Mathieu Thevenin, Gwenolé Corre, Olivier Pasquier, Sébastien Pillement
- article
- Conference on Design and Architectures for Signal and Image Processing, Sep 2017, Dresden, Germany. pp.8122115, ⟨10.1109/DASIP.2017.8122115⟩
- Accès au texte intégral et bibtex
-
- titre
- Demo WIFI-WiMax Vertical Handover on an ARM-FPGA Platform with Partial Reconfiguration
- auteur
- Mohamad-Al-Fadl Rihani, Jean-Christophe Prévotet, Fabienne Nouvel, Mohamad Mroue, Yasser Mohanna
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
- Accès au bibtex
-
- titre
- Novel RSSI-Based Techniques for Indoor Localization
- auteur
- Jinze Du, Jean-François Diouris, Yide Wang
- article
- IEEE Radio and Antenna Days of the Indian Ocean, Sep 2017, Cape Town, South Africa. Paper Id: R-2017-411
- Accès au bibtex
-
- titre
- Multipath-Aided Direct Path ToA Reconstruction for Integrated UWB Receivers in Generalized NLoS
- auteur
- J. Maceraudi, F. Dehmas, B. Denis, Bernard Uguen
- article
- 2017 IEEE 86th Vehicular Technology Conference (VTC-Fall), Sep 2017, Toronto, France. ⟨10.1109/VTCFall.2017.8288252⟩
- Accès au bibtex
-
- titre
- A Greedy Heuristic Algorithm for Context-Aware User Association and Resource Allocation in Heterogeneous Wireless Networks
- auteur
- Mohamad Zalghout, Jean-François Hélard, Matthieu Crussière, Samih Abdul-Nabi, Ayman Khalil
- article
- 86th IEEE Vehicular Technology Conference (VTC-Fall), Sep 2017, Toronto, Canada
- Accès au bibtex
-
- titre
- On the Joint Use of Time Reversal and POPS-OFDM for 5G Systems
- auteur
- Wafa Khrouf, Zeineb Hraiech, Fatma Abdelkefi, Mohamed Siala, Matthieu Crussière
- article
- VTC Fall IEEE 86th Vehicular Technology Conference, Sep 2017, Toronto, Canada
- Accès au texte intégral et bibtex
-
- titre
- Multi-Armed Bandit Learning in IoT Networks: Learning helps even in non-stationary settings
- auteur
- Rémi Bonnefoi, Lilian Besson, Christophe Moy, Emilie Kaufmann, Jacques Palicot
- article
- CROWNCOM 2017 - 12th EAI International Conference on Cognitive Radio Oriented Wireless Networks, Sep 2017, Lisbon, Portugal. pp.173-185, ⟨10.1007/978-3-319-76207-4_15⟩
- Accès au texte intégral et bibtex
-
- titre
- Compression efficiency of the emerging video coding tools
- auteur
- Naty Sidaty, Wassim Hamidouche, Pierrick Philippe, Olivier Deforges
- article
- 2017 IEEE International Conference on Image Processing (ICIP), Sep 2017, Beijing, China. ⟨10.1109/ICIP.2017.8296832⟩
- Accès au bibtex
-
- titre
- An adaptive perceptual quantization method for HDR video coding
- auteur
- Yi Liu, Naty Sidaty, Wassim Hamidouche, Olivier Déforges, Giuseppe Valenzise, Emin Zerman
- article
- IEEE International Conference on Image Processing (ICIP’2017), Sep 2017, Beijing, China. ⟨10.1109/icip.2017.8296437⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Filtered OFDM Waveform for Next Generation Air-to-Ground Communications
- auteur
- Niharika Agrawal, S. J. Darak, Faouzi Bader
- article
- 36th IEEE/AIAA Digital Avionics Systems Conference (DASC), Sep 2017, St Petersburg, United States. ⟨10.1109/dasc.2017.8102025⟩
- Accès au bibtex
-
- titre
- Distinction between Data Losses for Better Communications in IoT
- auteur
- Sofiane Hamrioui, Camil Adam Mohamed Hamrioui, Pascal Lorenz
- article
- International Conference on Advances in Computing, Communications and Informatics (ICACCI), Sep 2017, Manipal, India
- Accès au bibtex
-
- titre
- Comparison Between Single and Two-Antenna VNA System Used for Heartbeat Rate Extraction
- auteur
- Sarah El El-Samad, Dany Obeid, Gheorghe I. Zaharia, Sawsan Sadek, Ghaïs El Zein
- article
- International Conference on SENSORS, NETWORKS, SMART and EMERGING TECHNOLOGIES SENSET 2017, Lebanese University, University Institute of Technology., Sep 2017, Beirut, Lebanon
- Accès au texte intégral et bibtex
-
- titre
- Time Reversal Receive Antenna Shift Keying On MIMO LOS Channel
- auteur
- Ali Mokh, Yvan Kokar, Maryline Hélard, Matthieu Crussière
- article
- International Conference on Sensors, Networks, Smart and Emerging Technologies, Sep 2017, Beirut, Lebanon
- Accès au texte intégral et bibtex
-
- titre
- Power Estimation on FPGAs Based on Signal Information Propagation Through Digital Operators
- auteur
- Yehya Nasser, Jean-Christophe Prévotet, Maryline Hélard, J Lorandel
- article
- First International Conference on Sensors, Networks, Smart and Emerging Technologies (SENSET 2017), Sep 2017, Beyrouth, Lebanon
- Accès au texte intégral et bibtex
-
- titre
- Propagation and scattering in a ducting maritime environment from a fast method of moments
- auteur
- Christophe Bourlier
- article
- International Conference on Electromagnetics in Advanced Applications, Sep 2017, Verone, Italy. paper ID 119
- Accès au bibtex
-
- titre
- Scalar near-field focusing in lossy media
- auteur
- I. Iliopoulos, Benjamin Fuchs, R. Sauleau, P. Pouliguen, P. Potier, M. Ettorre
- article
- 19th International Conference on Electromagnetics in Advanced Applications, ICEAA 2017, Sep 2017, Verona, Italy. ⟨10.1109/ICEAA.2017.8065348⟩
- Accès au bibtex
-
- titre
- Nearest neighbor-density-based clustering methods for large hyperspectral images
- auteur
- Claude Cariou, Kacem Chehdi
- article
- Image and Signal Processing for Remote Sensing, Sep 2017, Warsaw, Poland. pp.19, ⟨10.1117/12.2278221⟩
- Accès au texte intégral et bibtex
-
- titre
- Output MSE and PSNR prediction in DCT-based lossy compression of remote sensing images
- auteur
- R.A. Kozhemiakin, S.K. Abramov, V.V. Lukin, B. Vozel, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXIII 2017, Sep 2017, Warsaw, Poland. pp. 2278002, ⟨10.1117/12.2278002⟩
- Accès au bibtex
-
- titre
- Linearly-polarized electronically reconfigurable transmitarray antenna with 2-bit phase resolution in Ka-band
- auteur
- F. Diaby, A. Clemente, L. Di Palma, L. Dussopt, K. Pham, E. Fourn, R. Sauleau
- article
- 19th International Conference on Electromagnetics in Advanced Applications, ICEAA 2017, Sep 2017, Verona, Italy. ⟨10.1109/ICEAA.2017.8065510⟩
- Accès au bibtex
-
- titre
- Experimental verification of optimal frequency range for microwave head imaging
- auteur
- M. Bjelogrlic, Benjamin Fuchs, J.-P. Thiran, J.R. Mosig, M. Mattes
- article
- 19th International Conference on Electromagnetics in Advanced Applications, ICEAA 2017, Sep 2017, Verona, Italy. ⟨10.1109/ICEAA.2017.8065430⟩
- Accès au bibtex
-
- titre
- Comparison between a 3D UTD model and near field chamber measurements in the presence of a dielectric circular cylinder
- auteur
- Eric Plouhinec, Bernard Uguen, S. Avrillon
- article
- 2017 IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications (APWC), Sep 2017, Verona, France. ⟨10.1109/APWC.2017.8062249⟩
- Accès au bibtex
-
- titre
- An overview of recent advances on Iterative Physical Optics
- auteur
- Gildas Kubicke, Antoine Thomet, Christophe Bourlier, Philippe Pouliguen
- article
- International Conference on Electromagnetics in Advanced Applications, Sep 2017, Verone, Italy. paper ID 161
- Accès au bibtex
-
- titre
- Mm-wave antennas and components: Profiting from 3D-printing
- auteur
- M. García-Vigueras, E. Menargues, T. Debogovic, J. Silva, A. Dimitriadis, S. Capdevila, J.R. Mosig, E. de Rijk
- article
- 19th International Conference on Electromagnetics in Advanced Applications, ICEAA 2017, Sep 2017, Verona, Italy. ⟨10.1109/ICEAA.2017.8065432⟩
- Accès au bibtex
-
- titre
- Towards fundamental limits of bursty multi-user communications in wireless networks
- auteur
- Jean-Marie Gorce, Philippe Mary, Jean-Marc Kélif
- article
- WITSME 2017 – The Tenth Workshop on Information Theoretic Methods in Science and Engineering, Sep 2017, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Analysis of signal-dependent sensor noise on JPEG 2000-compressed sentinel-2 multi-spectral images
- auteur
- M. Uss, B. Vozel, V. Lukin, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXIII 2017, Sep 2017, Warsaw, Poland. pp. 2278007, ⟨10.1117/12.2278007⟩
- Accès au bibtex
-
- titre
- Design of an UHF antenna insensitive to concrete characteristics
- auteur
- Théo Richard, Mohamed Latrach, Amine Ihamouten, Hartmut Gundel, Xavier Derobert
- article
- ENDE 2017, 22nd International Workshop on Electromagnetic Nondestructive Evaluation, Sep 2017, PARIS, France. 2p
- Accès au bibtex
-
- titre
- Manycore Embedded processors for portable, optimized and power efficient processing of methods for vision algorithms
- auteur
- Jean-Francois Nezan
- article
- European Machine Vision Forum 2017 (EMVF), Sep 2017, Viennes, Austria
- Accès au bibtex
-
- titre
- Mises en Veille Dynamiques pour Minimiser la Consommation d'Energie d'une Station de Base
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- Colloque GRETSI, Sep 2017, Juan-Les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Décomposition PAM d'un signal CPM avec encodage duo-binaire - Application à la modulation SOQPSK
- auteur
- Rami Othman, Yves Louët, Alexandre Skrzypczack
- article
- GRETSI, Sep 2017, Juan-Les-Pins, France
- Accès au bibtex
-
- titre
- Apprentissage machine pour l'optimisation énergétique des réseaux cellulaires hétérogènes sans-fil : une approche bandit à bras multiples
- auteur
- Navikkumar Modi, Philippe Mary, Christophe Moy
- article
- GRETSI, Sep 2017, Juan-Les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Réduction de l'impact de l'effet Doppler dans un système OFDM grâce au « massive MIMO »
- auteur
- Alexis Bazin, Bruno Jahan, Maryline Hélard
- article
- GRETSI 2017, Sep 2017, Juan-Les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Classification Aveugle de Modulation basée sur la Transformée non-linéaire de la mise à la Puissance M
- auteur
- Vincent Gouldieff, Steredenn Daumont, Jacques Palicot
- article
- Conférence GRETSI, Sep 2017, Juan-les-Pins, France
- Accès au bibtex
-
- titre
- PhD forum: Why TanH is a hardware friendly activation function for CNNs
- auteur
- K. Abdelouahab, Maxime Pelcat, F. Berry
- article
- 11th International Conference on Distributed Smart Cameras, ICDSC 2017, Sep 2017, Stanford, United States. ⟨10.1145/3131885.3131937⟩
- Accès au bibtex
-
- titre
- PhD Forum: Why TanH can be a Hardware Friendly Activation Function for CNNs
- auteur
- Kamel Abdelouahab, Maxime Pelcat, François Berry
- article
- Proceedings of the 11th International Conference on Distributed Smart Cameras - ICDSC 2017, Sep 2017, Stanford, CA, United States
- Accès au texte intégral et bibtex
-
- titre
- Compromis efficacités énergétique et spectrale du précodeur SLNR dans un réseau cellulaire aléatoire
- auteur
- Ahmad Mahbubul Alam, Philippe Mary, Jean-Yves Baudais, Xavier Lagrange
- article
- GRETSI, Sep 2017, Juan-Les-Pins, France
- Accès au texte intégral et bibtex
-
- titre
- Estimating radar cross-section of canonical targets in reverberation chamber
- auteur
- Philippe Besnier, J. Sol, S. Méric
- article
- 2017 International Symposium on Electromagnetic Compatibility - EMC EUROPE, EMC Europe 2017, Sep 2017, Angers, France. pp.8094795, ⟨10.1109/EMCEurope.2017.8094795⟩
- Accès au bibtex
-
- titre
- ARMHEx: A hardware extension for DIFT on ARM-based SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic power estimation based on switching activity propagation
- auteur
- Yehya Nasser, Jean-Christophe Prévotet, Maryline Hélard, J Lorandel
- article
- 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. pp.1 - 2, ⟨10.23919/FPL.2017.8056783⟩
- Accès au texte intégral et bibtex
-
- titre
- Crosstalk analysis of printed circuits with many uncertain parameters using sparse polynomial chaos metamodels
- auteur
- Mourad Larbi, I.S. Stievano, F.G. Canavero, Philippe Besnier
- article
- EMC EUROPE, Sep 2017, Angers, France
- Accès au bibtex
-
- titre
- Estimating radar cross-section of canonicals targets in reverberation chamber
- auteur
- Philippe Besnier, Jérôme Sol, Stéphane Meric
- article
- EMC EUROPE, Sep 2017, Angers, France
- Accès au bibtex
-
- titre
- Determination of the Coupling Model of Common Mode Chokes Using the TEM Cell
- auteur
- Marine Stojanovic, Frédéric Lafon, Richard Perdriau, Mohamed Ramdani
- article
- EMC Europe 2017, Sep 2017, Angers, France
- Accès au bibtex
-
- titre
- Expression analytique du spectre pour les signaux multiporteuses : Impact conjoint des non-linéarités de l’amplificateur de puissance et de la fonction de prédistorsion
- auteur
- Ali Cheaito, J.-F Helard, Matthieu Crussière, Yves Louet
- article
- GRETSI, Sep 2017, Juan-Les-Pins, France
- Accès au bibtex
-
- titre
- Aryl-Diazonium Functionalized Polycrystalline Silicon Nanoribbons Based Device for Lead Detection
- auteur
- Brice Le Borgne, Anne-Claire Salaün, Laurent Pichon, Florence Geneste
- article
- Eurosensors 2017, Sep 2017, Paris, France. pp.479, ⟨10.3390/proceedings1040479⟩
- Accès au bibtex
-
- titre
- Silicon Nanowires Based Resistors for Bacteria Detection
- auteur
- Brice Le Borgne, Anne-Claire Salaün, Laurent Pichon, Anne Jolivet-Gougeon, Sophie Martin, Regis Rogel, Olivier de Sagazan
- article
- Eurosensors 2017, Sep 2017, Paris, France. pp.496, ⟨10.3390/proceedings1040496⟩
- Accès au bibtex
-
- titre
- Dual gate microsensors and nanomaterials for chemical detection
- auteur
- Laetitia Donero, Laurent Le Brizoual, Abdel-Aziz El Mel, Pierre-Yves Tessier, France Le Bihan
- article
- Eurosensors 2017, Sep 2017, Paris, France. pp.478
- Accès au bibtex
-
- titre
- Multi-output speckle reduction filter for ultrasound medical images based on multiplicative multiresolution decomposition
- auteur
- Meriem Outtas, Lu Zhang, Olivier Déforges, Amina Serir, Wassim Hamidouche
- article
- ICIP, Sep 2017, Beijing, China
- Accès au bibtex
-
- titre
- Nanocarbon thin films, plasma functionnalization and process development of MOSFET biosensors
- auteur
- Eva Kovacevic, Cédric Pattyn, S Hussain, Johannes Berndt, Chantal Boulmer-Leborgne, Arnaud Stolz, Nadjib Semmar, Olivier Aubry, Abdel-Aziz El Mel, Laetitia Donero, Laurent Le Brizoual, France Le Bihan, Olivier de Sagazan, Brice Le Borgne, Valérie Quesniaux, Mohammed Boujtita, Pierre-Yves Tessier
- article
- Nanotec17, Carbon nanoscience and nanotechnology, Aug 2017, Nantes, France
- Accès au bibtex
-
- titre
- Performance analysis of similarity measures between multichannel optical and multipolarization radar images
- auteur
- M.L. Uss, V.V. Lukin, B. Vozel, K. Chehdi
- article
- 5th IEEE Microwaves, Radar and Remote Sensing Symposium, MRRS 2017, Aug 2017, Kiev, Ukraine. ⟨10.1109/MRRS.2017.8075039⟩
- Accès au bibtex
-
- titre
- Efficient parallel architecture for a real-time UHD scalable HEVC encoder
- auteur
- Ronan Parois, Wassim Hamidouche, Jérôme Viéron, Mickaël Raulet, Olivier Deforges
- article
- 2017 25th European Signal Processing Conference (EUSIPCO), Aug 2017, Kos, Greece. ⟨10.23919/EUSIPCO.2017.8081452⟩
- Accès au bibtex
-
- titre
- Performance Analysis of Time Diversity Combining with Frequency Offset for Cellular IoT
- auteur
- Louis-Adrien Dufrene, Matthieu Crussière, Jean-François Hélard, Jean Schwoerer
- article
- International Symposium on Wireless Communication Systems (ISWCS), Aug 2017, Bologna, Italy
- Accès au bibtex
-
- titre
- Near Field Targets Localization Using Bistatic MIMO System with Spherical Wavefront Based Model
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- European Signal Processing Conference (EUSIPCO 2017), Aug 2017, Kos Island, Greece. paper #1570338054
- Accès au bibtex
-
- titre
- Reducing Computational Complexity in HEVC Decoder for Mobile Energy Saving
- auteur
- Naty Sidaty, Julien Heulot, Wassim Hamidouche, Maxime Pelcat, Daniel Menard
- article
- European Signal Processing Conference (EUSIPCO 2017), Aug 2017, Nos Island, Greece
- Accès au bibtex
-
- titre
- ACCENTS: a Vision for D2D Communications within 5G Networks
- auteur
- Anael Bourrous, Lorenzo Iacobelli, Mylene Pischella, Rostom Zakaria, Aii Dziri, Alain Untersee, Olivier Rousset, Quentin Bodinier, Faouzi Bader
- article
- 2017 International Symposium on Wireless Communication Systems (ISWCS), Aug 2017, Bologna, Italy. pp.432-437, ⟨10.1109/iswcs.2017.8108154⟩
- Accès au bibtex
-
- titre
- Near Field Targets Localization Using Bistatic MIMO System with Symmetric Arrays
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- European Signal Processing Conference (EUSIPCO 2017), Aug 2017, Kos Island, Greece. paper #1570341775
- Accès au bibtex
-
- titre
- Corrugated (2 × 2) silicon platelets horn antenna array at 560 GHz
- auteur
- C. Lee, D. Gonzalez-Ovejero, M. Alonso-Delpino, T. Reck, A. Peralta, I. Mehdi, G. Chattopadhyay
- article
- 42nd International Conference on Infrared, Millimeter, and Terahertz Waves, IRMMW-THz 2017, Aug 2017, Paris, France. ⟨10.1109/IRMMW-THz.2017.8066916⟩
- Accès au bibtex
-
- titre
- Microwave and radiofrequency dielectric properties of oxynitride perovskite thin films
- auteur
- Claire Le Paven, Florent Marlec, Ratiba Benzerga, Laurent Le Gendre, A. Ferri, Didier Fasquelle, Vincent Laur, Franck Tessier, François Cheviré, Sébastien Saitzek, Rachel Desfeux, Xavier Castel, Ala Sharaiha
- article
- 9th International Symposium on Nitrides and Related Materials (ISNT2017), Aug 2017, Sapporo, Japan
- Accès au bibtex
-
- titre
- High T/R isolation and phase-noise suppression in millimeter wave FMCW radars
- auteur
- R.R. Monje, K.B. Cooper, R.J. Dengler, T.O.E. Bouayadi, D. Gonzalez-Ovejero
- article
- 42nd International Conference on Infrared, Millimeter, and Terahertz Waves, IRMMW-THz 2017, Aug 2017, Paris, France. ⟨10.1109/IRMMW-THz.2017.8066921⟩
- Accès au bibtex
-
- titre
- Real-Time Selective Encryption Solution based on ROI for MPEG-A Visual Identity Management AF
- auteur
- Cyril Bergeron, Naty Sidaty, Wassim Hamidouche, Benoit Boyadjis, Jean Le Feuvre, Lim Youngkwon
- article
- Digital Signal Processing (DSP2017), Aug 2017, Londres, United Kingdom. ⟨10.1109/icdsp.2017.8096144⟩
- Accès au bibtex
-
- titre
- Blind Automatic Modulation Classification in Multipath Fading Channels
- auteur
- Vincent Gouldieff, Jacques Palicot, Steredenn Daumont
- article
- IEEE Digital Signal Processing Conference (DSP), Aug 2017, London, United Kingdom. ⟨10.1109/icdsp.2017.8096116⟩
- Accès au bibtex
-
- titre
- Highly resilient crystalised silicon for flexible sensors and electronic devices
- auteur
- T. Mohammed-Brahim, Nathalie . Coulon, C. Simon, Emmanuel Jacques, K. Kandoussi, S. Janfaoui, H. Dong, Y. Kervran
- article
- 27th international conference on Amorphous and Nanocrystalline Seminconductors (ICANS27), Aug 2017, SEOUL, South Korea
- Accès au bibtex
-
- titre
- Probabilistic Caching Strategy in Collaborative Small Cell Networks
- auteur
- Yifan Zhou, Yves Louët, Honggang Zhang
- article
- 2017 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2017, Montreal, Canada. ⟨10.23919/ursigass.2017.8105370⟩
- Accès au bibtex
-
- titre
- Proof-of-Concept: Spectrum and Energy Efficient Multi-User CR Network via Vacancy and Quality based Channel Selection
- auteur
- Navikkumar Modi, Philippe Mary, Christophe Moy, Sumit J. Darak
- article
- 32nd URSI GASS, Aug 2017, Montreal, Canada
- Accès au texte intégral et bibtex
-
- titre
- Sub-sampling of channels with time and frequency sparsity access
- auteur
- Yves Louët, Vincent Savaux, Apostolos Kountouris, Christophe Moy
- article
- 2017 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2017, Montreal, Canada. ⟨10.23919/ursigass.2017.8105408⟩
- Accès au bibtex
-
- titre
- Backing to Single Carrier in Tera Hertz Communications for Green Considerations
- auteur
- Jacques Palicot, Faouzi Bader
- article
- XXXII International Union of Radio Science General Assembly & Scientific Symposium (URSI), Aug 2017, Montréal, Canada. pp.19 - 26, ⟨10.23919/ursigass.2017.8105038⟩
- Accès au texte intégral et bibtex
-
- titre
- Small broadband patch antenna design for L-band applications
- auteur
- Mohamed Latrach, Saiful Islam
- article
- 2017 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2017, Montreal, Canada. ⟨10.23919/URSIGASS.2017.8105242⟩
- Accès au bibtex
-
- titre
- Framework for Hierarchical and Distributed Smart Grid Management
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- XXXIInd International Union of Radio Science General Assembly & Scientific Symposium (URSI GASS), URSI, Aug 2017, Montreal, Canada. ⟨10.23919/ursigass.2017.8104500⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a wideband superdirective endfire antenna array using characteristic modes optimization
- auteur
- Hussein Jaafar, Ala Sharaiha, Sylvain Collardey
- article
- 2017 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2017, Montreal, France. ⟨10.23919/URSIGASS.2017.8105321⟩
- Accès au bibtex
-
- titre
- Low-PAPR condition for 5G-candidate waveforms
- auteur
- Mouna Ben Mabrouk, Marwa Chafii, Yves Louët, Carlos Faouzi Bader
- article
- 2017 XXXIInd General Assembly and Scientific Symposium of the International Union of Radio Science (URSI GASS), Aug 2017, Montreal, Canada. ⟨10.23919/ursigass.2017.8105386⟩
- Accès au bibtex
-
- titre
- Broadband rectenna for ambient RF energy harvesting applications
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- XXXIIe URSI GENERAL ASSEMBLY & SCIENTIFIC SYMPOSIUM (URSI 2017 GASS), Aug 2017, Montréal, Canada
- Accès au bibtex
-
- titre
- Analysis of Duobinary Encoding for CPM Signals
- auteur
- Rami Othman, Yves Louët, Alexandre Skrzypczack
- article
- URSI General Assembly and Symposium 2017, URSI, Aug 2017, Montréal, France
- Accès au bibtex
-
- titre
- Similarity criterion for SAR tomography over dense urban area
- auteur
- Clément Rambour, Loïc Denis, Florence Tupin, Jean Marie Nicolas, Hélène Oriot, Laurent Ferro-Famil, Charles-Alban Deledalle
- article
- IEEE IGARSS, Jul 2017, Fort Worth (TX), United States. ⟨10.1109/IGARSS.2017.8127315⟩
- Accès au bibtex
-
- titre
- SAR tomography from bistatic single-pass interferometers
- auteur
- S. Tebaldini, Laurent Ferro-Famil
- article
- 37th Annual IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2017, Jul 2017, Fort Worth, United States. pp.133-136, ⟨10.1109/IGARSS.2017.8126912⟩
- Accès au bibtex
-
- titre
- First demonstration of space-borne Tomosar using Terrasar-x/Tandem-x Full-polarimetric acquisitions
- auteur
- U. Khati, Laurent Ferro-Famil, G. Singh
- article
- 37th Annual IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2017, Jul 2017, Fort Worth, United States. pp.5275-5276, ⟨10.1109/IGARSS.2017.8128192⟩
- Accès au bibtex
-
- titre
- Assessment of SAOCOM CS data processing for the characterization of forested areas using polarimetric SAR tomography
- auteur
- Laurent Ferro-Famil, Yue Huang, S. Tebaldini, M. Azcueta
- article
- 37th Annual IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2017, Jul 2017, Fort Worth, United States. pp.2468-2471, ⟨10.1109/IGARSS.2017.8127493⟩
- Accès au bibtex
-
- titre
- Motion control analysis of two magnetic microrobots using the combination of magnetic gradient and oscillatory magnetic field
- auteur
- L. Mellal, D. Folio, K. Belharet, A. Ferreira
- article
- 1st International Conference on Manipulation, Automation and Robotics at Small Scales, MARSS 2017, Jul 2017, Nagoya, Japan. ⟨10.1109/MARSS.2017.8001917⟩
- Accès au bibtex
-
- titre
- Relaxed Subgraph Execution Model for the Throughput Evaluation of IBSDF Graphs
- auteur
- Hamza Deroui, Karol Desnos, Jean-François Nezan, Alix Munier-Kordon
- article
- International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Jul 2017, SAMOS, Greece. ⟨10.1109/SAMOS.2017.8344630⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of a heterogeneous multi-core, multi-hw-accelerator-based system designed using PREESM and SDSoC
- auteur
- L. Suriano, A. Rodriguez, Karol Desnos, Maxime Pelcat, E. de La Torre
- article
- 12th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2017, Jul 2017, Madrid, Spain. ⟨10.1109/ReCoSoC.2017.8016151⟩
- Accès au bibtex
-
- titre
- Ferroelectric oxide thin films for reconfigurable microwave devices: a focus on growth, characterization and integration of thin films in the (K,Na) - (Ta,Nb) - O system
- auteur
- Maryline Guilloux-Viry, Barthélemy Aspe, Fatou Cissé, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Sophie Ollivier, Ronan Sauleau, Xavier Castel
- article
- ROCAM 2017, ‘The 9th International Conference on Advanced Materials’, Jul 2017, Bucarest, Romania. 1 pp
- Accès au bibtex
-
- titre
- Dynamic Pricing for Local Energy Management : Towards a Better Integration of Local Production
- auteur
- Alexandre Muller, Romain Bourdais
- article
- 20th IFAC Wolrd Congress, Jul 2017, Toulouse, France. pp.6749-6754, ⟨10.1016/j.ifacol.2017.08.1174⟩
- Accès au bibtex
-
- titre
- Projected Alternating Direction Method of Multipliers for Hybrid Systems
- auteur
- Chengang Feng, Romain Bourdais
- article
- IFAC World Congress, Jul 2017, Toulouse, France. pp.8753-8758
- Accès au bibtex
-
- titre
- Hierarchical Dataflow Model for Efficient Programming of Clustered Manycore Processors
- auteur
- Julien Hascoët, Karol Desnos, Jean-François Nezan, Benoît Dupont de Dinechin
- article
- 28th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 2017), Jul 2017, Seattle, WA, United States
- Accès au texte intégral et bibtex
-
- titre
- Inter-Layer Interactions in Hierarchical MPC for Building Energy Management Systems
- auteur
- Amanda Abreu, Romain Bourdais, Hervé Guéguen
- article
- IFAC Wolrd Congress, Jul 2017, Toulouse, France. pp.12533-12538
- Accès au bibtex
-
- titre
- Fast Antenna Testing via Regularization Procedures based on Compressive Sensing
- auteur
- Benjamin Fuchs, Laurent Le Coq
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Miniaturized Tunable Artificial Magnetic Conductor for Low LTE Band
- auteur
- Nebil Kristou, Jean-Francois Pintos, Kourosh Mahdjoubi
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au texte intégral et bibtex
-
- titre
- Continuous Beam Steering Antenna with Large 2D Coverage for 5G Applications
- auteur
- Karim Tekkouk, Jiro Hirokawa, Makoto Ando, Ronan Sauleau
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- The DREM Approach for Chaotic Oscillators Parameter Estimation with Improved Performance
- auteur
- Vladislav Gromov S., Oleg Borisov I., Anton Pyrkin, Alexey Bobtsov, Sergey Kolyubin, Stanislav Aranovskiy
- article
- Preprints of the 20th World Congress The International Federation of Automatic Control, Jul 2017, Toulouse, France
- Accès au bibtex
-
- titre
- Analysis of the Radiating Properties of Endfire 1-D Leaky-Wave Antennas
- auteur
- Walter Fuscaldo, David R. Jackson, Alessandro Galli
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- 3D Printed Feed-Chain and Antenna Components
- auteur
- Esteban Menargues, Maria Garcia-Vigueras, Tomislav Debogovic, Santiago Capdevila, Alexandros I. Dimitriadis, Emile de Rijk, Juan R. Mosig
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Frequency estimation of a sinusoidal signal with time-varying amplitude
- auteur
- Alexey Vedyakov A., Anastasiia Vediakova O., Alexey Bobtsov, Anton Pyrkin, Stanislav Aranovskiy
- article
- Preprints of the 20th World Congress The International Federation of Automatic Control, Jul 2017, Toulouse, France
- Accès au bibtex
-
- titre
- An LTCC Beam-switching Antenna with High Beam Overlap for 60-GHz Mobile Access Points
- auteur
- Francesco Foglia Manzillo, Maciej Smierzchalski, Mauro Ettorre, Jouko Aurinsalo, Kari T. Kautio, Markku S. Lahti, Antti E. I. Lamminen, Jussi Saily, Ronan Sauleau
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Adaptive Tracking of a Multi-Sinusoidal Signal with DREM-Based Parameters Estimation
- auteur
- Oleg V. Borisov, Vladislav Gromov S., Alexey Vedyakov A., Anton Pyrkin, Alexey Bobtsov, Stanislav Aranovskiy
- article
- Preprints of the 20th World Congress The International Federation of Automatic Control, Jul 2017, Toulouse, France
- Accès au bibtex
-
- titre
- Super-Directive, Efficient, Electrically Small, Low-Profile Antenna based on Compact Metamaterial
- auteur
- Nebil Kristou, Jean-Francois Pintos, Kouroch Mahdjoubi
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Nondiffracting Waves Criteria for Designing X-wave Launchers
- auteur
- Walter Fuscaldo, Santi C. Pavone, Davide Comite, Guido Valerio, Matteo Albani, Mauro Ettorre, Alessandro Galli
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Nondiffracting waves: Criteria for designing X-wave launchers
- auteur
- Walter Fuscaldo, Santi Concetto Pavone, Davide Comite, Guido Valerio, Matteo Albani, Mauro Ettorre, Alessandro Galli
- article
- Conference APS/URSI 2017 , Jul 2017, San Diego (Californie), United States. ⟨10.1109/APUSNCURSINRSM.2017.8072067⟩
- Accès au bibtex
-
- titre
- Circuit-based synthesis of a reflectarray
- auteur
- Alexandre Grossetete, Erwan Fourn, Raphaël Gillard, Maria Garcia-Vigueras
- article
- IEEE International Symposium on Antennas and Propagation, Jul 2017, San Diego, United States
- Accès au texte intégral et bibtex
-
- titre
- Long Slot Van Atta Array for Far-Field Wireless Power Transfer
- auteur
- Mauro Ettorre, Waleed A. Alomar, Anthony Grbic
- article
- International Symposium of IEEE-Antennas-and-Propagation-Society / USNC/URSI National Radio Science Meeting, Jul 2017, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Development of inkjet printed N-Type organic field-effect transistor with morphology control of insulating layer
- auteur
- S.J. Moon, M. Robin, K. Wenlin, T. Mohammed-Brahim, E. Jacques, M. Harnois, B.S. Bae
- article
- 24th International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD 2017, Jul 2017, Kyoto, Japan
- Accès au bibtex
-
- titre
- Evaporation duct profiles from the Tropical Air-Sea Propagation Study
- auteur
- George Ford, Martin Veasey, Helen Rance, Sally Garrett, Jacques Claverie
- article
- IEEE AP-S and URSI Symposium, Jul 2017, San Diego, United States
- Accès au bibtex
-
- titre
- Assessment of an analytical expression for an evaporation duct refractivity profile
- auteur
- Jacques Claverie, Yvonick Hurtaud
- article
- IEEE AP-S and URSI Symposium, Jul 2017, San Diego, United States
- Accès au bibtex
-
- titre
- Comparing Methods for Parameter Estimation of the Gompertz Tumor Growth Model
- auteur
- Spyridon Patmanidis, Alexandros C. Charalampidis, Ioannis Kordonis, Georgios D. Mitsis, George P. Papavassilopoulos
- article
- 20th IFAC World Congress, Jul 2017, Toulouse, France. pp.12203 - 12209, ⟨10.1016/j.ifacol.2017.08.2289⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast filtering-based temporal saliency detection using minimum barrier distance
- auteur
- Qiong Wang, Lu Zhang, Kidiyo Kpalma
- article
- ICME2017W, Jul 2017, Hong Kong, China. ⟨10.1109/ICMEW.2017.8026330⟩
- Accès au bibtex
-
- titre
- Functional properties improvement of electro-optic polymers by loading them with nanoparticles
- auteur
- Yahya Ouellaf, Mohammed El Gibari, Patricia Bertoncini, Emmanuelle Abisset-Chavanne, Olivier Chauvet, Hong Wu Li
- article
- French-Australian Friendly: Advanced Materials Workshop & Journée Scientifique du programme SPEED, Jun 2017, Le Mans, France
- Accès au bibtex
-
- titre
- Collision Target Detection Using a Single Antenna for Automotive RADAR
- auteur
- Souleymane Abakar Issakha, Francois Vincent, Laurent Ferro-Famil, Frantz Bodereau
- article
- International Radar Symposium (IRS) 2017, Jun 2017, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- Heliostat aiming points optimization for Concentrated Solar Power plant
- auteur
- Damien Faille, Pierre Haessig
- article
- 60th Annual ISA Power Industry Division Symposium (POWID 2017), Jun 2017, Cleveland, OH, United States
- Accès au texte intégral et bibtex
-
- titre
- Assessing hypertemporal SENTINEL-1 COHERENCE maps for LAND COVER monitoring
- auteur
- C. Notarnicola, A. Jacob, R. Sonnenschein, J.J. Mallorqui, C. López-Martinez, D. Ziolkowski, A. Hoscilo, K. Dabrowska-Zielinska, Z. Bochenek, E. Pottier, M. Lavalle, J.M. Lopez-Sanchez, M. Engdahl, F. Vicente-Guijalba, J. Duro
- article
- 9th International Workshop on the Analysis of Multitemporal Remote Sensing Images, MultiTemp 2017, Jun 2017, Bruges, Belgium. ⟨10.1109/Multi-Temp.2017.8035240⟩
- Accès au bibtex
-
- titre
- Terrain Correction Methods For Multi-dimensional SAR Data Applied To Forest Above Ground Biomass Estimation
- auteur
- Erxue Chen, Zengyuan Li, Zhao Lei, Wen Hong, Laurent Ferro-Famil
- article
- 2017 ESA NRSCC Dragon 4 symposium, Jun 2017, Copenhagen, Denmark
- Accès au bibtex
-
- titre
- Cooperative Robust Geometric Positioning Algorithm in Wireless Networks
- auteur
- K. Hedhly, M. Laaraiedh, F. Abdelkefi, M. Siala, Bernard Uguen, N. Amiot
- article
- 13th IEEE International Wireless Communications and Mobile Computing Conference, IWCMC 2017, Jun 2017, Valencia, Spain. ⟨10.1109/IWCMC.2017.7986365⟩
- Accès au bibtex
-
- titre
- Silicon deformation sensors fabricated directly on flexible substrate
- auteur
- T. Mohammed-Brahim, Nathalie . Coulon, Claude Simon, K. Kandoussi, S. Janfaoui, Dong H, Y. Kervran
- article
- 15st NAMIS Workshop Nano/Micro System for Health and Safety, June 26-28,2017, Organized by Korea Institue of Machinery and Materials (KIMM), , Jun 2017, Daejeon, South Korea
- Accès au bibtex
-
- titre
- Knowledge and know-how in microelectronics; strategy of innovative practice to balance the new on-line courses approach
- auteur
- Olivier Bonnaud
- article
- International Conference on Advances in Technology Engineering (ICATI'2017), IMETI, Jun 2017, Samui Island, Thailand
- Accès au bibtex
-
- titre
- Statistical Information Propagation Across Operators for Dynamic Power Estimation on FPGAs
- auteur
- Yehya Nasser, Jean-Christophe Prévotet, Maryline Hélard, J Lorandel
- article
- 12ème Colloque du GDR SoC/SiP, A Bordeaux du 14 au 16 Juin 2017, Jun 2017, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Blind evaluation of noise characteristics in multi-channel images exploiting inter-channel correlation
- auteur
- Victoriya Abramova, Sergey Abramov, Vladimir Lukin, Igor Djurovic, Marko Simeunovic, Benoit Vozel
- article
- 2017 6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, France. pp.1-5, ⟨10.1109/MECO.2017.7977182⟩
- Accès au bibtex
-
- titre
- Long-Range Antenna Systems for In-Body Biotelemetry: Design Methodology and Characterization Approach
- auteur
- Denys Nikolayev, Maxim Zhadobov, Karban Pavel, Ronan Sauleau
- article
- EMBEC & NBC 2017, Jun 2017, Tampere, Finland. pp.233-236, ⟨10.1007/978-981-10-5122-7_59⟩
- Accès au texte intégral et bibtex
-
- titre
- A reliable delivery of HbbTV based Push-VoD services over DVB networks using RS codes
- auteur
- F. Mattoussi, Jean-François Hélard, Gheorghe Zaharia, Matthieu Crussière
- article
- 12th IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, BMSB 2017, Jun 2017, Cagliari, Italy. ⟨10.1109/BMSB.2017.7986132⟩
- Accès au bibtex
-
- titre
- Using renewable energies to teach the basics of electricity. Example of the N-air-J project
- auteur
- Raynald Seveno, Saber Morsli, Aude Pichon, Eric Tanguy
- article
- EAEEIE 2017, Jun 2017, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- IDEFI-FINMINA: a French educative project for the awareness, innovation and multidisciplinarity in microelectronics
- auteur
- Olivier Bonnaud, Ahmad Bsiesy, Laurent Fesquet, Béatrice Pradarelli
- article
- 27th European Association for Education in Electrical and Information Engineering Annual Conference (EAEEIE 2017), Jun 2017, Grenoble, France. ⟨10.1109/EAEEIE.2017.8768621⟩
- Accès au bibtex
-
- titre
- DroneJack: Kiss your drones goodbye!
- auteur
- Guillaume Fournier, Paul Audren de Kerdrel, Pascal Cotret, Valérie Viet Triem Tong
- article
- SSTIC 2017 - Symposium sur la sécurité des technologies de l'information et des communications, Jun 2017, Rennes, France. pp.1-8
- Accès au texte intégral et bibtex
-
- titre
- A low latency algorithm for efficient PAPR reduction for DVB-T2 and ATSC 3.0 broadcast
- auteur
- Sri Satish Krishna Chaitanya Bulusu, Matthieu Crussière, J.-F. Hielard, R. Mounzer, Y. Nasser
- article
- 12th IEEE International Symposium on Broadband Multimedia Systems and Broadcasting, BMSB 2017, Jun 2017, Cagliari, Italy. ⟨10.1109/BMSB.2017.7986175⟩
- Accès au bibtex
-
- titre
- Direct Hardware Mapping of CNNs on FPGA-Based Smart Cameras
- auteur
- Kamel Eddine Abdelouahab, Maxime Pelcat, Jocelyn Sérot, François Berry, Jean-Charles Quinton
- article
- Workshop on Architecture of Smart Cameras, Jun 2017, Cordoba, Spain
- Accès au bibtex
-
- titre
- Exposure to a resonant wireless power transfer system around 10 MHz
- auteur
- Mohsen Koohestani, Mauro Ettorre, Yves Le Dréan, Maxim Zhadobov
- article
- BioEM 2017, Jun 2017, Hangzhou, China
- Accès au bibtex
-
- titre
- Quality Assessment Based on PCJO for Low-dose CT Images
- auteur
- Tianjie Xu, Lu Zhang, Yang Chen, Shu Huazhong, Limin M. Luo
- article
- International Conference on Fully Three-Dimensional Image Reconstruction in Radiology and Nuclear Medicine (Fully3D), Jun 2017, Xi'an, China
- Accès au bibtex
-
- titre
- Evaluation of single-artifact based video quality metrics in video communication context
- auteur
- I. Saidi, Lu Zhang, V. Barriac, O. Deforges
- article
- 9th International Conference on Quality of Multimedia Experience, QoMEX 2017, May 2017, Erfurt, Germany. ⟨10.1109/QoMEX.2017.7965683⟩
- Accès au bibtex
-
- titre
- Zeolite-based Thermal Mass Gas Sensor with Self-Identification Algorithm
- auteur
- Matthieu Denoual, Mathieu Pouliquen, Corentin Jorel, Constantin Radu, Didier Robbes, Julien Grand, Awala Hussein, Svetlana Mintova, Maxime Harnois, Olivier de Sagazan, Inoue Shue, Eric Lebrasseur, Kenshiro Yamada, Yuki Okamoto, Agnès Mita-Tixier, Yoshio Mita
- article
- DTIP2017, Design, Test, Integration & Packaging of MEMS/MOEMS, May 2017, Bordeaux, France
- Accès au bibtex
-
- titre
- Emerging video coding performance: 4K quality monitoring
- auteur
- N. Sidaty, W. Hamidouche, O. Deforges, P. Philippe
- article
- 9th International Conference on Quality of Multimedia Experience, QoMEX 2017, May 2017, Erfurt, Germany. ⟨10.1109/QoMEX.2017.7965664⟩
- Accès au bibtex
-
- titre
- Throughput Evaluation of DSP Applications based on Hierarchical Dataflow Models
- auteur
- Hamza Deroui, Karol Desnos, Jean-François Nezan, Alix Munier-Kordon
- article
- International Symposium on Circuits and Systems (ISCAS), May 2017, Baltimore, United States. ⟨10.1109/ISCAS.2017.8050774⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenna array receiver for television by satellite
- auteur
- Tchanguiz Razban, Amal Harrabi, Yann Mahé
- article
- Progress In Electromagnetics Research Symposium (PIERS 2017), May 2017, St Petersburg, Russia
- Accès au bibtex
-
- titre
- KNN perovskite thin films grown by PLD for tunable microwave devices: influence of the deposition parameters
- auteur
- Barthélemy Aspe, Fatou Cissé, Xavier Castel, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Sophie Ollivier, Ronan Sauleau, Maryline Guilloux-Viry
- article
- E-MRS 2017 Spring Meeting “European Material Research Society”, May 2017, Strasbourg, France. 1 pp
- Accès au bibtex
-
- titre
- Recycled glass foams for high power microwave terminations
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Guillaume Lanöe, Patrick Queffelec, Ala Sharaiha
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Realization of flexible piezoelectric thin film on polymer substrate
- auteur
- Thibault Dufay, Raynald Seveno, Jean-Christophe Thomas, Benoit Guiffard
- article
- EMRS 2017, May 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- Polymer resonators for glucose sensing and milk sphingomyelin gel/fluid phase transition detection
- auteur
- Qingyue Li, Véronique Vie, Rigoberto Castro-Beltran, Hervé Lhermite, Etienne Gaviot, Alain Moréac, Denis Morineau, Claire Bourlieu-Lacanal, Didier Dupont, Ludovic Frein, Bruno Bêche
- article
- Progress In Electromagnetics Research Symposium - PIERS 2017, May 2017, St Petersbourg, Russia. pp.156
- Accès au texte intégral et bibtex
-
- titre
- Microwave and radio-frequency dielectric properties of oxynitride perovskite thin films
- auteur
- Claire Le Paven, Florent Marlec, Ratiba Benzerga, Laurent Le Gendre, A. Ferri, Didier Fasquelle, Vincent Laur, Franck Tessier, François Cheviré, Xavier Castel, Ala Sharaiha
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Strontium and tantalum based ferroelectric perovskite oxide materials
- auteur
- Florent Marlec, Claire Le Paven, François Cheviré, Laurent Le Gendre, Ratiba Benzerga, Benoit Guiffard, T. Dufay, Franck Tessier, Ala Sharaiha
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Process development for novel low temperature plasma synthesized nanocarbon–based biosensors
- auteur
- Eva Kovacevic, Cedric Pattyn, Johannes Berndt, Chantal Boulmer-Leborgne, Arnaud Stolz, Anne-Lise Thomann, Nadjib Semmar, Olivier Aubry, L. Donero, Abdel-Aziz El Mel, P.Y. Tessier, L. Le Brizoual, F. Le Bihan, Olivier de Sagazan, Brice Le Borgne, Valérie Quesniaux, M. Boujtita
- article
- EMRS 2017, May 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- New Macrocell Downlink Energy Consumption Minimization with Cell DTx and Power Control
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- IEEE International Conference on Communications (ICC 2017), May 2017, Paris, France. ⟨10.1109/ICC.2017.7997008⟩
- Accès au texte intégral et bibtex
-
- titre
- A New Lower Bound on the Ergodic Capacity of Optical MIMO Channels
- auteur
- Rémi Bonnefoi, Kevin Nadaud
- article
- 2017 IEEE International Conference on Communications (ICC), May 2017, Paris, France. ⟨10.1109/icc.2017.7996690⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation des Modes Caractéristiques pour la Conception d'Antennes Électriquement Petites Large Bande
- auteur
- Hussein Jaafar, Sylvain Collardey, Dominique Lemur, Abdullah Haskou, Ala Sharaiha
- article
- Journées Nationales Microondes, May 2017, St-Malo, France
- Accès au bibtex
-
- titre
- Matériaux hybrides à base de métamatériaux pour l'amélioration des performances des absorbants pyramidaux
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- 20èmes Journées Nationales Microondes (JNM 2017), May 2017, Rennes, France
- Accès au bibtex
-
- titre
- Synthèse de filtres DBR bi-bandes à stubs en circuit-ouvert avec prise en compte des paramètres de pente
- auteur
- Erwan Fourn, Antoine Calleau, Cédric Quendo, Jean-François Favennec, Eric Rius
- article
- XXèmes Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Réseau circulaire compact de quatre antennes à base de métasurface
- auteur
- Loïc Bernard, Laura Garcia-Gamez, Mario Martinis, Ronan Sauleau, Sylvain Collardey, Kouroch Mahdjoubi, Patrick Potier, Philippe Pouliguen, H Covic
- article
- Journées Nationales Microondes, May 2017, St-Malo, France
- Accès au bibtex
-
- titre
- Analysis of a printed circuit board with many uncertain variables by sparse polynomial chaos
- auteur
- M. Larbi, I.S. Stievano, F.G. Canavero, Philippe Besnier
- article
- 2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF, Microwave, and Terahertz Applications, NEMO 2017, May 2017, Nagoya, Japan. ⟨10.1109/NEMO.2017.7964274⟩
- Accès au bibtex
-
- titre
- ARMHEx: embedded security through hardware-enhanced information flow tracking
- auteur
- Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
- article
- RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
- Accès au texte intégral et bibtex
-
- titre
- Antenne miniature en cavité circulaire à base de métasurface
- auteur
- Laura García-Gámez, Mario Martinis, Loïc Bernard, Ronan Sauleau, Kouroch Mahdjoubi, Sylvain Collardey, Philippe Pouliguen, Patrick Potier
- article
- Journées Nationales Microondes, May 2017, St-Malo, France
- Accès au bibtex
-
- titre
- A Precoding-based PAPR Reduction Technique for UF-OFDM and Filtered-OFDM Modulations in 5G Systems
- auteur
- Mouna Ben Mabrouk, Marwa Chafii, Yves Louet, Carlos Faouzi Bader
- article
- European Wireless 2017, May 2017, Dresden, Germany
- Accès au bibtex
-
- titre
- Numerical analysis of modulated metasurface antennas using Fourier-Bessel basis functions
- auteur
- M. Bodehou, D. Gonzalez-Ovejero, C. Craeye, I. Huynen
- article
- 2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF, Microwave, and Terahertz Applications, NEMO 2017, May 2017, Nagoya, Japan. ⟨10.1109/NEMO.2017.7964219⟩
- Accès au bibtex
-
- titre
- Amélioration de la directivité d'une antenne OAM à l'aide d'une cavité Fabry-Perot
- auteur
- W. Wei, K. Mahdjoubi, C. Brousseau, O. Emile
- article
- XXèmes Journées Nationales Microondes, May 2017, Saint Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Conception et réalisation de dispositifs hyperfréquences agiles en bande Ku, imprimés sur couches minces de KxNa1-xNbO3
- auteur
- Fatou Cissé, Barthélemy Aspe, Xavier Castel, Ronan Sauleau, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JNM 2017 “20èmes Journées Nationales Microondes”, May 2017, Saint-Malo, France. 4 pp
- Accès au bibtex
-
- titre
- Antenne Multifonction à Modulation Directe et Agilité de Faisceau
- auteur
- Samir Ouedraogo, Israel Hinostroza, Régis Guinvarc'H, Raphaël Gillard
- article
- JNM2017, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- Conception d'un Réseau d'Antennes Dipôles pour la Radiolocalisation de Téléphones Portables à Partir de Drones
- auteur
- Imen Ben Trad, Jean Marie Floc’h, Anne Ferreol, P. Thaly
- article
- JNM 2017, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- Antenne multifaisceaux réalisée avec un formateur de faisceaux à lentille à retard continu en guide d’onde à plaques parallèles
- auteur
- S. Tubau, Hervé Legay, Etienne Girard, Jean-Philippe Fraysse, Renaud Chiniard, Cheikh Dieylar Diallo, Ronan Sauleau, Mauro Ettorre, N.J.G. Fonseca
- article
- Vingtièmes Journées Nationales Micro-ondes, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- Vers la synthèse analytique d'un panneau réflecteur
- auteur
- A Grossetête, Erwan Fourn, Raphaël Gillard, Maria Garcia Vigueras
- article
- Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Miniaturisation d'une antenne monopole large bande utilisant des matériaux magnéto-diélectriques en bande VHF
- auteur
- Aladin Kabalan, David Souriou, Anne-Claude Tarot, Jean-Luc Mattei, Alexis Chevalier, Patrick Queffelec, Vassidiki Doumouya
- article
- 20° Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au bibtex
-
- titre
- Sur l’utilisation de l’optimisation convexe pour la formation du champ proche électromagnétique
- auteur
- Ioannis Iliopoulos, Benjamin Fuchs, Ronan Sauleau, Philippe Pouliguen, Patrick Potier, Mauro Ettorre
- article
- Vingtièmes Journées Nationales Micro-ondes, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- Mesure de surface équivalente radar de cibles élémentaires en chambre réverbérante : résultats préliminaires
- auteur
- Philippe Besnier, Jérôme Sol, Stéphane Meric
- article
- Journées Nationales Microondes, May 2017, St Malo, France
- Accès au bibtex
-
- titre
- Approche de découplage des réseaux d'antennes superdirectifs
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Conception d'antenne compacte à Grand Gain en utilisant des structures Méta-Surfaces
- auteur
- Bilal El Jaafari, Jean Marie Floc’h
- article
- JNM 2017, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- Influence de la puissance incidente sur les propriétés diélectriques de couches minces de BaSrTiO3
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Areski Ghalem, Aurelian Crunteanu, Laure Huitema, Frédéric Dumas-Bouchiat, Pascal Marchet, Corinne Champeaux, Hartmut W. Gundel
- article
- 20èmes Journées Nationales Micro-Ondes JNM 2017, 16-19 mai 2017 Saint-Malo, France, May 2017, Saint-Malo, France
- Accès au bibtex
-
- titre
- Amélioration de la résolution angulaire d'un radar FMCW panoramique
- auteur
- R Fouettilloux, O Lacrouts, G Motyl, Laurent Ferro-Famil, S. Meric
- article
- Journées Nationales Micro-ondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Synthèse indépendante des coefficients de réflexions de la matrice de diffusion d'une méta-surface
- auteur
- Antoine Calleau, Maria Garcia-Vigueras, Mauro Ettorre, Hervé Legay
- article
- Journée Nationales Micro-Ondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Radar OAM pour l'identification de symétries de rotation sur une cible
- auteur
- C. Brousseau, K. Mahdjoubi, O. Emile, W. Wei
- article
- XXèmes Journées Nationales Microondes, May 2017, Saint Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de cellules de réseaux réflecteurs par réseaux de neurones artificiels
- auteur
- V Richard, Renaud Loison, R. Gillard, H. Legay, M. Romier
- article
- JNM2017, May 2017, Saint Malo, France
- Accès au texte intégral et bibtex
-
- titre
- technique de compression pour les reflecteurs en utilisant loptique de transformation
- auteur
- Hassan Haddad, Renaud Loison, Raphaël Gillard, A. Harmouch, A Jrad
- article
- Journée Nationale Micro-Ondes, May 2017, Saint Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Conception d'une alarme cycliste par radio-opportunité
- auteur
- B. Mériaux, I Dabbebi, C Leconte, S. Meric
- article
- Journées Nationales Micro-ondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- Optimisation de l’optique physique itérative pour le calcul de rayonnement d’antennes sur porteur
- auteur
- Antoine Thomet, Gildas Kubicke, Christophe Bourlier, Philippe Pouliguen
- article
- Journées Nationales Microondes, May 2017, Saint-Malo, France. pp.session AP-O4 - Méthodes numériques avancées
- Accès au bibtex
-
- titre
- Rectenna bi-bande 900 MHz-1800 MHz pour des applications de récupération d’énergie ambiante
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- 20 èmes Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au bibtex
-
- titre
- Un Mécanisme de Commutation pour Réduire les Problèmes de Direction Aveugle dans les Réseaux à Balayage
- auteur
- Aurélien Ayissi Manga, Raphaël Gillard, Renaud Loison, Isabelle Leroy-Naneix, Christian Renard
- article
- XXèmes Journées Nationales Microondes, May 2017, Saint-Malo, France
- Accès au texte intégral et bibtex
-
- titre
- On learning the energy model of an MPSoC for convex optimization
- auteur
- E. Nogues, Daniel Ménard, A. Mercat, M. Pelcat
- article
- 14th ACM International Conference on Computing Frontiers, CF 2017, May 2017, Ischia, Italy. ⟨10.1145/3075564.3078893⟩
- Accès au bibtex
-
- titre
- Impact de la méthode de compensation de phase sur les performances des réseaux transmetteurs
- auteur
- Fatimata Diaby, Antonio Clemente, L. Di Palma, Laurent Dussopt, Ronan Sauleau
- article
- Vingtièmes Journées Nationales Micro-ondes, May 2017, Saint Malo, France
- Accès au bibtex
-
- titre
- VALIDATION OF A METABOLOMIC WORKFLOW TO ASSESS THE EFFECT OF 60 GHZ MILLIMETER WAVES ON KERATINOCYTE CELL LINES: A PROOF-OF-CONCEPT STUDY ESTABLISHING THE METABOLIC ALTERATIONS TRIGGERED BY 2- DEOXYGLUCOSE
- auteur
- David Rondeau, Pierre Le Pogam-Alluard, Denis Habauzit, Maxim Zhadobov, Mickael Doué, Pierre Le Pogam-Alluard, Ronan Sauleau, Yann Le Page, Yves Le Dréan
- article
- 35th INFORMAL MEETING ON MASS SPECTROMETRY, May 2017, Aussois, France
- Accès au bibtex
-
- titre
- Clearing Multiview Structure Graph from Inconsistencies
- auteur
- Salah Eddine, Pierre-Yves Richard
- article
- IAPR International Conference on Machine Vision Applications, May 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- New Binary Single Side Band CPM
- auteur
- Haïfa Farès, Christian D.C. Glattli, Yves Louët, Christophe Moy, Jacques Palicot, Preden S Roulleau
- article
- 24th IEEE International Conference on Telecommunications (ICT 2017), May 2017, Limassol, Cyprus. ⟨10.1109/ICT.2017.7998261⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of the Doppler Effect on the Capacity of Massive MIMO Uplink Systems: OFDM Versus FBMC/OQAM
- auteur
- Alexis Bazin, Bruno Jahan, Maryline Hélard
- article
- 24th International Conference on Telecommunications (ICT), May 2017, Limassol, Cyprus. ⟨10.1109/ICT.2017.7998233⟩
- Accès au texte intégral et bibtex
-
- titre
- Extended Receive Antenna Shift Keying
- auteur
- Ali Mokh, Maryline Hélard, Matthieu Crussière
- article
- International Conference on Telecommunications, May 2017, Limassol, Cyprus
- Accès au texte intégral et bibtex
-
- titre
- Load-aware power efficiency maximization in heterogeneous wireless networks
- auteur
- M. Zalghout, J.-F. Helard, A. Khalil, S. Abdul-Nabi, Matthieu Crussière
- article
- 24th International Conference on Telecommunications, ICT 2017, May 2017, Pasadena, United States. ⟨10.1109/ICT.2017.7998241⟩
- Accès au bibtex
-
- titre
- Power Allocation for Minimizing Energy Consumption of OFDMA Downlink with Cell DTx
- auteur
- Rémi Bonnefoi, Christophe Moy, Haïfa Farès, Jacques Palicot
- article
- 24th International Conference on Telecommunications (ICT 2017), May 2017, Limassol, Cyprus. ⟨10.1109/ICT.2017.7998232⟩
- Accès au texte intégral et bibtex
-
- titre
- New Binary CPM with Single Side Band Spectrum
- auteur
- Haïfa Farès, Christian D.C. Glattli, Yves Louet, Christophe Moy, Jacques Palicot, Preden Roulleau
- article
- International Conference on Telecommunications, May 2017, Limasol, Cyprus
- Accès au bibtex
-
- titre
- Gain Enhancement for Antenna using Multiple Metasurfaces
- auteur
- Bilal El Jaafari, Jean Marie Floc’h
- article
- CST User Meeting EUC 2016, Apr 2017, Darmstad, Germany
- Accès au bibtex
-
- titre
- APPLYING PARTIAL RECONFIGURATION TECHNIQUE ON ARMFPGA SYSTEMS IN CONTEXT OF VERTICAL HANDOVER IN WIRELESS HETEROGENEOUS NETWORKS
- auteur
- Mohamad Alfadl Rihani, Fabienne Nouvel, Jean-Christophe Prévotet, M. Mroueh
- article
- The Third International Conference on Electrical and Electronic Engineering, Telecommunication Engineering and Mechatronics (EEETEM2017), Apr 2017, BEYROUTH, Lebanon
- Accès au bibtex
-
- titre
- Comparison between flexible PZT and BTO piezoelectric films for mechanical energy harvesting
- auteur
- Raynald Seveno, Thibault Dufay, Benoit Guiffard
- article
- SMS 2017, Apr 2017, Paris, France
- Accès au bibtex
-
- titre
- A novel design of a compact miniature microstrip low pass filter based on SRR
- auteur
- B. Nasiri, A. Errkik, J. Zbitou, A. Tajmouati, L. Elabdellaoui, Mohamed Latrach
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, Morocco. ⟨10.1109/WITS.2017.7934686⟩
- Accès au bibtex
-
- titre
- A low cost miniature UHF RFID tag antenna using paper substrate
- auteur
- Ahmed El Hamraoui, El Hassane Abdelmounim, Jamal Zbitou, Hamid Bennis, Mohamed Latrach, Ahmed Errkik
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, France. ⟨10.1109/WITS.2017.7934685⟩
- Accès au bibtex
-
- titre
- A new design of a miniature microstrip patch antenna using Defected Ground Structure DGS
- auteur
- Ridouane Er-Rebyiy, Jamal Zbitou, Abdelali Tajmouati, Mohamed Latrach, A. Errkik, L. El Abdellaoui
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, Morocco. pp.1-4, ⟨10.1109/WITS.2017.7934598⟩
- Accès au bibtex
-
- titre
- Beam-Scanning SIW Antennas Based on association of various shaped Slots
- auteur
- Imane Serhsouh, Hassan Lebbar, Mohamed Himdi
- article
- 2017 International Conference On Wireless Technologies, Embedded and Intelligent Systems (wits), Apr 2017, Fez, Morocco. ⟨10.1109/WITS.2017.7934600⟩
- Accès au bibtex
-
- titre
- A WIDEBAND CONFORMAL FLEXIBLE MONOPOLE ANTENNA
- auteur
- Asmae Hachi, Hassan Lebbar, Mohamed Himdi
- article
- WITS'17 International Conference on Wireless Technologies, Embedded and Intelligent Systems, Apr 2017, Fès, Morocco. pp.1-4
- Accès au bibtex
-
- titre
- A new design of U shaped reconfigurable antenna based on PIN diodes
- auteur
- R. Er-Rebyiy, J. Zbitou, A. Tajmouati, Mohamed Latrach, A. Errkik, R. Mandry
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, Morocco. ⟨10.1109/WITS.2017.7934633⟩
- Accès au bibtex
-
- titre
- Multiband fractal CPW antenna for GPS, WiMAX and IMT applications
- auteur
- D. El Nabaoui, A. Tajmouati, J. Zbitou, A. Errkik, H. Bennis, Mohamed Latrach
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, Morocco. ⟨10.1109/WITS.2017.7934596⟩
- Accès au bibtex
-
- titre
- A novel design of passive UHF RFID tag antenna mounted on paper
- auteur
- A. Ennajih, J. Zbitou, A. Errkik, A. Tajmouati, L. El Abdellaoui, Mohamed Latrach
- article
- 2017 International Conference on Wireless Technologies, Embedded and Intelligent Systems (WITS), Apr 2017, Fez, France. ⟨10.1109/WITS.2017.7934631⟩
- Accès au bibtex
-
- titre
- Prediction of Quality in DCT-Based Lossy Compression of Noisy Remote Sensing Images
- auteur
- S. Abramov, V. Lukin, A. Zemliachenko, B. Vozel, K. Chehdi
- article
- 2017 IEEE 37th International Conference On Electronics and Nanotechnology (elnano), Apr 2017, Kyiv, Ukraine. pp.447-450, ⟨10.1109/ELNANO.2017.7939794⟩
- Accès au bibtex
-
- titre
- Cluster Adapted Signalling for Intra Prediction in HEVC
- auteur
- Reuzé Kevin, Pierrick Philippe, Wassim Hamidouche, Olivier Déforges
- article
- Data Compression Conference (DCC), Apr 2017, Snowbird, United States. ⟨10.1109/DCC.2017.19⟩
- Accès au bibtex
-
- titre
- Exploiting Computation Skip to Reduce Energy Consumption by Approximate Computing, an HEVC Encoder Case Study
- auteur
- Alexandre Mercat, Justine Bonnot, Maxime Pelcat, Wassim Hamidouche, Daniel Menard
- article
- Design, Automation and Test in Europe, Mar 2017, Lausanne, Switzerland, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- The Hidden Cost of Functional Approximation Against Careful Data Sizing – A Case Study
- auteur
- Benjamin Barrois, Olivier Sentieys, Daniel Ménard
- article
- Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland. ⟨10.23919/date.2017.7926979⟩
- Accès au texte intégral et bibtex
-
- titre
- Les objets communicants, La problématique des Antennes: Dispositif pour détecter le vêlage des vaches.
- auteur
- Jean Marie Floc'H
- article
- Microwave & RF, Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Les objets communicants, La problématique des Antennes; Balises de Détresse
- auteur
- Jean Marie Floc’h
- article
- Microwave & RF, Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Analytical study of free-space coupling of THz radiation for a new radioastronomy receiver concept
- auteur
- Gabriel Santamaria Botello, Kerlos Atia Abdalmalak, Maria-Theresa Schlecht, David Gonzalez-Ovejero, Florian Sedlmeir, Harald Schwefel, Stefan Malzer, Heiko Weber, Daniel Segovia-Vargas, Darragh Mccarthy, John Anthony Murphy, Gottfried Dohler, Luis-Enrique Garcia Munoz
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.836-840
- Accès au bibtex
-
- titre
- A multifunction antenna with direct modulation and beam agility
- auteur
- S. Ouédraogo, I. Hinostroza, R. Guinvarc'H, R. Gillard
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928176⟩
- Accès au bibtex
-
- titre
- Parallel fed 2×1 antenna array utilizing surface wave cancellation on LTCC substrate
- auteur
- J. Hagn, V. Frascolla, R. Sauleau, J. Aurinsalo, M. Lahti, K. Kautio
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928258⟩
- Accès au bibtex
-
- titre
- Wideband multibeam arrays of long slots fed by quasi-optical systems
- auteur
- F.F. Manzillo, M. Ettorre, T. Potelon, M. Smierzchalski, R. Sauleau, N. Capet
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928424⟩
- Accès au bibtex
-
- titre
- Systematic design of a class of wideband circular polarizers using dispersion engineering
- auteur
- F.F. Manzillo, M. Ettorre, R. Sauleau, A. Grbic
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928463⟩
- Accès au bibtex
-
- titre
- Simulation of V2V Communications in Various Propagation Channels: Assessing Antenna Performance in Terms of PER
- auteur
- Jessen Narrainen, Philippe Besnier, Philippe Boutier
- article
- European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Spectral analysis of predistorted non-linear amplified multicarrier signals
- auteur
- Ali Cheaito, M. Saad Farah, Matthieu Crussière, J.-F. Helard, Yves Louët, Ali Cheaitoua
- article
- 2017 IEEE Wireless Communications and Networking Conference, WCNC 2017, Mar 2017, San Francisco, United States. ⟨10.1109/WCNC.2017.7925797⟩
- Accès au bibtex
-
- titre
- Analytical modeling of losses in FDP protocol of HbbTV based push-VOD services over DVB networks
- auteur
- F. Mattoussi, Gheorghe Zaharia, J.-F. Helard, Matthieu Crussière
- article
- 2017 IEEE Wireless Communications and Networking Conference, WCNC 2017, Mar 2017, San Francisco, United States. ⟨10.1109/WCNC.2017.7925619⟩
- Accès au bibtex
-
- titre
- Parallel Fed 2x1 Antenna Array Utilizing Surface Wave Cancellation on LTCC Substrate
- auteur
- Josef Hagn, Valerio Frascolla, Ronan Sauleau, Jouko Aurinsalo, Markku Lahti, Kari Kautio
- article
- 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Decoupling Approach of Superdirective Antenna Arrays
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- The 11th European Conference on Antennas and Propagation, Mar 2017, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- A 3-D Wide-Band Set-up for Over-The-Air Test in Anechoic Chamber
- auteur
- Mounia Belhabib, Raffaele d'Errico, Bernard Uguen
- article
- 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Broadband CTS antenna array at E-band
- auteur
- T. Potelon, M. Ettorre, L. Le Coq, T. Bateman, J. Francey, D. Lelaidier, E. Seguenot, F. Devillers, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928290⟩
- Accès au bibtex
-
- titre
- A wide band wide scanning range multiple beam antenna based on a radiating parallel plate waveguide continuous delay lens beamformer
- auteur
- Hervé Legay, S. Tubau, Etienne Girard, J.-P. Fraysse, Ronan Sauleau, Mauro Ettorre, N.J.G. Fonseca
- article
- EuCAP 2017, Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- A new expression for the evaluation of the beamwidth in 1-D leaky-wave antennas: Beyond Oliner's formula
- auteur
- W. Fuscaldo, D.R. Jackson, A. Galli
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928751⟩
- Accès au bibtex
-
- titre
- Design of planar implantable compact antennas for vaginal sensor for early detection of calving
- auteur
- I. Ben Trad, Jean Marie Floc'H
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928610⟩
- Accès au bibtex
-
- titre
- Impact of phase compensation method on transmitarray performance
- auteur
- F. Diaby, A. Clemente, L. Di Palma, L. Dussopt, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928292⟩
- Accès au bibtex
-
- titre
- Wideband circularly-polarized 3-bit transmitarray antenna in Ka-band
- auteur
- F. Diaby, A. Clemente, L. Di Palma, L. Dussopt, K. Pham, E. Fourn, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928661⟩
- Accès au bibtex
-
- titre
- A switching mechanism to mitigate scan blindness in phased arrays
- auteur
- A.A. Manga, R. Gillard, Renaud Loison, I. Leroy-Naneix, C. Renard
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928415⟩
- Accès au bibtex
-
- titre
- A 32 GHz urban micro cell measurement campaign for 5G candidate spectrum region
- auteur
- M.D. Baldé, J. Vehmas, S.L.H. Nguyen, K. Haneda, H. Houas, Bernard Uguen
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928512⟩
- Accès au bibtex
-
- titre
- Analysis and design of a continuous parallel plate waveguide multiple beam lens antenna at Ku-band
- auteur
- F. Doucet, N.J.G. Fonseca, E. Girard, H. Legay, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928093⟩
- Accès au bibtex
-
- titre
- All-metal Ku-band Luneburg lens antenna based on variable parallel plate spacing Fakir bed of nails
- auteur
- C.D. Diallo, E. Girard, H. Legay, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928274⟩
- Accès au bibtex
-
- titre
- Wireless power transfer: Are children more exposed than adults?
- auteur
- M. Koohestani, M. Ettorre, M. Zhadobov
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928050⟩
- Accès au bibtex
-
- titre
- 3D-printed Ka-band antenna based on stereolithography
- auteur
- J.S. Silva, M. García-Vigueras, T. Debogovic, J.R. Mosig
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928076⟩
- Accès au bibtex
-
- titre
- Characteristic modes optimisation approach to design a wideband electrically small antenna
- auteur
- H. Jaafar, D. Lemur, Sylvain Collardey, Abdullah Haskou, A. Sharaiha
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928443⟩
- Accès au bibtex
-
- titre
- Experimental characterization of dual linearly polarized transmitarray antennas at X-band
- auteur
- Kien Pham, Ngoc-Tinh Nguyen, Laurent Le Coq, Ronan Sauleau, Antonio Clemente, Laurent Dussopt
- article
- 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.2387-2391, ⟨10.23919/EuCAP.2017.7928120⟩
- Accès au bibtex
-
- titre
- Flexible and conformal printed monopoles for reconfigurable antennas
- auteur
- A. Hachi, H. Lebbar, Mohamed Himdi
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928565⟩
- Accès au bibtex
-
- titre
- High-power terahertz emitter for a communication link: The chessboard array
- auteur
- Alejandro Rivera-Lavado, Kerlos Atia-Abdalmalak, Gabriel Santamaria-Botello, David Gonzalez-Ovejero, Guillermo Carpintero, Daniel Segovia-Vargas, Ivan Camara-Mayorga, Luis Enrique Garcia-Munoz
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.1377-1380
- Accès au bibtex
-
- titre
- 434 MHz ISM band antenna for in-body biotelemetry capsules
- auteur
- Denys Nikolayev, Maxim Zhadobov, Karban Pavel, Ronan Sauleau
- article
- 11th European Conference on Antennas and Propagation (EuCAP 2017), Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928838⟩
- Accès au bibtex
-
- titre
- Long slot array for wireless power transmission
- auteur
- M. Ettorre, W.A. Alomar, A. Grbic
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928145⟩
- Accès au bibtex
-
- titre
- Enhancing breast cancer imaging at millimeter waves using focusing techniques
- auteur
- I. Iliopoulos, M. Ettorre, R. Sauleau, P. Pouliguen, P. Potier, L. Perregrini, M. Pasian
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928174⟩
- Accès au bibtex
-
- titre
- Generation of limited-diffractive twisted pulses at millimeter waves
- auteur
- S.C. Pavone, D. Comite, W. Fuscaldo, G. Valerio, A. Galli, M. Ettorre, M. Albani
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928311⟩
- Accès au bibtex
-
- titre
- On the use of convex optimization for electromagnetic near-field shaping
- auteur
- I. Iliopoulos, Benjamin Fuchs, R. Sauleau, P. Pouliguen, P. Potier, M. Ettorre
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928147⟩
- Accès au bibtex
-
- titre
- Optimizing Context-Aware Resource and Network Assignment in Heterogeneous Wireless Networks
- auteur
- Mohamad Zalghout, Samih Abdul-Nabi, Ayman Khalil, Maryline Hélard, Matthieu Crussière
- article
- IEEE Wireless Communications and Networking Conference (WCNC), Mar 2017, San Francisco, United States. ⟨10.1109/WCNC.2017.7925827⟩
- Accès au bibtex
-
- titre
- Performances of monopole plasma antenna
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Olivier Lafond
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928711⟩
- Accès au bibtex
-
- titre
- Polarimetric Ground-to-Ground and Ground-to-Air Channel Characterization in Forest Environment
- auteur
- Pierre Laly, Davy Gaillot, M. Lienard, Jean Marie Floc'H, R. Mazari, Pierre Degauque, G. Grunfelder
- article
- 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Gain enhancement of a slot antenna using multiple metasurfaces
- auteur
- B.E. Jaafari, Jean Marie Floc'H
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928472⟩
- Accès au bibtex
-
- titre
- A reconfigurable multilayered THz leaky-wave antenna employing liquid crystals
- auteur
- W. Fuscaldo, S. Tofani, D.C. Zografopoulos, P. Baccarelli, P. Burghignoli, R. Beccherelli, A. Galli
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928615⟩
- Accès au bibtex
-
- titre
- Switched-beam E-band transmitarray antenna for point-to-point communications
- auteur
- L. Dussopt, A. Moknache, T. Potelon, R. Sauleau
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928369⟩
- Accès au bibtex
-
- titre
- Loss Analysis of a Reflectarray Cell Using ANNs with Accurate Magnitude Prediction
- auteur
- V. Richard, Renaud Loison, R. Gillard, H. Legay, M. Romier
- article
- 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.10.23919/EuCAP.2017.7928256
- Accès au bibtex
-
- titre
- Circularly-polarized leaky-wave antenna at Ka-band
- auteur
- D. Blanco, Ronan Sauleau
- article
- EuCAP 2017, Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Broadband multilayer polarizer for low-cost Ka-band applications
- auteur
- D. Blanco, Ronan Sauleau
- article
- EuCAP 2017, Mar 2017, Paris, France
- Accès au bibtex
-
- titre
- Evaluation of Currents Induced in Human Body by Plane Wave Exposure At 1-90 MHz
- auteur
- Jeanne Frere, Alain Alcaras, Maxim Zhadobov, Christophe Lemoine, Gwenaël Le Cadre, Ronan Sauleau
- article
- EuCAP 2017, Mar 2017, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Design, fabrication and testing of a modulated metasurface antenna at 300 GHz
- auteur
- David Gonzalez-Ovejero, Cecile Jung-Kubiak, Maria Alonso-Delpino, Theodore Reck, Goutam Chattopadhyay
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.3416-3418
- Accès au bibtex
-
- titre
- A single layer stub-patch phoenix cell for large band reflectarrays
- auteur
- Hassan Salti, Raphaël Gillard
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928391⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarimetrie ground-to-ground and ground-to-air channel characterization in forest environment
- auteur
- Pierre Laly, Davy Gaillot, M. Lienard, Jean Marie Floc'H, R. Mazari, Pierre Degauque, G. Grunfelder
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928261⟩
- Accès au bibtex
-
- titre
- Shared aperture metasurface antennas for multibeam patterns
- auteur
- David Gonzalez-Ovejero, Gabriele Minatti, Enrica Martini, Goutam Chattopadhyay, Stefano Maci
- article
- 2017 11th European Conference on Antennas and Propagation (EUCAP), Mar 2017, Paris, France. pp.3332-3335
- Accès au bibtex
-
- titre
- Dual-band dual-polarized transmitarrays at Ka-band
- auteur
- K. Pham, R. Sauleau, Erwan Fourn, F. Diaby, A. Clemente, L. Dussopt
- article
- 11th European Conference on Antennas and Propagation, EUCAP 2017, Mar 2017, Paris, France. ⟨10.23919/EuCAP.2017.7928119⟩
- Accès au bibtex
-
- titre
- REAL-TIME AND PARALLEL SHVC HYBRID CODEC AVC TO HEVC DECODER
- auteur
- Pierre-Loup Cabarat, Wassim Hamidouche, Olivier Déforges
- article
- International Conference on Acoustics Speech and Signal Processing (ICASSP) 2017, Mar 2017, New Orleans, United States
- Accès au bibtex
-
- titre
- Inter-block dependencies consideration for intra coding in H.264/AVC and HEVC standards
- auteur
- Maxime Bichon, Julien Le Tanou, Michael Ropert, Wassim Hamidouche, Luce Morin, Lu Zhang
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP 2017), Mar 2017, La Nouvelle Orléans, LA, United States. pp.1537-1541, ⟨10.1109/ICASSP.2017.7952414⟩
- Accès au texte intégral et bibtex
-
- titre
- A NEW PERCEPTUAL ASSESSMENT METHODOLOGY FOR SELECTIVE HEVC VIDEO ENCRYPTION
- auteur
- Naty Sidaty, Wassim Hamidouche, Olivier Déforges
- article
- IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Mar 2017, New Orleans, LA, United States
- Accès au bibtex
-
- titre
- Constrain the Docile CTUs: an In-Frame Complexity Allocator for HEVC Intra Encoders
- auteur
- Alexandre Mercat, Florian Arrestier, Wassim Hamidouche, Maxime Pelcat, Daniel Menard
- article
- ICASSP2017, Mar 2017, New Orleans, United States
- Accès au bibtex
-
- titre
- Energy Reduction Opportunities in an HEVC Real-Time Encoder
- auteur
- Alexandre Mercat, Florian Arrestier, Wassim Hamidouche, Maxime Pelcat, Daniel Menard
- article
- ICASSP 2017, Mar 2017, New Orleans, United States
- Accès au bibtex
-
- titre
- NIQSV: A no reference image quality assessment metric for 3D synthesized views
- auteur
- Shishun Tian, Lu Zhang, Luce Morin, O. Deforges
- article
- 2017 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2017, Mar 2017, New Orleans, United States. ⟨10.1109/ICASSP.2017.7952356⟩
- Accès au bibtex
-
- titre
- Bandwidth Enhancement of A Multiport Double Notched Antenna Using the Network Characteristic Modes
- auteur
- Hussein Jaafar, Sylvain Collardey, Ala Sharaiha
- article
- 2017 International Workshop on Antenna Technology: Small Antennas, Innovative Structures, and Applications (iWAT), Mar 2017, Athens, Greece. pp.359-362, ⟨10.1109/IWAT.2017.7915401⟩
- Accès au bibtex
-
- titre
- Low profile dipole antenna over compact AMC surface
- auteur
- N. Kristou, J.-F. Pintos, K. Mahdjoubi
- article
- 2017 International Workshop on Antenna Technology, iWAT 2017, Mar 2017, Athens, Greece. ⟨10.1109/IWAT.2017.7915318⟩
- Accès au bibtex
-
- titre
- High-power terahertz emitter arrays
- auteur
- Alejandro Rivera-Lavado, Kerlos Atia-Abdalmalak, Gabriel Santamaria-Botello, David Gonzalez-Ovejero, Guillermo Carpintero, Ivan Camara-Mayorga, Luis Enrique Garcia-Munoz, Daniel Segovia-Vargas
- article
- 2017 International Workshop on Antenna Technology: Small Antennas, Innovative Structures, and Applications (iWAT), Mar 2017, Athens, France. pp.142-145
- Accès au bibtex
-
- titre
- Design and characterization of a compact Artificial Magnetic Conductor in the UHF band
- auteur
- N. Kristou, J.-F. Pintos, S. Bories, K. Mahdjoubi
- article
- 2017 International Workshop on Antenna Technology, iWAT 2017, Mar 2017, Athens, Greece. ⟨10.1109/IWAT.2017.7915319⟩
- Accès au bibtex
-
- titre
- Image Quality Prediction for DCT-based Compression
- auteur
- Ruslan Kozhemiakin, Vladimir Lukin, Benoit Vozel
- article
- 14th International Conference on the Experience of Designing and Application of CAD Systems in Microelectronics (CADSM), Feb 2017, Svalyava, Ukraine. ⟨10.1109/CADSM.2017.7916121⟩
- Accès au bibtex
-
- titre
- In-Body Antenna for Miniature Biotelemetry Capsules: Increasing Robustness and Radiation Efficiency
- auteur
- Denys Nikolayev, Pavel Karban, Maxim Zhadobov, Ronan Sauleau
- article
- Les journées Scientifiques 2017 de l'URSI-France "Radiosciences au service de l’humanité", URSI-France, Feb 2017, Sophia Antipolis, France
- Accès au texte intégral et bibtex
-
- titre
- Nouvelle modulation de phase à bande latérale unique
- auteur
- Haïfa Farès, Christian D.C. Glattli, Yves Louët, Christophe Moy, Jacques Palicot, Preden S Roulleau
- article
- Journée Scientifiques de l'URSI, Feb 2017, Nice, France
- Accès au texte intégral et bibtex
-
- titre
- A comparison of stereo matching algorithms on multi-core Digital Signal Processor platform
- auteur
- J. Menant, Jean François Nezan, Luce Morin, Muriel Pressigout
- article
- 3D Image Processing, Measurement (3DIPM), and Applications 2017, Jan 2017, Burlingame, United States. pp.49-54, ⟨10.2352/ISSN.2470-1173.2017.20.3DIPM-007⟩
- Accès au bibtex
-
- titre
- Rate Optimization for Energy Efficient System with M-QAM
- auteur
- Randa Jaouadi, Guillaume Andrieux, Jean-Yves Baudais, Jean-François Diouris
- article
- International Workshop on Computing, Networking and Communications, Jan 2017, Silicon Valley, United States. paper#1570314894
- Accès au bibtex
-
- titre
- L'instrumentation connectée au service de la Surveillance des Réseaux d'Assainissements Intelligents
- auteur
- Jean Marie Floc’h, M Zug, M. Vasquez
- article
- Carrefour des Gestions Locales de l'Eau, Jan 2017, Rennes, France
- Accès au bibtex
-
- titre
- PolSARpro v5.1 : A review of its current status and its new and future Developments
- auteur
- E. Pottier, Strzelczyk J
- article
- POLINSAR 2017, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2017, Frascati, Italy
- Accès au bibtex
-
- titre
- LTPS-TFT with embedded PbS quantum dots as near-infrared photodetector: Using an hybrid technology to improve the detection performance in an easy process
- auteur
- Xiang Liu, Emmanuel Jacques, L Wei, T. Mohammed-Brahim
- article
- International Thin Film Transistors Conference ITC 17, 2017, Austin, United States
- Accès au bibtex
-
- titre
- Pinna morphological parameters influencing HRTF sets
- auteur
- Slim Ghorbal, Théo Auclair, Catherine Soladie, Renaud Seguier
- article
- International Conference on Digital Audio Effects, 2017, Edinburgh, United Kingdom
- Accès au bibtex
-
- titre
- Context-Aware Network Selection Algorithm for Heterogeneous Wireless Networks
- auteur
- Mohamad Zalghout, Matthieu Crussière, Samih Abdul-Nabi, Jean-François Hélard, Ayman Khalil
- article
- 2017 1st International Conference on Sensors, Networks, Smart and Emerging Technologies (SENSET), 2017, Beirut, Lebanon
- Accès au bibtex
-
- titre
- Increasingly needs of practice and know-how in higher education in the new information technology environment case of the microelectronics and nanotechnologies
- auteur
- O. Bonnaud
- article
- 16th International Conference on Information Technology Based Higher Education and Training (ITHET), 2017, Okinawa, Japan. ⟨10.1109/ITHET.2017.8067785⟩
- Accès au bibtex
-
- titre
- Characterization of poroelastic materials through interface scattering and propagation models
- auteur
- Nizar Bouhlel, Sébastien Bourguignon, Aroune Duclos, J.-P. Groby
- article
- 5th symposium on the Acoustics of Poro-Elasic Materials (SAPEM), 2017, Le Mans, France
- Accès au bibtex
-
- titre
- Perovskite based solar cells fabricated in air and nitrogen ambients
- auteur
- Noélia Devesa Canicoba, Regis Rogel, Laurent Le Brizoual
- article
- 3ème Journées Perovskite Hybrides JPH2017, 2017, Angers, France
- Accès au bibtex
-
- titre
- Blind estimation of blur in hyperspectral images
- auteur
- M. Zhang, B. Vozel, K. Chehdi, M. Uss, S. Abramov, V. Lukin
- article
- Titre conference, 2017, Warsaw, Poland. ⟨10.1117/12.2278004⟩
- Accès au bibtex
-
- titre
- A Study of Virtual Visual Servoing Sensitivity in the Context of Image/GIS Registration for Urban Environments
- auteur
- Hengyang Wei, Muriel Pressigout, Luce Morin, Myriam Servières, Guillaume Moreau
- article
- Fifteenth IAPR International Conference on Machine Vision Application, 2017, Nagoya, Japan. pp.522-525, ⟨10.23919/MVA.2017.7986915⟩
- Accès au bibtex
-
- titre
- Human ear structure from motion
- auteur
- Kabbour, Salah, Pierre-Yves Richard
- article
- 2017 Fifteenth IAPR International Conference on Machine Vision Applications (MVA), 2017, Nagoya, Japan
- Accès au bibtex
-
- titre
- Visible and near-infrared photo-detector combining Polysilicon TFT and PbS quantum dots Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors VI
- auteur
- Xiang Liu, E Jacques, Lumei Wei, T. Mohammed-Brahim
- article
- ECI - ULSIC vs TFT: 6th international Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and thin film Transistors, 2017, Hernstein, Australia
- Accès au bibtex
-
Book sections
- titre
- Dielectric Resonator Nantennas for Optical Communication
- auteur
- Waleed Tariq Sethi, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- Pedro Pinho. Optical Communication Technology, Chapitre 3, InTech, 2017, ⟨10.5772/67385⟩
- Accès au bibtex
-
- titre
- Chapter 11. FBMC Channel Estimation Techniques
- auteur
- Eleftherios Kofidis, Leonardo G. Baltar, Xavier Mestre, Faouzi Bader, Vincent Savaux
- article
- Orthogonal Waveforms and Filter Banks for Future Communication Systems, 2017, 9780128103845
- Accès au bibtex
-
- titre
- Amplification of Electromagnetic Waves Using Time Reversal
- auteur
- Matthieu Davy, Mathias Fink, Julien de Rosny
- article
- Electromagnetic Time Reversal: Application to EMC and Power Systems, John Wiley & Sons, Ltd, pp.145-167, 2017
- Accès au bibtex
-
- titre
- DART Mass Spectrometry: Principle and Ionization Facilities
- auteur
- David Rondeau
- article
- Direct Analysis in Real Time Mass Spectrometry: Principles and Practices of DART-MS. , 2017
- Accès au bibtex
-
- titre
- Models of Architecture for DSP Systems
- auteur
- Maxime Pelcat
- article
- Springer. Handbook of Signal Processing Systems, Third Edition, In press
- Accès au texte intégral et bibtex
-
- titre
- FBMC Channel Equalization Techniques
- auteur
- Leonardo Gomes Baltar, Pascal Chevalier, Markku Renfors, Juha Yli-Kaakinen, Jérôme Louveaux, Xavier Mestre, Faouzi Bader, Vincent Savaux
- article
- Orthogonal Waveforms and Filter Banks for Future Communications Systems, Academic Press; Elsevier, pp.299-337, 2017, ⟨10.1016/B978-0-12-810384-5.00012-8⟩
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Contributions to the Optimization of Wireless Broadcast/Broadband Systems : Differentiated and Convergent Approaches
- auteur
- Matthieu Crussière
- article
- Engineering Sciences [physics]. Université de rennes 1, 2017
- Accès au texte intégral et bibtex
-
- titre
- Models, Methods and Tools for Bridging the Design Productivity Gap of Embedded Signal Processing Systems
- auteur
- Maxime Pelcat
- article
- Signal and Image processing. Université Clermont Auvergne, 2017
- Accès au texte intégral et bibtex
-
- titre
- Electronique grande surface sur tout substrat : Vers une technologie très basse température
- auteur
- Emmanuel Jacques
- article
- Micro et nanotechnologies/Microélectronique. Université de Rennes 1 [UR1], 2017
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Design and Efficient Implementations of a Chaos-based stream cipher for Securing Internet of Things
- auteur
- Guillaume Gautier, Safwan El Assad, Mohammad Abu Taha, Olivier Déforges, Adrien Facon
- article
- 2017
- Accès au bibtex
-
- titre
- Stochastic geometry approaches for D2D communications in dense heterogeneous networks
- auteur
- Romain Chevillon, Guillaume Andrieux, Jean-François Diouris
- article
- 2017
- Accès au bibtex
-
- titre
- Facial micro-expressions analysis: a means to read someone's inner feeling
- auteur
- Kidiyo Kpalma
- article
- 2017
- Accès au bibtex
-
- titre
- Développement d’un canal de communication isolé compatible avec un driver pour MOSFET SiC.
- auteur
- Christophe Batard, Nicolas Ginot, Christophe Bouguet
- article
- 2017
- Accès au bibtex
-
- titre
- Elaboration of ferroelectric ceramics and thin films for miniaturized and agile antennas
- auteur
- Florent Marlec, Claire Le Paven, François Cheviré, Laurent Le Gendre, Ratiba Benzerga, Benoit Guiffard, Dufay Thibault, Vincent Laur, Alexis Chevalier, Franck Tessier, Bachir Messaid, Ala Sharaiha
- article
- 2017
- Accès au bibtex
-
- titre
- Amélioration de la bande passante des composants opto-hyperfréquences basés sur des polymères électro-optiques chargés de nanoparticules de TiO2
- auteur
- Den-God-Frez Palessonga, Mohammed El Gibari, Stéphane Ginestar, Hélène Terisse, Benoit Guiffard, Abdelhadi Kassiba, Hong Wu Li
- article
- 2017
- Accès au texte intégral et bibtex
-
- titre
- Matrices aléatoires et performances des réseaux cellulaires : une illustration
- auteur
- Jean-Yves Baudais
- article
- 2017
- Accès au bibtex
-
- titre
- Réseaux de communication de grande taille et géométrie aléatoire
- auteur
- Jean-Yves Baudais
- article
- 2017
- Accès au bibtex
-
- titre
- YALMIP: Optimization Made Easy!
- auteur
- Pierre Haessig
- article
- 2017
- Accès au bibtex
-
- titre
- Chaos-based Cryptography: a Promising Technology
- auteur
- Safwan El Assad
- article
- 2017
- Accès au bibtex
-
- titre
- Chaos-based Cryptography an Emergent Technology
- auteur
- Safwan El Assad, Mohammad Abu Taha, Mousa Farajallah, Olivier Déforges
- article
- 2017
- Accès au bibtex
-
- titre
- Les vêtements communiquants
- auteur
- Jean Marie Floc'H
- article
- 2017
- Accès au bibtex
-
- titre
- Les vêtements communicants
- auteur
- Jean Marie Floc’h
- article
- 2017
- Accès au bibtex
-
- titre
- Biophotonique : Sondes de lumières résonantes intégrées sur puce pour la détection d'espèces biologiques et le diagnostic dynamique en bio-métrologie des processus de la matière molle
- auteur
- Bruno Bêche, Véronique Vié, Hervé Lhermite, Didier Dupont, Claire Bourlieu-Lacanal
- article
- 2017, https://spm.univ-rennes1.fr/biophotonique
- Accès au texte intégral et bibtex
-
Books
- titre
- Journal of Systems Architecture Embedded Software Design, Special Edition "DASIP 2016
- auteur
- Yannick Le Moullec, Marek Gorgon, Jean-François Nezan
- article
- , 2017
- Accès au bibtex
-
- titre
- Aperture antennas for millimeter and sub-millimeter wave applications
- auteur
- A.V. Boriskin, Ronan Sauleau
- article
- 2017
- Accès au bibtex
-
Patents
- titre
- Element de blindage électromagnétique optiquement transparent et à plusieurs zones
- auteur
- Cyril Dupeyrat, Patrice Foutrel, Philippe Besnier, Xavier Castel
- article
- France, N° de brevet: WO2017/207893. 2017
- Accès au bibtex
-
- titre
- Guide d’onde multi-modes à polarisation croisée à base de plaques parallèles structurées pour applications aux formateurs de faisceaux et antennes
- auteur
- N. Capet, Ronan Sauleau, Mauro Ettorre, Francesco Foglia Manzillo, Maciej Smierzchalski
- article
- France, N° de brevet: FR 3073325 A1. 2017
- Accès au bibtex
-
- titre
- Système antennaire agile optiquement transparent
- auteur
- Alexis Martin, Xavier Castel, Mohamed Himdi, Maryline Guilloux-Viry
- article
- France, N° de brevet: FR17/59865 2017
- Accès au bibtex
-
- titre
- Procédé d'obtention chimique d'une structure piézoélectrique flexible
- auteur
- Thibault Dufay, Stéphane Ginestar, Raynald Seveno, Benoit Guiffard
- article
- France, N° de brevet: WO2017178501 (A1). 2017, https://bases-brevets.inpi.fr/fr/document/WO2017178501.html?s=1513845051856&p=6&cHash=fbcea42bdf92dd55bac231bdc5bfb53c
- Accès au bibtex
-
- titre
- Implantable device with low-profile multi-band antenna
- auteur
- Denys Nikolayev, Maxim Zhadobov, Ronan Sauleau
- article
- France, Patent n° : FR1759268. 2017
- Accès au bibtex
-
- titre
- Biological environment sensing in vivo for ingestible and implantable wireless devices
- auteur
- Denys Nikolayev, Maxim Zhadobov, Ronan Sauleau
- article
- France, Patent n° : FR1759198. 2017
- Accès au bibtex
-
- titre
- Miniature low-profile antenna with ultra-robust impedance characteristics
- auteur
- Denys Nikolayev, Maxim Zhadobov, Ronan Sauleau
- article
- France, Patent n° : FR1759267. 2017
- Accès au bibtex
-
- titre
- Nouveaux matériaux absorbants les ondes électromagnétiques pour applications diverses
- auteur
- Chloé Mejean, Ratiba Benzerga, Ala Sharaiha
- article
- France, N° de brevet: WO 2017162973 A1. 2017
- Accès au bibtex
-
- titre
- Method for estimating parameters of signals contained in a frequency band
- auteur
- Vincent Gouldieff, Steredenn Daumont, Jacques Palicot, Yann Thomas
- article
- France, Patent n° : WO2017134233A1. 2017
- Accès au bibtex
-
- titre
- Formateur de faisceaux quasi-optique à lentille et antenne plane comportant un tel formateur de faisceaux
- auteur
- Hervé Legay, Ségolène Tubau, Jean Philippe Fraysse, Etienne Girard, Mauro Ettorre, Ronan Sauleau, Nelson J. G. Fonseca
- article
- France, Patent n° : FR3038457 A1. 2017
- Accès au bibtex
-
- titre
- Improved age modeling method
- auteur
- Victor Martin, Aurélie Porcheron, Renaud Séguier
- article
- France, Patent n° : EP 17 306 901.4. 2017
- Accès au bibtex
-
- titre
- Procédé d'élaboration d'un nuage de points 3D représentatif d'une oreille 3D d'un individu, et système associé
- auteur
- Lara Younes, Corentin Guezenoc, Renaud Seguier
- article
- France, N° de brevet: PCT N° PCT/EP2017/074987. 2017
- Accès au bibtex
-
Poster communications
- titre
- Conception and realization of highly selective bandpass filters in Ka-band built on thin polymer films
- auteur
- Mohammed El Gibari, Sara Bretin, Patrick Derval, Stéphane Ginestar, Guillaume Lirzin, Hong Wu Li
- article
- International Conference on Microelectronics, Dec 2017, Beirut, Lebanon. , 2017
- Accès au bibtex
-
- titre
- Tunable Power Divider With Varactors Based Schiffman Phase Shifters for Antenna Arrays Beam Steering
- auteur
- Rawia Ouali, Lotfi Osman, Tchanguiz Razban, Yann Mahé
- article
- Mediterranean Microwave Conference, Nov 2017, Marseille, France. pp.Poster, 2017, MMS 2017
- Accès au bibtex
-
- titre
- Low temperature plasma synthesized nanocarbon materials for the development of novel biosensors
- auteur
- C Pattyn, E. Kovacevic, S Hussain, J. Berndt, C. Boulmer-Leborgne, A. Stolz, A. Thomann, N. Semmar, O. Aubry, A a El Mel, P. Tessier, L. Donero, L. Le Brizoual, F Le Bihan, O. de Sagazan, Stéphanie Rose, Valérie Quesniaux, M. Boujtita
- article
- Journées Nationales sur les Technologies Emergentes en micronanofabrication (JNTE), Nov 2017, Orléans, France
- Accès au bibtex
-
- titre
- Activité antibactérienne de couches minces de TiO2 sur Listeria monocytogenes
- auteur
- Justine Louis, Marion Barthomeuf, Christelle Bernard, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- Bioadh'2017 “Colloque Bioadhésion, Biocontamination des surfaces”, Nov 2017, Bouray sur Juine, France. 2017, Actes de colloque de Bioadh'2017
- Accès au bibtex
-
- titre
- Effect of the annealing atmosphere on the performance and stability of CuInSe2 deposited by pyrolysis spray
- auteur
- Bachir Messaid, Razika Talaighil, Claire Le Paven, Florent Marlec, Ratiba Benzerga, Laurent Le Gendre, Fayçal Bensouici
- article
- 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Microwave absorbent material based on glass waste and carbon fibers
- auteur
- Younes Lamri, Ratiba Benzerga, Laurent Le Gendre, Azzedine Ayadi, Aicha El Assal
- article
- ISMSD2017, 3rd International Symposium on Materials and Sustainable Development, Nov 2017, Boumerdes, Algeria
- Accès au bibtex
-
- titre
- Low temperature SINWS based devices fabrication for flexible electronic applications
- auteur
- Kai Yang, Anne-Claire Salaün, Nathalie . Coulon, Olivier Le Monies de Sagazan, Laurent Pichon
- article
- Journées Nationales du Réseau Doctoral en Microélectronique, Nov 2017, Strasbourg, France
- Accès au bibtex
-
- titre
- Flexible Electro-Active Materials
- auteur
- Raynald Seveno, Thibault Dufay, Benoit Guiffard, Mohammed El Gibari, Julien Le Scornec, Jean-Christophe Thomas, Pierre-Jean Cottinet, Mickael Lallart, Lionel Petit, Vincent Le Cam
- article
- World Electronics Forum, Oct 2017, Angers, France. 2017
- Accès au texte intégral et bibtex
-
- titre
- Demonstrating the SPIDER Runtime for Reconfigurable Dataflow Graphs Execution onto a DMA-based Manycore Processor
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France. 2017
- Accès au texte intégral et bibtex
-
- titre
- Characterizing ecology and Monitoring ecological / human interactions from Space: the KALIDEOS Bretagne framework
- auteur
- Thomas Houet, Laurence Hubert-Moy, L. Houpert, Jean Nabucet, Samuel Corgne, E. Pottier, Hervé Nicolas, Nicolas Bellec
- article
- ILTER Research network / ZA & Critical Zone Observatory networks (LTER-France) joint Conference, Oct 2017, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- ARMHEx: a framework for efficient DIFT in real-world SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
- Accès au texte intégral et bibtex
-
- titre
- A combined experimental and theoretical study of the photophysical performance of SrTaO2N thin films
- auteur
- Ahmed Ziani, Claire Le Paven, Laurent Le Gendre, Florent Marlec, Ratiba Benzerga, Franck Tessier, François Cheviré, M.N. Hedhili, Angel T. Garcia-Esparza, Sigismund Melissen, P. Sautet, T. Le Bahers, K. Takanabe
- article
- 9th International Symposium on Nitrides and Related Materials (ISNT2017), Aug 2017, Sapporo, Japan
- Accès au bibtex
-
- titre
- Study of the Post-nitridation of Strontium and Tantalum Based Oxide and Oxynitride Thin Films
- auteur
- Florent Marlec, Laurent Le Gendre, Claire Le Paven, François Cheviré, Ratiba Benzerga, Franck Tessier, M. Chevaucherie, Ala Sharaiha
- article
- 9th International Symposium on Nitrides and Related Materials (ISNT2017), Aug 2017, Sapporo, Japan
- Accès au bibtex
-
- titre
- III-nitrides based electro-optic modulators
- auteur
- Bandar Alshehri, Mohammed El Gibari, Li Hong Wu, Dimitri Pavlidis, El Hadj Dogheche
- article
- International Conference on Nitride Semiconductors, Jul 2017, Strasbourg, France.
- Accès au bibtex
-
- titre
- Surface functionalization by soft-landing for sensor and antenna applications
- auteur
- Antoine Denis, David Rondeau, Xavier Castel, Mohamed Himdi
- article
- Journée des Doctorants IETR, Jul 2017, Rennes, France. 1 pp., 2017, Actes de Colloque de la Journée des Doctorants IETR
- Accès au bibtex
-
- titre
- Multi-Armed Bandit Learning in IoT Networks
- auteur
- Remi Bonnefoi, Lilian Besson
- article
- Journée des Doctorants de l'IETR, Jul 2017, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Vertical Handover Algorithm for WIFI-WiMax Systems Using Partial Reconfiguration Technique
- auteur
- Mohamad Alfadl Rihani, Jean-Christophe Prévotet, Fabienne Nouvel, Mohamad Mroue
- article
- 12ème Colloque du GDR SoC/SiP, Jun 2017, Bordeaux, France. , 2017, Colloque du GDR SOCSIP 2017
- Accès au bibtex
-
- titre
- Power Modeling for Fast & Early Power Estimation of FPGA-based Communications Systems
- auteur
- Yehya Nasser, Jean-Christophe Prévotet, Maryline Hélard
- article
- 12ème Colloque du GDR SoC/SiP, Jun 2017, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Solar energy harvesting and storage modeling for communicating sensors
- auteur
- Taoufik Bouguera, Guillaume Andrieux, Jean-François Diouris, Jean-Jacques Chaillout
- article
- Sino-French Workshop on Education and Research collaborations in Information and Communication Technologies, Jun 2017, Qingdao, China. paper ID 18, 2017, SIFWICT 2017
- Accès au bibtex
-
- titre
- Calibration of a reverberation chamber for mice exposure experiments in the 60-GHz band
- auteur
- Abdou Khadir Fall, Maxim Zhadobov, Philippe Besnier, Christophe Lemoine, Ronan Sauleau, Frédéric Percevault, François Ferriere, Yves Le Drean
- article
- BIOEM, Jun 2017, Hangzhou, China
- Accès au bibtex
-
- titre
- Optimisation de la communication par CPL sur un réseau de puissance MLI
- auteur
- Nabil Zaraneh, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot
- article
- Conférence des Jeunes Chercheurs en Génie Electrique (JCGE 2017), May 2017, Arras, France. , pp.sciencesconf.org:jcge2017:146347, 2017
- Accès au bibtex
-
- titre
- Hybrid Analog and Digital Precoding in Millimeter Wave Massive MIMO Systems with Realistic Hardware and Channel Constraints
- auteur
- Mohamed Shehata, Matthieu Crussière, Maryline Hélard, Patrice Pajusco, Bernard Uguen
- article
- 2017 IEEE SPS Summer School on Signal Processing for 5G Wireless Access, May 2017, Gothenburg, Sweden. , 2017
- Accès au texte intégral et bibtex
-
- titre
- Maximum Ratio Transmission and Zero-Forcing Preprocessing for Extended Receive Antenna Shift Keying (ERASK) and RASK transmission
- auteur
- Ali Mokh, Maryline Hélard, Matthieu Crussière
- article
- 2017 Joint IEEE SPS and EURASIP Summer School on Signal Processing for 5G Wireless Access, May 2017, Göteborg, Sweden.
- Accès au texte intégral et bibtex
-
- titre
- Metamaterial for microwave absorption improvement of pyramidal absorbers
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Recycled glass foam for microwave absorber application
- auteur
- Ratiba Benzerga, Ronan Lebullenger, Vincent Laur, Laurent Le Gendre, Younes Lamri, Ala Sharaiha, Patrick Queffelec
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Microwave dielectric and ferroelectric properties of strontium and tantalum based ferroelectric ceramics
- auteur
- Florent Marlec, Claire Le Paven, Ratiba Benzerga, Laurent Le Gendre, François Cheviré, Vincent Laur, Benoit Guiffard, T. Dufay, Franck Tessier, Ala Sharaiha
- article
- Pacific Rim Conference 2017 (Pacrim12), May 2017, Waikoloa, Hawaii, United States
- Accès au bibtex
-
- titre
- Nouveau matériau absorbant optimisé pour les chambres anéchoïques
- auteur
- C. Mejean, Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, M. Badard, Claire Le Paven, Philippe Pouliguen
- article
- 20èmes Journées Nationales Microondes (JNM 2017), May 2017, Rennes, France
- Accès au bibtex
-
- titre
- Optimisation d’un déphaseur NLTL
- auteur
- Houssam Eddine Retima, Yann Mahé, Tchanguiz Razban
- article
- Journees Nationales Microondes, May 2017, Saint-Malo, France. pp.session DP-P2 DISPOSITIFS PASSIFS, 2017
- Accès au bibtex
-
- titre
- Antenne compacte VHF pour la gestion du réseau électrique
- auteur
- Yann Mahé, Anne Chousseaud, Bruno Froppier, Guillaume Lirzin, Marc Brunet, Eduardo Motta-Cruz
- article
- Journees Nationales Microondes, May 2017, Saint-Malo, France. pp.session AP-P3 ANTENNES COMPACTES, 2017
- Accès au bibtex
-
- titre
- Antenne FM transparente et miniature en technologie imprimée
- auteur
- Alexis Martin, Corentin Gautier, Xavier Castel, Mohamed Himdi
- article
- JNM 2017 “20èmes Journées Nationales Microondes”, May 2017, Saint-Malo, France. 4 pp., 2017, Actes de colloque des JNM 2017
- Accès au bibtex
-
- titre
- Low cost instantly printed silver nano ink flexible dual-band antenna onto paper substrate
- auteur
- Husameldin A. Elmobarak, Sharul A. K. Rahim, Mohamed Himdi, Xavier Castel
- article
- EUCAP 2017 “The 11th European Conference on Antennas and Propagation”, Mar 2017, Paris, France. 4 pp., 2017, Proceedings of the 11th European Conference on Antennas and Propagation
- Accès au bibtex
-
- titre
- Caractérisation de la récupération de l’énergie solaire pour les capteurs communicants
- auteur
- Taoufik Bouguera, Jean-François Diouris, Guillaume Andrieux, Jean-Jacques Chaillout
- article
- Journees scientifiques 2017 URSI France : Radiosciences au service de l’humanité, Feb 2017, Nice, France. 2017
- Accès au bibtex
-
- titre
- Development of lead-free ferroelectric thin films for reconfigurable miniature antennas
- auteur
- Barthélemy Aspe, Maryline Guilloux-Viry, Xavier Castel, Ronan Sauleau
- article
- Journée des doctorants de l’école doctorale SDLM, Jan 2017, Rennes, France. 1 pp., 2017
- Accès au bibtex
-
Reports
- titre
- Study of the Impact of Standard Image Compression Techniques on Performance of Image Classification with a Convolutional Neural Network
- auteur
- Mathieu Dejean-Servières, Karol Desnos, Kamel Abdelouahab, Wassim Hamidouche, Luce Morin, Maxime Pelcat
- article
- [Research Report] INSA Rennes; Univ Rennes; IETR; Institut Pascal. 2017
- Accès au texte intégral et bibtex
-
- titre
- Hardware Automated Datafow Deployment of CNNs
- auteur
- Kamel Abdelouahab, Maxime Pelcat, Jocelyn Sérot, François Berry, Cédric Bourrasset, Jean-Charles Quinton
- article
- [Technical Report] Institut Pascal, Clermont Ferrand. 2017
- Accès au texte intégral et bibtex
-
- titre
- Security of the Distributed Model Predictive Control
- auteur
- Sylvain Chatel, Pierre Haessig, Romain Bourdais
- article
- [Research Report] IETR; CentraleSupélec. 2017
- Accès au texte intégral et bibtex
-
- titre
- Waveforms MOdels for Machine Type CommuNication inteGrating 5G Networks (WONG5) Document Number D3.1
- auteur
- Hmaied Shaiek, Daniel Roviras, Yahia Medjahdi, Rafik Zayani, Mouna Ben Mabrouk, Yves Louët
- article
- [Research Report] Conservatoire national des arts et métiers - CNAM. 2017
- Accès au texte intégral et bibtex
-
- titre
- Multicore Runtime for Dynamic Dataflow Video Decoders
- auteur
- Hervé Yviquel, Alexandre Sanchez, Raulet Mickaël, Emmanuel Casseau
- article
- [Technical Report] IETR/INSA Rennes; IRISA, Inria Rennes. 2017
- Accès au bibtex
-
- titre
- Models of Architecture: Application to ESL Model-Based Energy Consumption Estimation
- auteur
- Maxime Pelcat, Alexandre Mercat, Karol Desnos, Luca Maggiani, Yanzhou Liu, Julien Heulot, Jean-François Nezan, Wassim Hamidouche, Daniel Menard, Shuvra S Bhattacharyya
- article
- [Research Report] IETR/INSA Rennes; Scuola Superiore Sant’Anna, Pisa; Institut Pascal; University of Maryland, College Park; Tampere University of Technology, Tampere. 2017
- Accès au texte intégral et bibtex
-
Theses
- titre
- High resolution RCS imaging in anechoic chamber by introducing a random medium
- auteur
- Stefania Bucuci
- article
- Signal and Image processing. Université de Rennes; Universite de rennes 1, 2017. English. ⟨NNT : 2017REN1S108⟩
- Accès au texte intégral et bibtex
-
- titre
- Algorithmes de radiolocalisation et traitements adaptés à une architecture de récepteur IR-UWB intégrée
- auteur
- Jimmy Maceraudi
- article
- Réseaux et télécommunications [cs.NI]. Université de Rennes, 2017. Français. ⟨NNT : 2017REN1S106⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement de transistors à effet de champ organiques et de matériaux luminescents à base de nanoclusters par impression à jet d’encre
- auteur
- Malo Robin
- article
- Electronique. Université de Rennes, 2017. Français. ⟨NNT : 2017REN1S105⟩
- Accès au texte intégral et bibtex
-
- titre
- Exploitation d'un Réseau d’Énergie Électrique Modulé en Largeur d'Impulsions pour la transmission de données par Courant Porteur en Ligne : Modélisation, Simulation et Expérimentation.
- auteur
- Nabil Zaraneh
- article
- Electronique. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter wave radio channel characterization and site-specific simulation for 5G systems
- auteur
- Mamadou Dialounké Baldé
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S134⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude et optimisation de solutions reposant sur les réseaux cellulaires existants pour l'internet des objets
- auteur
- Louis-Adrien Dufrene
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2017. Français. ⟨NNT : 2017ISAR0022⟩
- Accès au texte intégral et bibtex
-
- titre
- Coexistence of communication systems based on enhanced multi-carrier waveforms with legacy OFDM Networks
- auteur
- Quentin Bodinier
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S091⟩
- Accès au texte intégral et bibtex
-
- titre
- Leveraging Blendshapes for Realtime Physics-Based Facial Animation
- auteur
- Vincent Barrielle
- article
- Signal and Image processing. CentraleSupélec, 2017. English. ⟨NNT : 2017CSUP0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Construction non supervisée d'un modèle expressif spécifique à la personne
- auteur
- Raphaël Weber
- article
- Traitement du signal et de l'image [eess.SP]. CentraleSupélec, 2017. Français. ⟨NNT : 2017CSUP0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-wave radar imaging systems : focusing antennas, passive compressive devicefor MIMO configurations and high resolution signal processing
- auteur
- Antoine Jouadé
- article
- Signal and Image processing. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S154⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation on radio channel over the air emulation by multi-probe setup
- auteur
- Mounia Belhabib
- article
- Electronics. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S070⟩
- Accès au texte intégral et bibtex
-
- titre
- Chaos-based security under real-time and energy constraints for the Internet of Things
- auteur
- Ons Jallouli
- article
- Signal and Image processing. UNIVERSITE DE NANTES, 2017. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Élaboration de nouveaux matériaux absorbants : application en chambres anéchoïques
- auteur
- Chloé Méjean
- article
- Electronique. Université de Rennes, 2017. Français. ⟨NNT : 2017REN1S153⟩
- Accès au texte intégral et bibtex
-
- titre
- Compromis efficacité énergétique et efficacité spectrale pour les objets communicants autonomes
- auteur
- Randa Jaouadi
- article
- Electronique. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation de l'association des utilisateurs et de l'allocation des ressources dans les réseaux sans fil hétérogènes
- auteur
- Mohamad Zalghout
- article
- Electronique. INSA de Rennes, 2017. Français. ⟨NNT : 2017ISAR0018⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception et étude d'antennes actives optiquement transparentes : de la VHF jusqu'au millimétrique
- auteur
- Alexis Martin
- article
- Electronique. Université de Rennes, 2017. Français. ⟨NNT : 2017REN1S126⟩
- Accès au texte intégral et bibtex
-
- titre
- Source Localization with MIMO Systems
- auteur
- Parth Raj Singh
- article
- Electronics. UNIVERSITE DE NANTES, 2017. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude de couches minces piézoélectriques flexibles pour la récupération d'énergie vibratoire
- auteur
- Thibault Dufay
- article
- Sciences de l'ingénieur [physics]. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement d'un driver communicant pour MOSFET SiC
- auteur
- Christophe Bouguet
- article
- Electronique. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Content privacy and access control in image-sharing platforms
- auteur
- Kun He
- article
- Social and Information Networks [cs.SI]. CentraleSupélec, 2017. English. ⟨NNT : 2017CSUP0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time and Portable Chaos-based Crypto-Compression Systems for Efficient Embedded Architectures
- auteur
- Mohammad Abu Taha
- article
- Electronics. UNIVERSITE DE NANTES, 2017. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution à l'étude du canal de propagation à l'intérieur des bâtiments par simulations et mesures
- auteur
- Zaher Sayegh
- article
- Autre. INSA de Rennes, 2017. Français. ⟨NNT : 2017ISAR0029⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception d’une antenne compacte de station de base pour réseaux cellulaires
- auteur
- Loïc Martin
- article
- Electronique. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation numérique de l'exposition électromagnétique des personnes en bandes HF et VHF
- auteur
- Jeanne Frère
- article
- Electronique. Université de Rennes, 2017. Français. ⟨NNT : 2017REN1S027⟩
- Accès au texte intégral et bibtex
-
- titre
- Quality Evaluation in Fixed-point Systems with Selective Simulation
- auteur
- Riham Nehmeh
- article
- Signal and Image processing. INSA de Rennes, 2017. English. ⟨NNT : 2017ISAR0020⟩
- Accès au texte intégral et bibtex
-
- titre
- Contactless detection of cardiopulmonary activity for a person in different scenarios
- auteur
- Sarah Samad
- article
- Electronics. INSA de Rennes; Université Libanaise, 2017. English. ⟨NNT : 2017ISAR0030⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning and Statistical Decision Making for Green Radio
- auteur
- Navikkumar Modi
- article
- Autre. CentraleSupélec, 2017. Français. ⟨NNT : 2017CSUP0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement de micro-capteurs et de nanomatériaux pour des applications de détection en milieu liquide
- auteur
- Laetitia Donero
- article
- Micro et nanotechnologies/Microélectronique. Université Bretagne Loire, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy efficiency-spectral efficiency tradeoff in interference-limited wireless networks
- auteur
- Ahmad Mahbubul Alam
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2017. English. ⟨NNT : 2017ISAR0028⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband and flat multibeam antenna solutions for ultrafast communications in millimeter band
- auteur
- Francesco Foglia Manzillo
- article
- Networking and Internet Architecture [cs.NI]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S110⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude et conception d'une antenne compacte ultra large-bande à diversité de polarisation. Application à la radiogoniométrie.
- auteur
- Nina Lorho
- article
- Electronique. UNIVERSITE DE NANTES, 2017. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Analyse théorique des distorsions dans la bande et en dehors de la bande de transmission pour les signaux à porteuses multiples : Impact conjoint des non-linéarités de l'amplificateur de puissance et effets de mémoire et prédistorsion
- auteur
- Ali Cheaito
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2017. Français. ⟨NNT : 2017ISAR0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Perforance evaluation of vehicle radiofrequency communication systems : contribution to the modelling approach
- auteur
- Jessen Narrainen
- article
- Other. INSA de Rennes, 2017. English. ⟨NNT : 2017ISAR0007⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation on Near-field Source Localization and the Corresponding Applications
- auteur
- Jianzhong Li
- article
- Electronics. UNIVERSITE DE NANTES, 2017. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced radiating systems based on leaky waves and nondiffracting waves
- auteur
- Walter Fuscaldo
- article
- Electronics. Université de Rennes; Université de Rome Sapienza; Università degli studi La Sapienza (Rome), 2017. English. ⟨NNT : 2017REN1S015⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation, analyse et optimisation de réseaux hybrides unicast-broadcast pour la diffusion de services multimédias linéaires et non linéaires
- auteur
- Pape Abdoulaye Fam
- article
- Réseaux et télécommunications [cs.NI]. INSA de Rennes, 2017. Français. ⟨NNT : 2017ISAR0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Feasibility of solution processed organic field-effect transistors
- auteur
- Wenlin Kuai
- article
- Micro and nanotechnologies/Microelectronics. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S013⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Performances optimales d'une allocation globale de ressources radio dans des réseaux hétérogènes
- auteur
- Déthié Dione, Salimata Gueye Diagne, Coumba Diallo
- article
- 2017
- Accès au texte intégral et bibtex
-
2016
Journal articles
- titre
- Domain wall motions in BST ferroelectric thin films in the microwave frequency range
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Areski Ghalem, Aurelian Crunteanu, Laure Huitema, Frédéric Dumas-Bouchiat, Pascal Marchet, Corinne Champeaux, Hartmut W Gundel
- article
- Applied Physics Letters, 2016, 109 (26), pp.262902. ⟨10.1063/1.4973451⟩
- Accès au texte intégral et bibtex
-
- titre
- EVM derivation of multicarrier signals to determine the operating point of the power amplifier considering clipping and predistortion
- auteur
- Ali Cheaito, Jean-François Hélard, Matthieu Crussière, Yves Louët
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, 2016 (1), ⟨10.1186/s13638-016-0771-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Energie et radio-sciences
- auteur
- Yves Louët, Jacques Palicot
- article
- La Revue de l'électricité et de l'électronique, 2016, 5, ⟨10.23723/1301:2016-5/17785⟩
- Accès au bibtex
-
- titre
- Efficient Learning in Stationary and Non-stationary OSA Scenario with QoS Guaranty
- auteur
- Navikummar Modi, Philippe Mary, Christophe Moy
- article
- EAI Endorsed Transactions on Wireless Spectrum, 2016, 3 (11), pp.152098. ⟨10.4108/eai.9-1-2017.152098⟩
- Accès au bibtex
-
- titre
- Soil Parameter Retrievals Over Bare Agricultural Fields Using Multiangular RADARSAT-2 Dataset
- auteur
- Hongquan Wang, Sophie Allain-Bailhache, Stéphane Méric, Éric Pottier
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2016, IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 9 (12), pp.5666 - 5676. ⟨10.1109/JSTARS.2016.2525000⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Ionospheric HF MIMO Channels: two complementary circular polarizations reduce correlation.
- auteur
- Yvon Erhel, Dominique Lemur, Martial Oger, Jérôme Le Masson, François Marie
- article
- IEEE Antennas and Propagation Magazine, 2016, 58 (6), pp.38-48. ⟨10.1109/MAP.2016.2609799⟩
- Accès au bibtex
-
- titre
- Design of multicore HEVC decoders using actor-based dataflow models and OpenMP
- auteur
- Miguel Chavarrias, Fernando Pescador, Matias Garrido, Alexandre Sanchez, César Sanz
- article
- IEEE Transactions on Consumer Electronics, 2016, 63 (3), pp.325 - 333. ⟨10.1109/TCE.2016.7613200⟩
- Accès au bibtex
-
- titre
- Dielectric characteristics and microwave absorption of graphene composite materials
- auteur
- Kevin Rubrice, Xavier Castel, Mohamed Himdi, Patrick Parneix
- article
- Materials, 2016, 9 (10), pp.825 1-10. ⟨10.3390/ma9100825⟩
- Accès au bibtex
-
- titre
- MIMO-OFDM signal optimization for SAR imaging radar
- auteur
- Jean-Yves Baudais, Stéphane Méric, V Riché, E. Pottier
- article
- EURASIP Journal on Advances in Signal Processing, 2016, 4 (103), ⟨10.1186/s13634-016-0402-7⟩
- Accès au texte intégral et bibtex
-
- titre
- A way to introduce Innovative approach in the field of Microelectronics and nanotechnologies in the Chinese Education system Science
- auteur
- Olivier Bonnaud, Lei Wei
- article
- Proceedings of Engineering and Technology Innovation, 2016, 4, pp.19-21
- Accès au bibtex
-
- titre
- Antimicrobial activity of stainless steel with a modified TiN upperlayer on meat related contaminants
- auteur
- Frédéric de Nardi, David Delaunay, Roland Talibart, Xavier Castel, Laurent Le Gendre, Arnaud Castillo, Christine Pissavin
- article
- Journal of Food Science and Engineering, 2016, 6 (6), pp.332-343. ⟨10.17265/2159-5828/2016.06.005⟩
- Accès au bibtex
-
- titre
- Impedance analysis of transmission line cells for EMC applications using Agros2D
- auteur
- Denys Nikolayev, Zdeněk Kubík, Pavel Karban, Jiří Skála
- article
- Applied Mathematics and Computation, 2016, 289, pp.381-387. ⟨10.1016/j.amc.2016.05.027⟩
- Accès au bibtex
-
- titre
- Impact of 60-GHz millimeter waves on stress and pain-related protein expression in differentiating neuron-like cells
- auteur
- A.J. Haas, Y. Le Page, M. Zhadobov, A. Boriskin, R. Sauleau, Y. Le Dréan
- article
- Bioelectromagnetics, 2016, 37 (7), pp.444--454. ⟨10.1002/bem.21995⟩
- Accès au bibtex
-
- titre
- Experimental Dosimetry in a Mode-Stirred Reverberation Chamber in the 60-GHz Band
- auteur
- Abdou Khadir Fall, Philippe Besnier, Christophe Lemoine, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2016, 58 (4), ⟨10.1109/TEMC.2016.2550803⟩
- Accès au bibtex
-
- titre
- Remote Heartbeat Detection Using Microwave System from Four Positions of a Normally Breathing Patient
- auteur
- Sarah El-Samad, Dany Obeid, Gheorghe Zaharia, Sawsan Sadek, Ghaïs El Zein
- article
- International Journal on Communications Antenna and Propagation, 2016, 6 (3), ⟨10.15866/irecap.v6i3.9281⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimal Bitrate Allocation in the Scalable HEVC Extension for the Deployment of UHD Services
- auteur
- Thibaud Biatek, Wassim Hamidouche, Travers Jean-Francois, Olivier Déforges
- article
- IEEE Transactions on Broadcasting, 2016, 62 (4), pp.826 - 841. ⟨10.1109/TBC.2016.2599266⟩
- Accès au bibtex
-
- titre
- Proof-of-Concept System for Opportunistic Spectrum Access in Multi-user Decentralized Networks
- auteur
- Christophe Moy, Jacques Palicot, Sumit J. Darak
- article
- EAI Endorsed Transactions on Cognitive Communications, 2016, 2 (7), ⟨10.4108/eai.5-9-2016.151647⟩
- Accès au bibtex
-
- titre
- Measure of Temporal Variation of P-Band Radar Cross Section and Temporal Coherence of a Temperate Tree.
- auteur
- Clément Albinet, Pierre Borderies, Nicolas Floury, Eric Pottier
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2016, 54 (11), p. 6255-6264. ⟨10.1109/TGRS.2016.2565384⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnetoelectric coupling in Fe3O4/P(VDF-TrFE) nanocomposites
- auteur
- Rabah Belouadah, Laurence Seveyrat, Daniel Guyomar, Benoit Guiffard, Fouad Belhora
- article
- Sensors and Actuators A: Physical , 2016, 247, pp.298-308. ⟨10.1016/j.sna.2016.06.013⟩
- Accès au bibtex
-
- titre
- Novel learning-based spatial reuse optimization in dense WLAN deployements
- auteur
- Imad Jamil, Laurent Cariou, Jean-François Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, ⟨10.1186/s13638-016-0632.2⟩
- Accès au bibtex
-
- titre
- Continuous gps network in vietnam and results of study on the total electron content in the south east asian region
- auteur
- Le Huy Hu, Tran Thi Lan, Christine Amory-Mazaudier, Rolland Fleury, A. Bourdillon, J. Hu, Vu Tuan Hung, Nguyen Chien Thang, Le Truong Thanh, Nguyen Ha Thanh
- article
- Vietnam Journal of Earth Sciences , 2016, 38 (2), pp.153 - 165. ⟨10.15625/0866-7187/38/2/8598⟩
- Accès au texte intégral et bibtex
-
- titre
- Selenide sputtered films development for MIR environmental sensor
- auteur
- E. Baudet, Aldo Gutierrez, P. Nemec, Loïc Bodiou, Jonathan Lemaitre, O. de Sagazan, H. Lhermitte, E. Rinnert, Karine Michel, B. Bureau, Joël Charrier, Virginie Nazabal
- article
- Optical Materials Express, 2016, 6 (8), pp.2616--2627. ⟨10.1364/OME.6.002616⟩
- Accès au bibtex
-
- titre
- D’AGLAE à New AGLAE
- auteur
- Claire Pacheco, Quentin Lemasson, Brice Moignard, Laurent Pichon, Marie Radepont, Didier Gourier
- article
- Technè, 2016, 43, pp.63-69. ⟨10.4000/techne.689⟩
- Accès au bibtex
-
- titre
- Low-profile wideband monopole antenna for mobile and wireless monitoring applications
- auteur
- Bilal El Jaafari, Jean Marie Floc'H
- article
- Microwave and Optical Technology Letters, 2016, 58 (8), pp.1813--1817. ⟨10.1002/mop.29916⟩
- Accès au bibtex
-
- titre
- Luminescence at 2.8 μm: Er3+-doped chalcogenide micro-waveguide
- auteur
- Virginie Nazabal, F. Starecki, J. -L. Doualan, P. Němec, P. Camy, H. Lhermite, Loïc Bodiou, M. L. Anne, Joël Charrier, Jean-Luc Adam
- article
- Optical Materials, 2016, 58, pp.390--397. ⟨10.1016/j.optmat.2016.06.009⟩
- Accès au bibtex
-
- titre
- LAR-LLC: A Low Complexity Multiresolution Lossless Image Codec
- auteur
- Yi Liu, Olivier Déforges, Khouloud Samrouth
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2016, 26 (8), pp.1490-1501. ⟨10.1109/tcsvt.2015.2461871⟩
- Accès au bibtex
-
- titre
- Subspace Leakage Suppression for Joint Parameter Estimation of Quality Factors and Time Delays in Dispersive Media
- auteur
- Khaled Chahine, Vincent Baltazart, Yide Wang
- article
- Circuits, Systems, and Signal Processing, 2016, 35 (8), pp.2943-2957. ⟨10.1007/s00034-015-0180-8⟩
- Accès au bibtex
-
- titre
- Optical properties of type-II AlInAs/AlGaAs quantum dots by photoluminescence studies
- auteur
- I. Saidi, R. Neffati, S. Ben Radhia, K. Boujdaria, A. Lemaitre, Frédéric Bernardot, C. Testelin
- article
- Journal of Applied Physics, 2016, 120 (3), pp.035701. ⟨10.1063/1.4958867⟩
- Accès au bibtex
-
- titre
- High-gain Broadband Printed Yagi Antenna For Applications In ISM Bands
- auteur
- Bilal El Jaafari, Jean Marie Floc'H
- article
- RF Global Neswletter, 2016
- Accès au bibtex
-
- titre
- Hybrid approach for fast occlusion processing in computer-generated hologram calculation
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- Applied optics, 2016, 55 (20), pp.5459 - 5470. ⟨10.1364/AO.55.005459⟩
- Accès au texte intégral et bibtex
-
- titre
- Transverse circular-polarized Bessel beam generation by inward cylindrical aperture distribution,
- auteur
- Santi Concetto Pavone, Mauro Ettorre, Massimiliano Casaletti, Matteo Albani
- article
- Optics Express, 2016, 24 (10), pp.11103-11111. ⟨10.1364/OE.24.011103⟩
- Accès au bibtex
-
- titre
- Energy efficient resource allocation for quantity of information delivery in parallel channels
- auteur
- Jean-Yves Baudais, Abdallah Hamini, Andrea M. Tonello
- article
- Transactions on emerging telecommunications technologies, 2016, 27 (7), pp.910-922. ⟨10.1002/ett.2858⟩
- Accès au bibtex
-
- titre
- Multiscale contrast similarity deviation: An effective and efficient index for perceptual image quality assessment
- auteur
- Tonghan Wang, Lu Zhang, Huizhen Jia, Baosheng Li, Huazhong Shu
- article
- Signal Processing: Image Communication, 2016, 45, pp.1--9. ⟨10.1016/j.image.2016.04.005⟩
- Accès au texte intégral et bibtex
-
- titre
- THE EVOLUTION OF THE GALAXY S℡LAR MASS FUNCTION AT z=4-8: A STEEPENING LOW-MASS-END SLOPE WITH INCREASING REDSHIFT
- auteur
- Mimi Song, Steven L. Finkelstein, Matthew L. N. Ashby, A. Grazian, Yu Lu, Casey Papovich, Brett Salmon, Rachel S. Somerville, Mark Dickinson, K. Duncan, Sandy M. Faber, Giovanni G. Fazio, Henry C. Ferguson, Adriano Fontana, Yicheng Guo, Nimish Hathi, Seong-Kook Lee, Emiliano Merlin, S. P. Willner
- article
- The Astrophysical Journal, 2016, 825 (1), ⟨10.3847/0004-637X/825/1/5⟩
- Accès au bibtex
-
- titre
- Printed multiband metamaterial-inspired antennas
- auteur
- Saber Dakhli, Hatem Rmili, Jean Marie Floc'H, Muntasir Sheikh, Abdallah Dobaie, Kourosh Mahdjoubi, Fethi Choubani, Richard W Ziolkowski
- article
- Microwave and Optical Technology Letters, 2016, 58 (6), pp.1281--1289. ⟨10.1002/mop.29792⟩
- Accès au texte intégral et bibtex
-
- titre
- Green's Function Retrieval with Absorbing Probes in Reverberating Cavities
- auteur
- Matthieu Davy, Julien de Rosny, Philippe Besnier
- article
- Physical Review Letters, 2016, 116 (21), pp.213902. ⟨10.1103/PhysRevLett.116.213902⟩
- Accès au texte intégral et bibtex
-
- titre
- Guest Editorial: New Frontiers in Signal Processing Applications and Embedded Processing Technologies
- auteur
- John Mcallister, Maire O’neill, Maxime Pelcat
- article
- Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, 2016
- Accès au bibtex
-
- titre
- On the detection probability of the standard condition number detector in finite-dimensional cognitive radio context
- auteur
- Hussein Kobeissi, Youssef, Joseph Nasser, Amor Nafkha, Oussama Bazzi, Yves Louët
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, 2016 (1), pp.137. ⟨10.1186/s13638-016-0634-0⟩
- Accès au bibtex
-
- titre
- Application of Hierarchical and Distributed Cognitive Architecture Management for the Smart Grid
- auteur
- Jacques Palicot, Christophe Moy, Benoît Résimont, Rémi Bonnefoi
- article
- Ad Hoc Networks, 2016, 41, pp.86-98. ⟨10.1016/j.adhoc.2015.12.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
- auteur
- Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
- Accès au bibtex
-
- titre
- Low Complex OFDM Synchronization in Power Line Communication for Flight Control System in Aircraft
- auteur
- Jean-Yves Baudais, Fabienne Nouvel, Thomas Larhzaoui
- article
- International Journal of Engineering Research & Science, 2016, 2 (5), pp.195-205
- Accès au bibtex
-
- titre
- OFDM/OQAM Blind Equalization Using CNA Approach OFDM/OQAM Blind Equalization Using CNA Approach
- auteur
- Vincent Savaux, Jacques Palicot, Faouzi Bader
- article
- IEEE Transactions on Signal Processing, 2016, 64 (9), pp.2324-2333. ⟨10.1109/TSP.2016.2519000⟩
- Accès au texte intégral et bibtex
-
- titre
- Theoretical bit error floor analysis of 16 QAM OFDM signal with channel estimation using polynomial interpolation
- auteur
- Vincent Savaux, Alexandre Skrzypczak, Yves Louët
- article
- IET Signal Processing, 2016, 10 (3), pp.254-265. ⟨10.1049/iet-spr.2015.0099⟩
- Accès au bibtex
-
- titre
- S℡LAR MASS-GAS-PHASE METALLICITY RELATION AT 0.5 \textless= z \textless= 0.7: A POWER LAW WITH INCREASING SCATTER TOWARD THE LOW-MASS REGIME
- auteur
- Jonathan R. Trump, Ricardo Amorin, Guillermo Barro, Romeel Dave, S. M. Faber, Nimish P. Hathi, Hassen Yesuf, Michael C. Cooper, Avishai Dekel, Puragra Guhathakurta, Evan N. Kirby, Anton M. Koekemoer, Pablo G. Perez-Gonzalez, Lihwai Lin, Jeffery A. Newman, Joel R. Primack, David J. Rosario, Christopher N. A. Willmer, Renbin Yan, Yicheng Guo, David C. Koo, Yu Lu, John C. Forbes, Marc Rafelski
- article
- The Astrophysical Journal, 2016, 822 (2), ⟨10.3847/0004-637X/822/2/103⟩
- Accès au bibtex
-
- titre
- Design and experimental characterization of a reconfigurable transmitarray with reduced focal distance
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Philippe Pouliguen
- article
- International Journal of Microwave and Wireless Technologies, 2016, 8 (Special Issue 03), pp.447--454. ⟨10.1017/S1759078716000118⟩
- Accès au bibtex
-
- titre
- Design of wideband dual linearly polarized transmitarray antennas
- auteur
- K. Pham, N. T. Nguyen, A. Clemente, L. Di Palma, L. Le Coq, L. Dussopt, R Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (5), pp.2022--2026. ⟨10.1109/TAP.2016.2536160⟩
- Accès au bibtex
-
- titre
- A 60 GHz passive repeater array with quasi-endfire radiation based on metal groove unit-cells
- auteur
- Duo Wang, Raphaël Gillard, Renaud Loison
- article
- International Journal of Microwave and Wireless Technologies, 2016, 8 (Special Issue 03), pp.431--436. ⟨10.1017/S1759078716000234⟩
- Accès au bibtex
-
- titre
- A Novel RFID EMSICC-based Chipless Tag
- auteur
- Soumaya Sakouhi, Hedi Raggad, Ali Gharsallam, Mohamed Latrach
- article
- Radioengineering, 2016
- Accès au bibtex
-
- titre
- Fast Power and Performance Evaluation of FPGA-Based Wireless Communication Systems
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- IEEE Access, 2016, 4, 4, pp.2005-2018. ⟨10.1109/ACCESS.2016.2559781⟩
- Accès au bibtex
-
- titre
- Method for material characterization in a non-anechoic environment
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Razvan D. Tamas, Philippe Pouliguen
- article
- Applied Physics Letters, 2016, 108, 108 (16), pp.161604. ⟨10.1063/1.4947100⟩
- Accès au texte intégral et bibtex
-
- titre
- The Adaptive Controlled Stratification Method Applied to the Determination of Extreme Interference Levels in EMC Modeling With Uncertain Input Variables
- auteur
- Philippe Besnier, Mourad Larbi, Bernard Pecqueux
- article
- IEEE Transactions on Electromagnetic Compatibility, 2016, 58 (2), pp.543-552. ⟨10.1109/TEMC.2015.2510666⟩
- Accès au texte intégral et bibtex
-
- titre
- A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems
- auteur
- Muhammad Mahtab Alam, Elyes Ben Hamida, Olivier Berder, Olivier Sentieys, Daniel Menard
- article
- IEEE Access, 2016, 4, pp.1287-1300
- Accès au bibtex
-
- titre
- Antenna Calibration for Near-Field Material Characterization
- auteur
- Greg Hislop, Christophe Craeye, D. Gonzalez-Ovejero
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (4), pp.1364-1372. ⟨10.1109/TAP.2016.2526087⟩
- Accès au bibtex
-
- titre
- Effects of 60-GHz Millimeter Waves on Neurite Outgrowth in PC12Cells Using High Content Screening
- auteur
- Alexis Haas, Yann Le Page, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan
- article
- Neuroscience Letters, 2016, 618, pp.58-65. ⟨10.1016/j.neulet.2016.02.038⟩
- Accès au texte intégral et bibtex
-
- titre
- Image quality assessment based on perceptual grouping
- auteur
- Wang Tonghan, Lu Zhang, Huizhen Jia, Kong Youyong, Li Baosheng, Shu Huazhong
- article
- Journal of Southeast University (English Edition), 2016, 32 (1), pp.29-34. ⟨10.3969/j.issn.1003-7985.2016.01.006⟩
- Accès au bibtex
-
- titre
- A Workflow for Multiclass Determination of 256 Pesticides in Essential Oils by Liquid Chromatography Tandem Mass Spectrometry using Evaporation and Dilution Approaches: Application to Lavandin, Lemon and Cypress Essential Oils
- auteur
- Yoann Fillâtre, David Rondeau, Antoine Daguin, Pierre-Yves Communal
- article
- Talanta, 2016, 149, pp.178-186. ⟨10.1016/j.talanta.2015.11.052⟩
- Accès au texte intégral et bibtex
-
- titre
- Higher-Order Leaky-Mode Bessel-Beam Launcher
- auteur
- Walter Fuscaldo, Guido Valerio, Alessandro Galli, Ronan Sauleau, Anthony Grbic, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (3), pp.904--913. ⟨10.1109/TAP.2015.2513076⟩
- Accès au texte intégral et bibtex
-
- titre
- Simplified Modal Expansion to Analyze Frequency-Selective Surfaces: An Equivalent Circuit Approach
- auteur
- F. Mesa, R. Rodríguez-Berral, M. García-Vigueras, F. Medina, J. R Mosig
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (3), pp.1106--1111. ⟨10.1109/TAP.2015.2513423⟩
- Accès au bibtex
-
- titre
- Phase Calibration of Airborne Tomographic SAR Data via Phase Center Double Localization
- auteur
- S. Tebaldini, F. Rocca, M. Mariotti d'Alessandro, Laurent Ferro-Famil
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2016, 54 (3), pp.1775--1792. ⟨10.1109/TGRS.2015.2488358⟩
- Accès au bibtex
-
- titre
- Enhanced GPR Signal for Layered Media Time-Delay Estimation in Low-SNR Scenario
- auteur
- Jianzhong Li, Cédric Le Bastard, Yide Wang, Gang Wei, Biyun Ma, Meng Sun
- article
- IEEE Geoscience and Remote Sensing Letters, 2016, 13 (3), pp.299--303. ⟨10.1109/LGRS.2015.2502662⟩
- Accès au bibtex
-
- titre
- Miniaturized bendable 400 MHz artificial magnetic conductor
- auteur
- Anthony Presse, Anne-Claude Tarot
- article
- Applied physics. A, Materials science & processing, 2016, 122 (4), pp.1--5. ⟨10.1007/s00339-016-9877-1⟩
- Accès au bibtex
-
- titre
- On Memory Reuse Between Inputs and Outputs of Dataflow Actors
- auteur
- Karol Desnos, Maxime Pelcat, Jean François Nezan, Slaheddine Aridhi
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (2), pp.30. ⟨10.1145/2871744⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast and secure chaos-based cryptosystem for images
- auteur
- Mousa Farajallah, Safwan El Assad, Olivier Deforges
- article
- International journal of bifurcation and chaos in applied sciences and engineering , 2016, 26 (2), pp.1650021.1-1650021.21. ⟨10.1142/S0218127416500218⟩
- Accès au texte intégral et bibtex
-
- titre
- Millington Effect and Propagation Enhancement in 60-GHz Body Area Networks
- auteur
- Theodoros Mavridis, Carole Leduc, Luca Petrillo, Julien Sarrazin, Maxim Zhadobov, Ronan Sauleau, Aziz Benlarbi-Delai, Philippe de Doncker
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (2), pp.776-781. ⟨10.1109/TAP.2015.2505741⟩
- Accès au texte intégral et bibtex
-
- titre
- Synthesis Procedure for Thinned Leaky-Wave-Based Arrays With Reduced Number of Elements
- auteur
- F. Scattone, M. Ettorre, Benjamin Fuchs, R. Sauleau, N. J. G Fonseca
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (2), pp.582--590. ⟨10.1109/TAP.2015.2509008⟩
- Accès au bibtex
-
- titre
- A Mobile Application Guiding Patients With Type 1 Diabetes Using Sensor-Augmented Insulin Pump Therapy
- auteur
- Annabelle Esvant, Marie-Anne Lefebvre, Boris Campillo-Gimenez, Morgane Lannes, Denis Delamarre, Isabelle Guilhem, Jean-Yves Poirier
- article
- Journal of diabetes science and technology, 2016, 10 (4), pp.985-986. ⟨10.1177/1932296816633486⟩
- Accès au bibtex
-
- titre
- Novel N -Band Tunable Resonator Based on N -Order Dual Behavior Resonator and Tunable Capacitors
- auteur
- Erwan Fourn, Cédric Quendo, Eric Rius
- article
- International Journal of Microwave and Wireless Technologies, 2016, 8 (1), pp.15-23. ⟨10.1017/S1759078714001378⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficiency of texture image enhancement by DCT-based filtering
- auteur
- Aleksey Rubel, Vladimir Lukin, Mikhail Uss, Benoit Vozel, Oleksiy Pogrebnyak, Karen Egiazarian
- article
- Neurocomputing, 2016, 175 Part B, pp.948-965. ⟨10.1016/j.neucom.2015.04.119⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrical properties of self-aligned gate-all-around polycrystalline silicon nanowires field effect transistors
- auteur
- Brice Le Borgne, Anne-Claire Salaün, Laurent Pichon
- article
- Microelectronic Engineering, 2016, 150, pp.32-38. ⟨10.1016/j.mee.2015.11.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy efficiency analysis of hybrid-ARQ relay-assisted schemes in LTE-based systems
- auteur
- Mohamad Maaz, Jordane Lorandel, Philippe Mary, Jean-Christophe Prévotet, Maryline Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, 2016, 1 (1), pp.22. ⟨10.1186/s13638-016-0520-9⟩
- Accès au bibtex
-
- titre
- A geometry-based stochastic approach to emulate V2V communications’ main propagation channel metrics
- auteur
- Jessen Narrainen, Philippe Besnier, Martine Gatsinzi Ibambe
- article
- International Journal of Microwave and Wireless Technologies, 2016, 8 (3), pp.455-461. ⟨10.1017/S1759078715001749⟩
- Accès au bibtex
-
- titre
- State Machine for Detecting Vehicles by Magnetometer Sensors
- auteur
- David Guilbert, Cédric Le Bastard, Sio Song Ieng, Yide Wang
- article
- IEEE Sensors Journal, 2016, 16 (13), pp 5127-5128. ⟨10.1109/JSEN.2016.2560903⟩
- Accès au bibtex
-
- titre
- Flat Optics for Surface Waves
- auteur
- Enrica Martini, Mario Mencagli, David Gonzalez-Ovejero, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (1), pp.155-166. ⟨10.1109/TAP.2015.2500259⟩
- Accès au bibtex
-
- titre
- Design methodology for wearable antenna on artificial magnetic conductor using stretch conductive fabric
- auteur
- Sylvain Collardey, M. Mantash, K. Mahdjoubi, A.-C. Tarot
- article
- Electronics Letters, 2016, 52 (2), pp.95--96. ⟨10.1049/el.2015.3135⟩
- Accès au bibtex
-
- titre
- 4K Real-Time and Parallel Software Video Decoder for Multi-layer HEVC Extensions
- auteur
- Wassim Hamidouche, Raulet Mickaël, Olivier Déforges
- article
- IEEE Transactions on Circuits and Systems for Video Technology, 2016, 26 (1), pp.169-180. ⟨10.1109/TCSVT.2015.2478705⟩
- Accès au bibtex
-
- titre
- Time delay estimation using ESPRIT with extended improved spatial smoothing techniques for radar signals
- auteur
- Meng Sun, Cédric Le Bastard, Yide Wang, Nicolas Pinel
- article
- IEEE Geoscience and Remote Sensing Letters, 2016, 13 (1), paper GRSL-01313-2014.R2. ⟨10.1109/lgrs.2015.2497378⟩
- Accès au bibtex
-
- titre
- Principles and Applications of Polarimetric SAR Tomography for the Characterization of Complex Environments
- auteur
- Laurent Ferro-Famil, Yue Huang, Eric Pottier
- article
- International Association of Geodesy Symposia. F. Sanso Ed., Springer-Verlag, 2016, 142 (1-13), pp.243-255. ⟨10.1007/1345_2015_12⟩
- Accès au bibtex
-
- titre
- Simple approach to miniaturized antenna gain measurement using a parallel plate cell in the HF band
- auteur
- Evgueni Kaverine, Sébastien Palud, Franck Colombel, Mohamed Himdi
- article
- Progress In Electromagnetics Research M, 2016, 46, pp.11 - 18. ⟨10.2528/PIERM15102107⟩
- Accès au bibtex
-
- titre
- Bandwidth enhancement of UWB dual-polarized antennas
- auteur
- Nina Lorho, William Hubert, Sébastien Lestieux, Anne Chousseaud, Tchanguiz Razban
- article
- Progress In Electromagnetics Research C, 2016, 68, pp.57-73. ⟨10.2528/pierc16062703⟩
- Accès au bibtex
-
- titre
- Mechanism of angular momentum transfer from microwaves to a copper ring
- auteur
- Olivier Emile, Ronan Niemiec, Christian Brousseau, Janine Emile, Kouroch Mahdjoubi, Wenlong Wei, Bo Thide
- article
- The European Physical Journal D : Atomic, molecular, optical and plasma physics, 2016, 70 (172), ⟨10.1140/epjd/e2016-70193-6⟩
- Accès au texte intégral et bibtex
-
- titre
- New compact high gain radiating element for base station antenna
- auteur
- Loïc Martin, Bruno Froppier, Eduardo Motta-Cruz, Tchanguiz Razban
- article
- Progress In Electromagnetics Research C, 2016, Vol. 65 183-190 (paper key#16041206)
- Accès au bibtex
-
- titre
- Fault diagnosis method based on FFT-RPCA-SVM for Cascaded-Multilevel Inverter
- auteur
- Tianzhen Wang, Jie Qi, Hao Xu, Yide Wang, Lei Liu, Diju Gao
- article
- ISA Transactions, 2016, 60, pp.156-163. ⟨10.1016/j.isatra.2015.11.018⟩
- Accès au bibtex
-
- titre
- Ament Model for Multiple Rebounds from Rough Sea Surfaces in a Stratified Medium and Validation from the MoM-Based Multilevel SDIM
- auteur
- D. Cvetković, C. Bourlier, N. Pinel
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (7), pp.3094--3107. ⟨10.1109/TAP.2016.2565678⟩
- Accès au bibtex
-
- titre
- Optimising a nantenna array at 1550 nm band
- auteur
- Waleed Tariq Sethi, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- Micro and Nano Letters, 2016, 11 (11), pp.779--782. ⟨10.1049/mnl.2016.0493⟩
- Accès au bibtex
-
- titre
- Indoor MIMO Channel Sounding at 3.5 GHz
- auteur
- H. Farhat, Y. Lostanlen, T. Tenoux, G. Grunfelder, Ghaïs El Zein
- article
- 2016 Ieee Middle East Conference On Antennas and Propagation (mecap), 2016
- Accès au bibtex
-
- titre
- Antenna selection in a SIMO architecture for HF radio links: Antenna selection in a SIMO architecture
- auteur
- Yvon Erhel, Dominique Lemur, Martial Oger, Jérôme Le Masson
- article
- Radio Science, 2016, 51 (3), pp.223-230. ⟨10.1002/2015RS005850⟩
- Accès au texte intégral et bibtex
-
- titre
- 1-Bit Reconfigurable Unit Cell for Ka-Band Transmitarrays
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P Pouliguen
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.560--563. ⟨10.1109/LAWP.2015.2458179⟩
- Accès au bibtex
-
- titre
- Fast Integer Word-length Optimization for Fixed-point Systems
- auteur
- Nehmeh Riham, Daniel Menard, Erwan Nogues, Andrei Banciu, Thierry Michel, Romuald Rocher
- article
- Journal of Signal Processing Systems, 2016, 85 (1), pp.113-128. ⟨10.1007/s11265-015-0990-8⟩
- Accès au bibtex
-
- titre
- An approach to prediction and providing of compression ratio for DCT based coder applied to remote sensing images
- auteur
- R.A. Kozhemiakin, A.N. Zemliachenko, V.V. Lukin, S.K. Abramov, B. Vozel
- article
- Ukrainian Journal Of Remote Sensing, 2016
- Accès au bibtex
-
- titre
- On Requirements To Accuracy Of Noise Variance Estimation In Prediction Of Dct-Based Filter Efficiency
- auteur
- V.V. Abramova, S.K. Abramov, Oleksii S. Rubel, Benoit Vozel, Kacem Chehdi, Jaakko T. Astola, Karen Egiazarian
- article
- Telecommunications and Radio Engineering, 2016
- Accès au bibtex
-
- titre
- An Upper Bound on Antenna Near-Field Deviations Caused by Mutual Coupling for Applications in Optimization Design Methods
- auteur
- François Sarrazin, Said Mikki, P Pouliguen, A Sharaiha, Yahia Antar
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.429-432. ⟨10.1109/LAWP.2015.2450692⟩
- Accès au texte intégral et bibtex
-
- titre
- Design challenges of EO polymer based leaky waveguide deflector for 40 Gs/s all-optical analog-to-digital converters
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Optics Communications, 2016, 373, pp.82-90. ⟨10.1016/j.optcom.2015.10.009⟩
- Accès au texte intégral et bibtex
-
- titre
- A Multilayer LTCC Solution for Integrating 5G Access Point Antenna Modules
- auteur
- F. Foglia Manzillo, M. Ettorre, M.S. Lahti, K.T. Kautio, D. Lelaidier, E. Seguenot, R. Sauleau
- article
- IEEE Transactions on Microwave Theory and Techniques, 2016, 64 (7), pp.2272--2283. ⟨10.1109/TMTT.2016.2574313⟩
- Accès au bibtex
-
- titre
- Analysis of limited-diffractive and limited-dispersive X-waves generated by finite radial waveguides
- auteur
- Walter Fuscaldo, Santi C. Pavone, Guido Valerio, Alessandro Galli, Matteo Albani, Mauro Ettorre
- article
- Journal of Applied Physics, 2016, 119 (19), pp.194903. ⟨10.1063/1.4949507⟩
- Accès au texte intégral et bibtex
-
- titre
- Phoenix' reflectarray unit cell with reduced size and inductive loading
- auteur
- T. Makdissy, R. Gillard, Erwan Fourn, M. Ferrando-Rocher, E. Girard, H. Legay, L. Le Coq
- article
- IET Microwaves Antennas and Propagation, 2016, 10 (12), pp.1363--1370. ⟨10.1049/iet-map.2015.0626⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive Multisinusoidal Signal Tracking System with Input Delay
- auteur
- Vladislav Gromov, Oleg V. Borisov, Alexey Vedyakov, Anton Pyrkin, Sergey Shavetov, Alexey Bobtsov, Vladimir Salikhov, Stanislav Aranovskiy
- article
- IFAC-PapersOnLine, 2016, 49 (13), pp.105-110. ⟨10.1016/j.ifacol.2016.07.935⟩
- Accès au bibtex
-
- titre
- In situ DART-MS as a Versatile and Rapid Dereplication Tool in Lichenology: Chemical Fingerprinting of Ophioparma ventosa
- auteur
- P. Le Pogam, Anne-Cécile Le Lamer, B. Legouin, J. Boustie, David Rondeau
- article
- Phytochemical Analysis, 2016, 27 (6), pp.354--363. ⟨10.1002/pca.2635⟩
- Accès au bibtex
-
- titre
- Circuit Model of a Double Layer Artificial Magnetic Conductor
- auteur
- Anthony Presse, Anne-Claude Tarot
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1061 - 1064. ⟨10.1109/LAWP.2015.2492002⟩
- Accès au bibtex
-
- titre
- Leaky-Wave Thinned Phased Array in PCB Technology for Telecommunication Applications
- auteur
- D. Blanco, E. Rajo-Iglesias, A. Montesano Benito, N. Llombart
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (10), pp.4288--4296. ⟨10.1109/TAP.2016.2597642⟩
- Accès au bibtex
-
- titre
- Characterization of in-situ doped polycrystalline silicon using Schottky diodes and admittance spectroscopy
- auteur
- H. Ayed, L. Béchir, M. Benabdesslem, N. Benslim, L. Mahdjoubi, T. Mohammed-Brahim, A. Hafdallah, M.S. Aida
- article
- Journal of Nano and Electronic Physics, 2016, 8, pp.01038
- Accès au bibtex
-
- titre
- Propagation and scattering in ducting maritime environments from an accelerated boundary integral equation
- auteur
- Christophe Bourlier
- article
- IEEE Transactions on Antennas and Propagation, 2016, paper #AP1604-0441.R1
- Accès au bibtex
-
- titre
- Fast Antenna Array Diagnosis from a Small Number of Far-Field Measurements
- auteur
- Benjamin Fuchs, Laurent Le Coq, Marco Donald Migliore
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (6), pp.2227--2235. ⟨10.1109/TAP.2016.2547023⟩
- Accès au texte intégral et bibtex
-
- titre
- Cryptanalysis of an improved fragile watermarking scheme
- auteur
- Daniel Caragata, Juan Andres Mucarquer, Mirko Koscina, Safwan El Assad
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2016, 70 (6), pp.777-785. ⟨10.1016/j.aeue.2016.03.001⟩
- Accès au bibtex
-
- titre
- Improvement of n-type OTFT electrical stability by gold electrode modification
- auteur
- M. Robin, M. Harnois, Y. Molard, E. Jacques
- article
- Organic Electronics, 2016, 39, pp.214--221. ⟨10.1016/j.orgel.2016.10.004⟩
- Accès au bibtex
-
- titre
- Analysis on LUT based digital predistortion using direct learning architecture for linearizing power amplifiers
- auteur
- Xiaowen Feng, Yide Wang, Bruno Feuvrie, Anne-Sophie Descamps, Yuehua Ding, Zhiwen Yu
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, 2016 (1), ID paper JWCN-D-15-00581R1. ⟨10.1186/s13638-016-0628-y⟩
- Accès au bibtex
-
- titre
- Wireless Links in the Radiative Near Field via Bessel Beams
- auteur
- Jason D. Heebl, Mauro Ettorre, Anthony Grbic
- article
- Physical Review Applied, 2016, 6 (3), pp.034018. ⟨10.1103/PhysRevApplied.6.034018⟩
- Accès au texte intégral et bibtex
-
- titre
- Multimodal Remote Sensing Image Registration With Accuracy Estimation at Local and Global Scales
- auteur
- Mikhail L. Uss, Benoit Vozel, Vladimir V. Lukin, Kacem Chehdi
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2016, 54 (11), pp.6587--6605. ⟨10.1109/TGRS.2016.2587321⟩
- Accès au bibtex
-
- titre
- Simulation and fabrication of silicon nitride microring resonator by DUV lithography
- auteur
- Giuseppe A Cirino, Luis A Barea, Antonio A von Zuben, Hervé Lhermite, Bruno Bêche, Olivier de Sagazan, Newton Frateschi, Tayeb M-Brahim
- article
- IEEE conference publications, 2016, pp.1 - 4. ⟨10.1109/SBMicro.2016.7731346⟩
- Accès au texte intégral et bibtex
-
- titre
- Bistatic MIMO radar for near field source localization using PARAFAC
- auteur
- Parth Raj Singh, Yide Wang, Pascal Chargé
- article
- Electronics Letters, 2016, 52 (12), pp.1060-1061. ⟨10.1049/el.2015.4380⟩
- Accès au bibtex
-
- titre
- Equivalent circuit of a reconfigurable triple-slot reflectarray cell
- auteur
- Kevin Nadaud, Raphaël Gillard, Erwan Fourn, Hartmut W. Gundel
- article
- IET Microwaves Antennas and Propagation, 2016, 10 (10), pp.1080-1086. ⟨10.1049/iet-map.2015.0378⟩
- Accès au texte intégral et bibtex
-
- titre
- Decomposition of the different contributions to permittivity, losses, and tunability in BaSrTiO3 thin films using the hyperbolic law
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Hartmut W. Gundel
- article
- Journal of Applied Physics, 2016, 119 (11), pp.114101. ⟨10.1063/1.4943935⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid UCB-HMM: A Machine Learning Strategy for Cognitive Radio in HF Band
- auteur
- Laura Melián-Gutiérrez, Navikkumar Modi, Christophe Moy, Faouzi Bader, Iván Pérez-´ Alvarez, Santiago Zazo
- article
- IEEE Transactions on Cognitive Communications and Networking, 2016, 1 (3), pp.347-358. ⟨10.1109/TCCN.2016.2527021⟩
- Accès au texte intégral et bibtex
-
- titre
- Road surface layers geometric parameters estimation by GPR using ESPRIT method
- auteur
- Meng Sun, Cédric Le Bastard, Nicolas Pinel, Yide Wang, Jianzhong Li
- article
- IET Radar Sonar and Navigation, 2016, 10 (3), pp.603-609. ⟨10.1049/iet-rsn.2015.0374⟩
- Accès au bibtex
-
- titre
- Low Power HEVC Software Decoder for Mobile Devices
- auteur
- Erwan Raffin, Erwan Nogues, Wassim Hamidouche, Seppo Tomperi, Maxime Pelcat, Daniel Menard
- article
- Journal of Real-Time Image Processing, 2016, 12 (2), pp.495-507. ⟨10.1007/s11554-015-0512-8⟩
- Accès au texte intégral et bibtex
-
- titre
- A Step Forward on Adaptive Iterative Clipping Approach for PAPR Reduction in OFDM System
- auteur
- Lamarana Mamadou Diallo, Jacques Palicot, Faouzi Bader
- article
- International Journal On Advances in Telecommunications, 2016, 9 (1 & 2)
- Accès au bibtex
-
- titre
- Noncircularity Parameters and Their Potential Applications in UHR MMW SAR Data Sets
- auteur
- W. Wu, Xiaojian Li, H. Guo, Laurent Ferro-Famil, L. Zhang
- article
- IEEE Geoscience and Remote Sensing Letters, 2016, 13 (10), pp.1547--1551. ⟨10.1109/LGRS.2016.2595762⟩
- Accès au bibtex
-
- titre
- Compact Antenna Array of Superdirective Elements
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1386 - 1389. ⟨10.1109/LAWP.2015.2510382⟩
- Accès au texte intégral et bibtex
-
- titre
- Reduced bulk and surface states densities in metal-induced crystallized polycrystalline silicon nanowires
- auteur
- Brice Le Borgne, Laurent Pichon, M. Thomas, Anne-Claire Salaün
- article
- physica status solidi (a), 2016, 213 (11), pp.2890--2894. ⟨10.1002/pssa.201600375⟩
- Accès au bibtex
-
- titre
- Location-quality-aware policy optimisation for relay selection in mobile networks
- auteur
- Jimmy Jessen Nielsen, Rasmus Løvenstein Olsen, Tatiana K. Madsen, Bernard Uguen, Hans-Peter Schwefel
- article
- Wireless Networks, 2016, 22 (2), pp.599-618. ⟨10.1007/s11276-015-0986-0⟩
- Accès au bibtex
-
- titre
- Scheduling of Parallelized Synchronous Dataflow Actors for Multicore Signal Processing
- auteur
- Zheng Zhou, William Plishker, Shuvra S Bhattacharyya, Karol Desnos, Maxime Pelcat, Jean François Nezan
- article
- Journal of Signal Processing Systems, 2016, 83 (3), pp.309-328. ⟨10.1007/s11265-014-0956-2⟩
- Accès au bibtex
-
- titre
- Multibeam SIW Slotted Waveguide Antenna System Fed by a Compact Dual-Layer Rotman Lens
- auteur
- Karim Tekkouk, Mauro Ettorre, Laurent Le Coq, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (2), pp.504--514. ⟨10.1109/TAP.2015.2499752⟩
- Accès au bibtex
-
- titre
- 3D Shaping of a Focused Aperture in the Near Field
- auteur
- Ioannis Iliopoulos, Massimiliano Casaletti, Ronan Sauleau, Philippe Pouliguen, Patrick Potier, Mauro Ettorre
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (12), pp.5262-5271. ⟨10.1109/TAP.2016.2618846⟩
- Accès au texte intégral et bibtex
-
- titre
- Une architecture intelligente pour l’amélioration de l’efficacité energétique du réseau cellulaire 5G
- auteur
- Antonio de Domenico, Rémi Bonnefoi, Mouhcine Mendil, Catalin Gavriluta, Jacques Palicot, Christophe Moy, Vincent Heiries, Raphaël Caire, Nouredine Hadjsaïd
- article
- La Revue de l'électricité et de l'électronique, 2016, 5, ⟨10.23723/1301:2016-5/17790⟩
- Accès au texte intégral et bibtex
-
- titre
- A Necessary Condition for Waveforms with Better PAPR than OFDM
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval, Faouzi Bader
- article
- IEEE Transactions on Communications, 2016, 64 (8), pp.3395-3405. ⟨10.1109/TCOMM.2016.2584068⟩
- Accès au texte intégral et bibtex
-
- titre
- A Reconfigurable Substrate-Superstrate Graphene-Based Leaky-Wave THz Antenna
- auteur
- W. Fuscaldo, P. Burghignoli, P. Baccarelli, A. Galli
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1545--1548. ⟨10.1109/LAWP.2016.2550198⟩
- Accès au bibtex
-
- titre
- Low-profile and small capacitively fed VHF antenna
- auteur
- Y. Taachouche, F. Colombel, Mohamed Himdi, A. Guenin
- article
- Progress In Electromagnetics Research Letters, 2016, 60, pp.31--38. ⟨10.2528/PIERL16021107⟩
- Accès au bibtex
-
- titre
- Wideband Antenna in Cavity Based on Metasurfaces
- auteur
- M. Martinis, L. Bernard, K. Mahdjoubi, R. Sauleau, Sylvain Collardey
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1053--1056. ⟨10.1109/LAWP.2015.2491609⟩
- Accès au bibtex
-
- titre
- A Conical Patch Antenna Array for Agile Point-to-Point Communications in the 5.2-GHz Band
- auteur
- V. Jaeck, L. Bernard, K. Mahdjoubi, R. Sauleau, Sylvain Collardey, P. Pouliguen, P Potier
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1230--1233. ⟨10.1109/LAWP.2015.2502724⟩
- Accès au bibtex
-
- titre
- Improvement of the Scanning Performance of the Extended Hemispherical Integrated Lens Antenna Using a Double Lens Focusing System
- auteur
- N.T. Nguyen, A.V. Boriskin, L. Le Coq, R. Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (8), pp.3698--3702. ⟨10.1109/TAP.2016.2572227⟩
- Accès au bibtex
-
- titre
- Broadband MIMO antenna for hiperLAN/2, WLAN and WiMAX applications with high isolation
- auteur
- Raefat Jalila El Bakouchi, Marc Brunet, Tchanguiz Razban, Abdellilah Ghammaz
- article
- International Journal of Microwave and Wireless Technologies, 2016, 8 (02), pp.309-317. ⟨10.1017/S1759078714001615⟩
- Accès au bibtex
-
- titre
- Widely Linear Sphere Decoder in MIMO Systems by Exploiting the Conjugate Symmetry of Linearly Modulated Signals
- auteur
- Yuehua Ding, Nanxi Li, Yide Wang, Suili Feng, Hongbing Chen
- article
- IEEE Transactions on Signal Processing, 2016, 64 (24), pp.6428-6442. ⟨10.1109/TSP.2016.2598317⟩
- Accès au bibtex
-
- titre
- Adaptive non-uniform sampling of sparse signals for Green Cognitive Radio
- auteur
- Samba Traore, Babar Aziz, Daniel Le Guennec, Yves Louet
- article
- Computers and Electrical Engineering, 2016, 52, pp.253-265. ⟨10.1016/j.compeleceng.2015.05.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Deposition and dielectric characterization of strontium and tantalum-based oxide and oxynitride perovskite thin films
- auteur
- S. Jacq, C. Le Paven, L. Le Gendre, Ratiba Benzerga, François Cheviré, Franck Tessier, A. Sharaiha
- article
- Solid State Sciences, 2016, 54, pp.22-29. ⟨10.1016/j.solidstatesciences.2015.12.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Saddle point MPC approach to nonlinear robust sampled-data control problem
- auteur
- Maxime Penet, Hervé Guéguen, Aziz Belmiloudi
- article
- International Journal of Control, Automation and Systems, 2016, 14 (1), pp.78-89. ⟨10.1007/s12555-014-0233-y⟩
- Accès au bibtex
-
- titre
- Microcrystalline Silicon Based TFTs and Resistors for Reliable Flexible Electronics,
- auteur
- Yannick Kervran, Kandoussi K., Hanpeng Dong, S. Janfaoui, Nathalie . Coulon, Claude Simon, Emmanuel Jacques, Mohammed-Brahim Tayeb
- article
- ECS Transactions, 2016, 75 (10) (13-25)
- Accès au bibtex
-
- titre
- Quantization Noise Power Estimation for Floating-Point DSP Circuits
- auteur
- Gabriel Caffarena, Daniel Menard
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, PP (99), pp.1-1. ⟨10.1109/TCSII.2016.2530802⟩
- Accès au bibtex
-
- titre
- A New chaos-based image encryption system
- auteur
- Safwan El Assad, Mousa Farajallah
- article
- Signal Processing: Image Communication, 2016, 41, pp.144-157. ⟨10.1016/j.image.2015.10.004⟩
- Accès au bibtex
-
- titre
- Integrating Superdirective Electrically Small Antenna Arrays in PCBs
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.24 - 27. ⟨10.1109/LAWP.2015.2425913⟩
- Accès au bibtex
-
- titre
- Investigation of improved PV parameters through AMPS-1D in micromorph tandem solar cells with a microcrystalline tunnel recombination junction
- auteur
- F. Maachou, B. Zebentout, A. Bensmain, Z. Benamara, T.M. Brahim
- article
- Molecular Crystals and Liquid Crystals, 2016, 627 (1), pp.29--37. ⟨10.1080/15421406.2015.1137117⟩
- Accès au bibtex
-
- titre
- Performance and Complexity Evaluation of Iterative Receiver for Coded MIMO-OFDM Systems
- auteur
- Rida El Chall, Fabienne Nouvel, Maryline Hélard, Ming Liu
- article
- Mobile Information Systems, 2016, 2016, pp.1--22. ⟨10.1155/2016/7642590⟩
- Accès au bibtex
-
- titre
- Array Pattern Synthesis with Excitation Control via Norm Minimization
- auteur
- Benjamin Fuchs, S. Rondineau
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (10), pp.4228--4234. ⟨10.1109/TAP.2016.2594300⟩
- Accès au texte intégral et bibtex
-
- titre
- Simplified High-order DOA and Range Estimation with Linear Antenna Array
- auteur
- Jianzhong Li, Yide Wang, Cédric Le Bastard, Gang Wei, Biyun Ma, Meng Sun, Zhiwen Yu
- article
- IEEE Communications Letters, 2016, paper CL2016-1719.R1
- Accès au bibtex
-
- titre
- MDE-based Rapid DSE of multi-core embedded systems: The H.264 Decoder Case Study
- auteur
- Manel Ammar, Mouna Baklouti, Maxime Pelcat, Karol Desnos, Mohamed Abid
- article
- Journal of Microelectronics, Electronic Components and Materials, 2016, 46 (4), pp.219--228
- Accès au bibtex
-
- titre
- Polarized Beams Using Scalar Metasurfaces
- auteur
- Massimiliano Casaletti, Maciej Smierzchalski, Mauro Ettorre, Ronan Sauleau, Nicolas Capet
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (8), pp.3391-3400. ⟨10.1109/TAP.2016.2570251⟩
- Accès au texte intégral et bibtex
-
- titre
- NEW APPROACH FOR SENSORS AND CONNECTING OBJECTS INVOLVING MICROELECTRONIC MULTIDISCIPLINARITY FOR A WIDE SPECTRUM OF APPLICATIONS
- auteur
- Olivier Bonnaud
- article
- International Journal of Plasma Environmental Science & Technology, 2016, 2016, 10 (2), pp.115-120
- Accès au bibtex
-
- titre
- Adaptation of the Pedagogy in China Towards Innovation in Microelectronics
- auteur
- Olivier Bonnaud
- article
- Science Journal of Education, 2016, 4 (2), pp.65
- Accès au bibtex
-
- titre
- Horn antennas for generating radio waves bearing orbital angular momentum by using spiral phase plate
- auteur
- Wenlong Wei, Kourosh Mahdjoubi, Christian Brousseau, Olivier Emile
- article
- IET Microwaves Antennas and Propagation, 2016, 10 (13), pp.1420--1427. ⟨10.1049/iet-map.2016.0064⟩
- Accès au bibtex
-
- titre
- Efficient Rotation-Scaling-Translation Parameter Estimation Based on the Fractal Image Model
- auteur
- Mikhail L. Uss, Benoit Vozel, Vladimir V. Lukin, Kacem Chehdi
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2016, 54 (1), pp.197-212. ⟨10.1109/TGRS.2015.2453126⟩
- Accès au texte intégral et bibtex
-
- titre
- Radiation pattern synthesis for monopulse radar applications with a reconfigurable transmitarray antenna
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P. Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (9), pp.4148--4154. ⟨10.1109/TAP.2016.2586491⟩
- Accès au bibtex
-
- titre
- Parallel algorithm implementation for multi-object tracking and surveillance
- auteur
- Mohamed Elbahri, Nasreddine Taleb, Kidiyo Kpalma, Joseph Ronsin
- article
- IET Computer Vision, 2016, 10 (3), pp.202-211. ⟨10.1049/iet-cvi.2015.0115⟩
- Accès au bibtex
-
- titre
- Multi-reference combinatorial strategy towards longer long-term dense motion estimation
- auteur
- Pierre-Henri Conze, Philippe Robert, Tomas Crivelli, Luce Morin
- article
- Computer Vision and Image Understanding, 2016, 150, pp.66-80. ⟨10.1016/j.cviu.2016.04.013⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial mapping of lichen specialized metabolites using LDI-MSI: Chemical ecology issues for Ophioparma ventosa
- auteur
- P. Le Pogam, B. Legouin, A. Geairon, H. Rogniaux, Françoise Lohézic-Le Dévéhat, W. Obermayer, J. Boustie, Anne-Cécile Le Lamer
- article
- Scientific Reports, 2016, 6 (1), pp.37807. ⟨10.1038/srep37807⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Radiating Antenna Array Using Plasma Tubes
- auteur
- Oumar Alassane Barro, Mohamed Himdi, O Lafond
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.1321--1324. ⟨10.1109/LAWP.2015.2507066⟩
- Accès au bibtex
-
- titre
- Simple analysis of various loading printed monopole antennas
- auteur
- H. Lebbar, Mohamed Himdi, A. Ballouk
- article
- International Journal of Applied Engineering Research, 2016, 11, pp.8618--8621
- Accès au bibtex
-
- titre
- Contribution of multitemporal polarimetric synthetic aperture radar data for monitoring winter wheat and rapeseed crops
- auteur
- Julie Betbeder, Rémy Fieuzal, Yannick Philippets, Laurent Ferro-Famil, Frédéric Baup
- article
- Journal of applied remote sensing, 2016, 10 (2), pp.026020--026020. ⟨10.1117/1.JRS.10.026020⟩
- Accès au texte intégral et bibtex
-
- titre
- Réalisation d’un rectenna dans la bande des 1.8 GHz, fonctionnant à faibles niveaux de puissance RF et optimisé par des techniques source-pull
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- La Revue de l'électricité et de l'électronique, 2016
- Accès au bibtex
-
- titre
- Regional prediction of soil organic carbon content over temperate croplands using visible near-infrared airborne hyperspectral imagery and synchronous field spectra
- auteur
- Emmanuelle Vaudour, Jean-Marc Gilliot, L. Bel, J. Lefevre, K. Chehdi
- article
- International Journal of Applied Earth Observation and Geoinformation, 2016, 49, pp.24-38. ⟨10.1016/j.jag.2016.01.005⟩
- Accès au bibtex
-
- titre
- Additive effects of millimeter waves and 2-deoxyglucose co-exposure on the human keratinocyte transcriptome
- auteur
- Yonis Soubere Mahamoud, Meziane Aite, Catherine Martin, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan, Denis Habauzit
- article
- PLoS ONE, 2016, 11 (8), pp.e0160810. ⟨10.1371/journal.pone.0160810⟩
- Accès au bibtex
-
- titre
- W-element RLGC matrices calculation for power distribution planes modeling using MCTL matrix method
- auteur
- Afef Bouchaala, Lionel Courau, Olivier Bonnaud, Philippe Galy
- article
- IEEE Electromagnetic Compatibility Magazine, 2016, 5 (3), pp.61-69. ⟨10.1109/MEMC.0.7764252⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficiency of texture image filtering and its prediction
- auteur
- O. Rubel, V. Lukin, S. Abramov, B. Vozel, K. Egiazarian, O. Pogrebnyak
- article
- Signal, Image and Video Processing, 2016, 10 (8), pp.1543--1550. ⟨10.1007/s11760-016-0969-3⟩
- Accès au bibtex
-
- titre
- Introduction to the 2nd workshop on design of low Power EMbedded Systems
- auteur
- F. Palumbo, Maxime Pelcat, Daniel Menard
- article
- 2016 ACM International Conference on Computing Frontiers - Proceedings, 2016
- Accès au bibtex
-
- titre
- Region-based image retrieval in the compressed domain using shape-adaptive DCT
- auteur
- Amina Belalia, Kamel Belloulata, Kidiyo Kpalma
- article
- Multimedia Tools and Applications, 2016, 75 (17), pp.10175-10199. ⟨10.1007/s11042-015-3026-2⟩
- Accès au bibtex
-
- titre
- Field-To-Long-Segmented-Trace Coupling with Arbitrary Loads and a Transparent Upper Bound Using a Single Modified Taylor Cell
- auteur
- Sjoerd Op 'T Land, Mohamed Ramdani, Richard Perdriau, M'Hamed Drissi, Frank Leferink
- article
- IEEE Transactions on Electromagnetic Compatibility, 2016, 58 (5), pp.1517-1525. ⟨10.1109/TEMC.2016.2566449⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and Design of Bessel Beam Launchers: Longitudinal Polarization
- auteur
- Santi C. Pavone, Mauro Ettorre, Matteo Albani
- article
- IEEE Transactions on Antennas and Propagation, 2016, 64 (6), pp.2311--2318. ⟨10.1109/TAP.2016.2550049⟩
- Accès au bibtex
-
- titre
- Correcting CNA Phase Mismatch Phenomena in Frequency Blind Equalization for OFDM Systems
- auteur
- Vincent Savaux, Faouzi Bader, Jacques Palicot
- article
- Signal Processing, 2016, 127, pp.227-238. ⟨10.1016/j.sigpro.2016.02.024⟩
- Accès au bibtex
-
- titre
- Novel learning-based spatial reuse optimization in dense WLAN deployments
- auteur
- I. Jamil, L. Cariou, J.-F. Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2016, 2016 (1), pp.184. ⟨10.1186/s13638-016-0632-2⟩
- Accès au bibtex
-
- titre
- Nantenna for Standard 1550 nm Optical Communication Systems
- auteur
- W.T. Sethi, H. Vettikalladi, H. Fathallah, Mohamed Himdi
- article
- International Journal of Antennas and Propagation, 2016, 2016, pp.5429510. ⟨10.1155/2016/5429510⟩
- Accès au bibtex
-
- titre
- Reconfigurable Patch Antenna Radiations Using Plasma Faraday Shield Effect
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Olivier Lafond
- article
- IEEE Antennas and Wireless Propagation Letters, 2016, 15, pp.726-729. ⟨10.1109/LAWP.2015.2470525⟩
- Accès au texte intégral et bibtex
-
- titre
- Small end-fire superdirective folded meandered monopole antenna array
- auteur
- Mohamad Hammoud, Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- Microwave and Optical Technology Letters, 2016, 58 (9), pp.2122-2124. ⟨10.1002/mop.29995⟩
- Accès au texte intégral et bibtex
-
- titre
- Mode-Matching Analysis of Lossy SIW Devices
- auteur
- Massimiliano Casaletti, Guido Valerio, Ronan Sauleau, Matteo Albani
- article
- IEEE Transactions on Microwave Theory and Techniques, 2016, 64 (12), pp.4126-4137. ⟨10.1109/TMTT.2016.2605667⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of new high-throughput screening method to compare and to detect efficient catalysts for adhesive materials
- auteur
- Boris Colin, Olivier Lavastre, Stéphane Fouquay, Guillaume Michaud, Frédéric Simon, Olivier Laferte, Jean-Michel Brusson
- article
- International Journal of Adhesion and Adhesives, 2016, 68, pp.47-53. ⟨10.1016/j.ijadhadh.2016.02.002⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytical Derivation and Optimization of a Hybrid Unicast-Broadcast Network for Linear Services
- auteur
- Pape Abdoulaye Fam, Stéphane Paquelet, Matthieu Crussière, Jean-François Hélard, Pierre Brétillon
- article
- IEEE Transactions on Broadcasting, 2016, 62 (4), pp.890--902. ⟨10.1109/TBC.2016.2593403⟩
- Accès au bibtex
-
Conference papers
- titre
- A new now complexity DOA estimation algorithm for massive MIMO systems
- auteur
- Yang Xiao, Liu Licheng, Yide Wang
- article
- IEEE International Conference on Consumer Electronics China, Dec 2016, Guangzhou, China. ⟨10.1109/ICCE-China.2016.7849735⟩
- Accès au texte intégral et bibtex
-
- titre
- Technologies dedicated to soft visual impact antennas
- auteur
- Mohamed Himdi, Xavier Castel
- article
- ICEECC 2016, ‘International Conference on Electrical, Electronic, Communication and Control Engineering’, Dec 2016, Johor Bahru, Malaysia
- Accès au bibtex
-
- titre
- 1×2 Equilateral Triangular Dielectric Resonator Nantenna array for optical communication
- auteur
- W.T. Sethi, H. Vettikalladi, H. Fathallah, Mohamed Himdi
- article
- 7th International Conference on Sciences of Electronics, Technologies of Information and Telecommunications, SETIT 2016, Dec 2016, Hammamet, Tunisia. ⟨10.1109/SETIT.2016.7939831⟩
- Accès au bibtex
-
- titre
- Design and calibration of a 60-GHz personal exposimeter for exposure assessment in specular and diffuse environments
- auteur
- R. Aminzadeh, A. Thielens, A.K. Fall, H. Li, C. Leduc, M. Zhadobov, G. Torfs, J. Bauwelinck, L. Martens, W. Joseph
- article
- 11th International Conference on Body Area Networks, BODYNETS 2016, Dec 2016, Turin, Italy. ⟨10.4108/eai.15-12-2016.2267789⟩
- Accès au bibtex
-
- titre
- Hypervisor Mechanisms to Manage FPGA Reconfigurable Accelerators
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- 15th International Conference on Field-Programmable Technology, FPT 2016, Dec 2016, Xi'an, China. ⟨10.1109/FPT.2016.7929187⟩
- Accès au texte intégral et bibtex
-
- titre
- Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
- Accès au texte intégral et bibtex
-
- titre
- Blind Digital Modulation Classification based on M-TH Power Nonlinear Transformation
- auteur
- Vincent Gouldieff, Jacques Palicot, Steredenn Daumont
- article
- 2016 IEEE Global Conference on Signal and Information Processing (GlobalSIP), Dec 2016, Washington DC, United States. ⟨10.1109/GlobalSIP.2016.7905922⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust Chaos-based Stream-Cipher for Secure Public Communication Channels
- auteur
- Ons Jallouli, Safwan El Assad, Maryline Chetto
- article
- International Conference on Internet Technology and Secured Transactions, Dec 2016, Barcelone, Spain. pp.23-26, ⟨10.1109/ICITST.2016.7856658⟩
- Accès au texte intégral et bibtex
-
- titre
- Receive Antenna Shift Keying Modulation Testbed for Wireless Communications Systems
- auteur
- Yvan Kokar, Jean-Christophe Prévotet, Maryline Hélard
- article
- 2016 IEEE Globecom Workshops (GC Wkshps), Dec 2016, WASHINGTON DC, United States. pp.1 - 6, ⟨10.1109/GLOCOMW.2016.7849016⟩
- Accès au texte intégral et bibtex
-
- titre
- Coexistence of OFDM and FBMC for Underlay D2D Communication in 5G Networks
- auteur
- Conor Sexton, Quentin Bodinier, Arman A Farhang, Nicola Marchetti, Faouzi Bader, Luiz A Dasilva
- article
- IEEE Globecom Workshops 2016, Dec 2016, Washington D.C., United States. ⟨10.1109/glocomw.2016.7848863⟩
- Accès au texte intégral et bibtex
-
- titre
- Pre-encoding based statistical-multiplexing for hybrid delivery of UHD services using SHVC
- auteur
- T. Biatek, W. Hamidouche, J.-F. Travers, O. Deforges
- article
- 2016 Picture Coding Symposium, PCS 2016, Dec 2016, Nuremberg, Germany. ⟨10.1109/PCS.2016.7906334⟩
- Accès au bibtex
-
- titre
- HDR Video Quality Evaluation of HEVC and VP9 Codecs
- auteur
- Glenn Herrou, Wassim Hamidouche, Ducloux Xavier
- article
- Picture Coding Symposium 2016, Dec 2016, Nuremberg, Germany. ⟨10.1109/PCS.2016.7906332⟩
- Accès au texte intégral et bibtex
-
- titre
- Coexistence in 5G: Analysis of Cross-Interference between OFDM/OQAM and Legacy Users
- auteur
- Quentin Bodinier, Faouzi Bader, Jacques Palicot
- article
- 2016 IEEE Globecom Workshops (GC Wkshps), Dec 2016, Washington D.C., United States. ⟨10.1109/glocomw.2016.7848862⟩
- Accès au texte intégral et bibtex
-
- titre
- Enhanced intra prediction modes signalling in HEVC
- auteur
- K. Reuze, P. Philippe, O. Deforges, W. Hamidouche
- article
- 2016 Picture Coding Symposium, PCS 2016, Dec 2016, Nuremberg, Germany. ⟨10.1109/PCS.2016.7906387⟩
- Accès au bibtex
-
- titre
- Finite Blocklength Information Theory: What is the Practical Impact on Wireless Communications?
- auteur
- Philippe Mary, Jean-Marie Gorce, Ayşe Ünsal, H. Vincent Poor
- article
- 2016 IEEE Global Communications Conference: Workshops: First IEEE International Workshop on Low-Layer Implementation and Protocol Design for IoT Applications, Dec 2016, Washington, DC, United States
- Accès au texte intégral et bibtex
-
- titre
- Manipulation de nanofils de silicium à partir de nano robots
- auteur
- Jean-Pierre Landesman, Laurent Pichon
- article
- 15e Journées Pédagogiques Nationales de la Coordination Nationale de la Formation en Microélectronique et en nanotechnologies, CCMO, Pôle CNFM de Rennes, Nov 2016, Saint Malo, France. pp.90-93
- Accès au bibtex
-
- titre
- A study on the usability of opinion-unaware no-reference natural image quality metrics in the context of medical images
- auteur
- M. Outtas, Lu Zhang, O. Deforges, W. Hamidouche, A. Serir, Christine Cavaro-Ménard
- article
- 2016 International Symposium on Signal, Image, Video and Communications, ISIVC 2016, Nov 2016, Tunis, Tunisia. ⟨10.1109/ISIVC.2016.7894006⟩
- Accès au bibtex
-
- titre
- Hit the KeyJack: stealing data from your daily wireless devices incognito
- auteur
- Guillaume Fournier, Pierre Matoussowsky, Pascal Cotret
- article
- Journées C&ESAR, Nov 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Comparative study between two architectures of neural networks used for identification and control of a building heating system
- auteur
- Ahmed Ouaret, Hocine Lehouche, Boubekeur Mendil, Siham Fredj, Hervé Guéguen
- article
- 2016 8th International Conference on Modelling, Identification and Control (ICMIC), Nov 2016, Algier, Algeria. ⟨10.1109/ICMIC.2016.7804232⟩
- Accès au bibtex
-
- titre
- Blindages électromagnétiques à forte transparence optique à l'aide de dépôts de films minces sur substrats diélectriques
- auteur
- Philippe Besnier, Xavier Castel, Yonathan Corredores, Cyril Cheype, Patrice Foutrel, Cyril Dupeyrat
- article
- SYMPOSIUM CEM SAFRAN, Réseau R7 – SAFRAN Tech, Nov 2016, SACLAY, France
- Accès au bibtex
-
- titre
- Single-Layer Reflectarray Cell Synthesis Based on Filter Synthesis Techniques
- auteur
- Alexandre Grossetete, Erwan Fourn, Raphaël Gillard
- article
- 2016 Loughborough Antennas & Propagation Conference (LAPC), Nov 2016, Loughborough, United Kingdom. ⟨10.1109/LAPC.2016.7807481⟩
- Accès au texte intégral et bibtex
-
- titre
- High-gain Slot Antenna on a Grooved Structure with à Frequency Selective Surface
- auteur
- Bilal El Jaafari, Jean Marie Floc'H
- article
- LAPC 2016, Nov 2016, Loubourough, United Kingdom. pp.1-5, ⟨10.1109/LAPC.2016.7807491⟩
- Accès au bibtex
-
- titre
- Characterisation of a Small Switchable Ribbon Monopole Antenna Operating in VHF/UHF Bands
- auteur
- A.S. Sokpor, Mohamad Mantash, Anne-Claude Tarot, Jean Marie Floc'H
- article
- LAPC 2016, Nov 2016, Loubourough, United Kingdom. ⟨10.1109/LAPC.2016.7807583⟩
- Accès au bibtex
-
- titre
- A Novel Compact and Superdirective Two Elements Antenna Array
- auteur
- Saber Dakhli, Jean Marie Floc'H, Hatem Rmili, Fethi Choubani
- article
- LAPC 2016, Nov 2016, Loubourough, United Kingdom. ⟨10.1109/LAPC.2016.7807610⟩
- Accès au bibtex
-
- titre
- Printed frequency reconfigurable planar inverted-F antenna for ISM applications
- auteur
- Jean Marie Floc'H, I. Ben Trad
- article
- 2016 Loughborough Antennas and Propagation Conference, LAPC 2016, Nov 2016, Loughborough, United Kingdom. ⟨10.1109/LAPC.2016.7807500⟩
- Accès au bibtex
-
- titre
- Improved Retrodirective Flattened Dihedral Using Incidence Angle Correction
- auteur
- Hussein Srour, Raphaël Gillard, Stéphane Meric, Divitha Seetharamdoo
- article
- LAPC 2016 - Loughborough Antennas and Propagation Conference, Nov 2016, Loughborough, France. 4p, ⟨10.1109/LAPC.2016.7807511⟩
- Accès au bibtex
-
- titre
- Printed Frequency Reconfigurable Planar Inverted-F Antenna for ISM Apllications
- auteur
- Jean Marie Floc'H, Imen Ben Trad
- article
- LAPC 2016, Nov 2016, Loubourough, United Kingdom
- Accès au bibtex
-
- titre
- Capacitive Sensor Array for Fingerprint Recognition
- auteur
- Y. E. Jeon, Y. J. Lee, M. K. Jang, B. M. Seo, I. H. Kang, M. T. Hong, J. M. Lee, E. Jacques, T. Mohammed-Brahim, B. S. Bae
- article
- 10th International Conference on Sensing Technology (ICST), Nov 2016, Nanjing, PEOPLES R, China
- Accès au bibtex
-
- titre
- Highly sensitive visible and near-infrared photo-FET based on PbS quantum dots embedded in the gate insulator
- auteur
- Xiang Liu, Emmanuel Jacques, Tayeb Mohammed-Brahim, Wel Lei
- article
- 10th International Conference on Sensing Technology (ICST), Nov 2016, Nanjing, PEOPLES R, China
- Accès au bibtex
-
- titre
- Advanced metering infrastructure backhaul reliability improvement with cognitive radio
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- 7th IEEE International Conference on Smart Grid Communications (SmartGridComm 2016), Nov 2016, Sydney, Australia. pp.230 - 236, ⟨10.1109/SmartGridComm.2016.7778766⟩
- Accès au texte intégral et bibtex
-
- titre
- Testbed and Experimental Analysis of Automatic Modulation Classifier for Non-uniformly Sampled Signal
- auteur
- Himani Joshi, Sumit J. Darak, Yves Louet
- article
- IEEE International Conference on Advanced Networks and Telecommuncations Systems (ANTS), Nov 2016, Bangalore, India. ⟨10.1109/ants.2016.7947828⟩
- Accès au bibtex
-
- titre
- Advanced Signal Processing Techniques for Microwave Cardiopulmonary Signals Separation
- auteur
- Dany Obeid, Sarah El-Samad, Sawsan Sadek, Gheorghe I. Zaharia, Ghais El Zein
- article
- The 2016 International Conference on Biology and Biomedical Engineering, Institute for NAtural Sciences and Engineering (INASE), Nov 2016, Rome, Italy
- Accès au texte intégral et bibtex
-
- titre
- An optimized and unified architecture design for H.265/HEVC 1-D inverse core transform
- auteur
- Ahmed Kammoun, Fatma Belghith, Hassen Loukil, Nouri Masmoudi
- article
- 2016 International Image Processing, Applications and Systems (IPAS), Nov 2016, Hammamet, Tunisia. pp.1-6
- Accès au bibtex
-
- titre
- Estimation of the Above Ground Biomass of Tropical Forests using Polarimetric and Tomographic SAR Data Acquired at P Band and 3-D Imaging Techniques (Invited)
- auteur
- Laurent Ferro-Famil, Bassam El Hajj Chehade, Dinh Ho Tong Minh, Thuy Le Toan, S. Tebaldini
- article
- AGU Fall meeting 2016, Nov 2016, San Francisco, United States
- Accès au bibtex
-
- titre
- Design of Superdirective and Compact Antenna Array
- auteur
- Saber Dakhli, Jean Marie Floc'H, Hatem Rmili, Fethi Choubani
- article
- ICMCS 2016, Oct 2016, Marrakech, Morocco. ⟨10.1109/ICMCS.2016.7905543⟩
- Accès au bibtex
-
- titre
- Frequency reconfigurable Multiband Meander Patch Antenna for cognitive Radio with wide Tuning Frequency Range
- auteur
- Jean Marie Floc'H, Imen Ben Trad, Ines Rouissi
- article
- ICMCS 2016, Oct 2016, Marrackech, Morocco. ⟨10.1109/ICMCS.2016.7905657⟩
- Accès au bibtex
-
- titre
- Transparent and conducting films for active antennas at microwaves with low visual impact
- auteur
- Alexis Martin, Xavier Castel, Olivier Lafond, Mohamed Himdi
- article
- 6th Workshop NIMS-UR1-CNRS-SG "Materials and Sustainable development: Issues and Challenges of the 21st century", Oct 2016, FUKUOKA, Japan. pp.31
- Accès au bibtex
-
- titre
- Structure and electronic properties of reactively RF magnetron sputtered ABO2N oxynitride thin films
- auteur
- Laurent Le Gendre, Claire Le Paven-Thivet, Florent Marlec, Ratiba Benzerga, Anthony Ferri, Didier Fasquelle, Vincent Laur, Simon Jacq, Franck Tessier, François Cheviré, Sébastien Saitzek, Rachel Desfeux, Ala Sharaiha
- article
- 6th Workshop NIMS-UR1-CNRS-SG "Materials and Sustainable development: Issues and Challenges of the 21st century, Oct 2016, Fukuoka, Japan. pp.8
- Accès au bibtex
-
- titre
- Models of Architecture: Reproducible Efficiency Evaluation for Signal Processing Systems
- auteur
- Maxime Pelcat, Karol Desnos, Luca Maggiani, Yanzhou Liu, Julien Heulot, Jean François Nezan, Shuvra S Bhattacharyya
- article
- International Workshop on Signal Processing Systems, Oct 2016, Dallas, United States
- Accès au texte intégral et bibtex
-
- titre
- Optimal power flow based control of microgrids providing Volt/VAR services
- auteur
- Xinyu Zhang, Hervé Guéguen, Zhaohong Bie
- article
- 2016 IEEE PES Asia-Pacific Power and Energy Engineering Conference (APPEEC), Oct 2016, Xi'an, China. pp.2606 - 2610, ⟨10.1109/APPEEC.2016.7779961⟩
- Accès au bibtex
-
- titre
- Automatic lossy compression of noisy images by spiht or jpeg2000 in optimal operation point neighborhood
- auteur
- Vladimir Lukin, Alaxender Zemliachenko, Sergey Abramov, Benoit Vozel, Kacem Chehdi
- article
- 2016 6th European Workshop on Visual Information Processing (EUVIP), Oct 2016, Marseille, France. pp.1-6, ⟨10.1109/EUVIP.2016.7764581⟩
- Accès au bibtex
-
- titre
- Distributed Memory Allocation Technique for Synchronous Dataflow Graphs
- auteur
- Karol Desnos, Maxime Pelcat, Jean-François Nezan, Slaheddine Aridhi
- article
- 2016 IEEE International Workshop on Signal Processing Systems, IEEE; IEEE Signal Processing Society; IEEE CAS, Oct 2016, Dallas, TX, United States. ⟨10.1109/SiPS.2016.16⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiple beam antenna based on a parallel plate waveguide continuous delay lens beamformer
- auteur
- H. Legay, S. Tubau, E. Girard, J.-P. Fraysse, R. Chiniard, C. Diallo, R. Sauleau, M. Ettorre, N. Fonseca
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.118--119
- Accès au bibtex
-
- titre
- Comparison between one-body 2-D beam-switching Butler matrix and 2-D beam-switching Rotman lens
- auteur
- D.-H. Kim, J. Hirokawa, K. Tekkouk, M. Ando, R. Sauleau
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.300--301
- Accès au bibtex
-
- titre
- A non-foster circuit design for antenna miniaturization
- auteur
- Abdullah Haskou, D. Lemur, Sylvain Collardey, A. Sharaiha
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.286--287
- Accès au bibtex
-
- titre
- Pillbox antenna integrating amplitude monopulse technique in SIW technology
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.652--653
- Accès au bibtex
-
- titre
- Pattern reconfigurable slot antenna array
- auteur
- Alexis Martin, V. Le Neillon, Mohamed Himdi
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.102--103
- Accès au bibtex
-
- titre
- Influence of the mesh dimensions on optically transparent and active antennas at microwaves
- auteur
- Alexis Martin, Xavier Castel, Mohamed Himdi, Olivier Lafond
- article
- ISAP 2016 “International Symposium on Antennas and Propagation”, Oct 2016, OKINAWA, Japan. pp.112-113
- Accès au bibtex
-
- titre
- Recent and future research trends in planar multi-beam antennas in the millimeter wave range at IETR-France
- auteur
- K. Tekkouk, M. Ettorre, F.F. Manzillo, T. Potelon, M. Smierzchalski, D. Blanco, L. Le Coq, R. Sauleau
- article
- 21st International Symposium on Antennas and Propagation, ISAP 2016, Oct 2016, MashikiGinowan, Japan. pp.124--125
- Accès au bibtex
-
- titre
- Taking advantage of a diffuse medium for high resolution imaging using two antennas
- auteur
- S. Bucuci, M. Davy, A. Sharaiha, P. Pouliguen
- article
- 2016 IEEE Conference on Antenna Measurements and Applications, CAMA 2016, Oct 2016, New York, United States. ⟨10.1109/CAMA.2016.7815807⟩
- Accès au bibtex
-
- titre
- Optimized Iterative Physical Optics for EM Scattering from Large Structures
- auteur
- Antoine Thomet, Gildas Kubicke, Christophe Bourlier, Philippe Pouliguen
- article
- 2016 IEEE Conference on Antenna Measurements & Applications (CAMA), Oct 2016, Syracuse, United States. Paper#1010, ⟨10.1109/cama.2016.7815734⟩
- Accès au bibtex
-
- titre
- Algue synthétique basée sur des films piézoélectriques
- auteur
- Raynald Seveno, Thibault Dufay, Benoit Guiffard, Jean-Christophe Thomas
- article
- Journée récupération de l’énergie des vagues, Oct 2016, Nantes, France
- Accès au bibtex
-
- titre
- Conformal phased array in a small conical shape for communications at 5.2 GHz
- auteur
- V. Jaeck, L. Bernard, K. Mahdjoubi, R. Sauleau, Sylvain Collardey, P. Pouliguen, P. Potier
- article
- 2016 IEEE International Symposium on Phased Array Systems and Technology, PAST 2016, Oct 2016, Waltham, United States. pp.1-6, ⟨10.1109/ARRAY.2016.7832633⟩
- Accès au bibtex
-
- titre
- Imaging system fed by a quasi-optical beam-forming network for Ka-band satellite applications
- auteur
- M. Smierzchalski, M. Ettorre, R. Sauleau, G. Valerio, T. Makdissy, E. Girard, H. Legay
- article
- 2016 IEEE International Symposium on Phased Array Systems and Technology, PAST 2016, Oct 2016, Waltham, United States. pp.1-4, ⟨10.1109/ARRAY.2016.7832605⟩
- Accès au bibtex
-
- titre
- HbbTV based Push-VOD Services over DVB Networks: Analysis and AL-FEC code Application
- auteur
- Ferdaouss Mattoussi, Matthieu Crussière, Jean-François Hélard
- article
- 8th International Congress on Ultra Modern Telecommunications and Control Systems and Workshops (ICUMT), Oct 2016, Lisbon, Portugal. pp.414--419
- Accès au bibtex
-
- titre
- Large Bandwidth Analysis in Multifunction Antenna for Radar and Communication Applications
- auteur
- Samir Ouedraogo, Israel D. Hinostroza Saenz, Régis Guinvarc'H, Raphaël Gillard
- article
- 2016 IEEE International Symposium on Phased Array Systems and Technology (PAST 2016) , Oct 2016, Waltham, United States. ⟨10.1109/ARRAY.2016.7832636⟩
- Accès au bibtex
-
- titre
- LED Driver Performance Analysis for Joint Visible Light Communication and Illumination
- auteur
- Sylvain Haese, Lamjed Mtimet, Maryline Hélard
- article
- 59th International Midwest Symposium on Circuits and Systems (MWSCAS'2016), IEEE, Oct 2016, Abu Dhabi, United Arab Emirates
- Accès au bibtex
-
- titre
- High-level geometry-based features of video modality for emotion prediction.
- auteur
- Raphael Weber, Vincent Barrielle, Catherine Soladie, Renaud Seguier
- article
- 6th International Workshop on Audio/Visual Emotion Challenge (AVEC'16), Oct 2016, Amsterdam, Netherlands. p.51-58, ⟨10.1145/2988257.2988262⟩
- Accès au bibtex
-
- titre
- Commande prédictive avec Python. Application au pilotage optimal du chauffage d'un bâtiment
- auteur
- Pierre Haessig, Sylvain Chatel, Romain Bourdais, Amanda Abreu, Hervé Guéguen
- article
- PyCon-FR 2016, Oct 2016, Rennes, France
- Accès au bibtex
-
- titre
- SAMCOM : Systèmes Antennaires en Matériaux Composites
- auteur
- Xavier Castel, Patrick Parneix
- article
- 1ères assises de la Recherche et de l’Innovation des Côtes d'Armor, Oct 2016, SAINT-BRIEUC, France. pp.7
- Accès au bibtex
-
- titre
- Demo: Ker-ONE: Embedded virtualization approach with dynamic reconfigurable accelerators management
- auteur
- Tian Xia, Mohamad Alfadl Rihani, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853825⟩
- Accès au bibtex
-
- titre
- Demo: UHD live video streaming with a real-time scalable HEVC encoder
- auteur
- R. Parois, W. Hamidouche, E.G. Mora, M. Raulet, O. Deforges
- article
- 2016 Conference on Design and Architectures for Signal and Image Processing, DASIP 2016, Oct 2016, Rennes, France. pp.235--236, ⟨10.1109/DASIP.2016.7853830⟩
- Accès au bibtex
-
- titre
- ARM-FPGA based platform for automated adaptive wireless communication systems using partial reconfiguration technique
- auteur
- Mohamad Alfadl Rihani, Jean-Christophe Prévotet, Fabienne Nouvel, Mohamad Mroué, Yasser Mohanna
- article
- Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853806⟩
- Accès au bibtex
-
- titre
- Scalable HEVC Decoder for Mobile Devices: Trade-offs between Energy Consumption and Quality
- auteur
- Erwan Raffin, Wassim Hamidouche, Erwan Nogues, Maxime Pelcat, Daniel Menard
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France
- Accès au bibtex
-
- titre
- Estimating encoding complexity of a real-time embedded software HEVC codec
- auteur
- Alexandre Mercat, Wassim Hamidouche, Maxime Pelcat, Daniel Menard
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), ECSI, Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853792⟩
- Accès au bibtex
-
- titre
- Demo: 4K Real-Time Video Streaming in Hybrid Codec Scalability SHVC configuration
- auteur
- Ronan Parois, Wassim Hamidouche, Pierre-Loup Cabarat, Mickaël Raulet, Naty Sidaty, Olivier Déforges
- article
- Conference on Design and Architecture for Signal and Image Processing (DASIP) 2016, Oct 2016, Rennes, France. pp.46748-46762, ⟨10.1109/ACCESS.2019.2904196⟩
- Accès au bibtex
-
- titre
- A comparison of cost construction methods onto a C6678 platform for stereo matching
- auteur
- Judicaël Menant, Guillaume Gautier, Jean-François Nezan, Muriel Pressigout, Luce Morin
- article
- 2016 Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853821⟩
- Accès au texte intégral et bibtex
-
- titre
- Demo: Reconfigurable Platform Composer Tool
- auteur
- C. Sau, T. Fanni, P. Meloni, L. Raffo, Maxime Pelcat, F. Palumbo
- article
- 2016 Conference on Design and Architectures for Signal and Image Processing, DASIP 2016, Oct 2016, Rennes, France. pp.245--246, ⟨10.1109/DASIP.2016.7853835⟩
- Accès au bibtex
-
- titre
- Efficient parallel architecture of an intra-only scalable multi-layer HEVC encoder
- auteur
- R. Parois, W. Hamidouche, E.G. Mora, M. Raulet, O. Deforges
- article
- 2016 Conference on Design and Architectures for Signal and Image Processing, DASIP 2016, Oct 2016, Rennes, France. pp.11--17, ⟨10.1109/DASIP.2016.7853790⟩
- Accès au bibtex
-
- titre
- Parallelism Exploitation of a Dimensionality Reduction Algorithm Applied to Hyperspectral Images
- auteur
- Raquel Lazcano, Daniel Madroñal, Karol Desnos, Maxime Pelcat, Raúl Guerra, Sebastián López, Eduardo Juarez, César Sanz
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France
- Accès au bibtex
-
- titre
- Scalable HEVC decoder for mobile devices: Trade-off between energy consumption and quality
- auteur
- Erwan Raffin, W. Hamidouche, E. Nogues, Maxime Pelcat, Daniel Menard
- article
- 2016 Conference on Design and Architectures for Signal and Image Processing, DASIP 2016, Oct 2016, Rennes, France. pp.18--25, ⟨10.1109/DASIP.2016.7853791⟩
- Accès au bibtex
-
- titre
- Stability Criterion for Voltage Stability Study of Distributed Generators
- auteur
- Marjorie Cosson, Hervé Guéguen, Pierre Haessig, Didier Dumur, Cristina Stoica Maniu, Vincent Gabrion, Gilles Malarange
- article
- IFAC workshop on Control of Transmission and Distribution Smart-Grids, Oct 2016, Prague, Czech Republic. pp.047-053, ⟨10.1016/j.ifacol.2016.10.718⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-standard ofdm transceiver for heterogeneous system-on-chips
- auteur
- Pascal Cotret, Christophe Moy, Vipin Kizheppatt
- article
- WinnComm Europe, Oct 2016, Gennevilliers, France
- Accès au bibtex
-
- titre
- Capacity Analysis of Radio Frequency Interconnect for Manycore Processor Chips
- auteur
- Jacques Palicot, Yves Louet, Eren Unlu, Christophe Moy
- article
- Fifth International Conference on Telecommunications and Remote Sensing, Oct 2016, Milan, Italy. pp.71-77, ⟨10.5220/0006227300710077⟩
- Accès au bibtex
-
- titre
- 13.56 MHz rectifier based on a microcrystalline silicon Schottky diodes for RFID application
- auteur
- I. Souleiman, C. Simon, N. Coulon, S. Crand, T. Mohammed-Brahim
- article
- 11th IEEE Nanotechnology Materials and Devices Conference (NMDC), Oct 2016, Toulouse, France
- Accès au bibtex
-
- titre
- Runtime Energy versus Quality Tuning in Motion Compensation Filters for HEVC
- auteur
- Francesca Palumbo, Carlo Sau, Davide Evangelista, Paolo Meloni, Maxime Pelcat, Luigi Raffo
- article
- 14 th IFAC INTERNATIONAL CONFERENCE on PROGRAMMABLE DEVICES and EMBEDDED SYSTEMS (PDeS), Oct 2016, Brno, Czech Republic
- Accès au bibtex
-
- titre
- Efficient 2-D Leaky-Wave Antenna Configurations Based on Graphene Metasurfaces
- auteur
- Walter Fuscaldo, Paolo Burghignoli, Paolo Baccarelli, Alessandro Galli
- article
- 46th European Microwave Conference (EuMC), Oct 2016, London, United Kingdom. pp.313--316
- Accès au bibtex
-
- titre
- Performance Assessment of FMCW Radar Processing for Transponder Identification
- auteur
- Lama Ghattas, Stéphane Méric, Christian Brousseau
- article
- European Microwave Week, Oct 2016, Londres, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Performance of Radiation Pattern and Polarization diversity for Body-Centric Applications at 2.45 GHz
- auteur
- Rizwan Masood, Christian Person, Ronan Sauleau
- article
- European Microwave Conference (EuMC), Oct 2016, London, United Kingdom
- Accès au bibtex
-
- titre
- Antenna efficiency measurement from quality factor estimation in reverberation chamber
- auteur
- Philippe Besnier, Jérôme Sol, Anthony Presse, Christophe Lemoine, Anne-Claude Tarot
- article
- European Microwave conference (EUMC), Oct 2016, London, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Microcrystalline silicon based TFTs and resistors for reliable flexible electronics
- auteur
- Y. Kervran, K. Kandoussi, H. Dong, S. Janfaoui, N. Coulon, C. Simon, E. Jacques, T. Mohammed-Brahim
- article
- Symposium on Thin Film Transistors 13, TFT 2016 - PRiME 2016/230th ECS Meeting, Oct 2016, Honolulu, United States. pp.13--25, ⟨10.1149/07510.0013ecst⟩
- Accès au bibtex
-
- titre
- LE COMPORTEMENT TRIBOLOGIQUE DU COUPLE ACIER-ACIER: 42CRMO4-20MNCR5
- auteur
- Hamoudi Bouhabila, A. Bouchoucha, Ratiba Benzerga
- article
- Third International Conference on Energy, Materials, Applied Energetics and Pollution (ICEMAEP2016),, Sep 2016, Canstantine, Algérie
- Accès au bibtex
-
- titre
- Compact Tunable Ku-band Schiffman Phase Shifter for Beam-Steering Applications
- auteur
- Rawia Ouali, Lotfi Osman, Tchanguiz Razban, Yann Mahé
- article
- International Conference on Multimedia Computing and Systems , Sep 2016, Marrakech, Morocco. Paper ID: 83
- Accès au bibtex
-
- titre
- Design of a 5.8 GHZ rectenna by using metamaterial inspired small antenna
- auteur
- A. Abraray, O. El Mrabet, A. Farkhsi, M. Aznabet, M. Bajtaoui, B. El Jaafari, J. Floc'H
- article
- 5th International Conference on Multimedia Computing and Systems, ICMCS 2016, Sep 2016, Marrakech, Morocco. ⟨10.1109/ICMCS.2016.7905670⟩
- Accès au bibtex
-
- titre
- Parallelism exploitation of a PCA algorithm for hyperspectral images using RVC-CAL
- auteur
- R. Lazcano, I. Sidrach-Cardona, D. Madroñal, Karol Desnos, Maxime Pelcat, E. Juárez, C. Sanz
- article
- High-Performance Computing in Geoscience and Remote Sensing VI, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2241643⟩
- Accès au bibtex
-
- titre
- The true false ground truths: What interest?
- auteur
- K. Chehdi, Claude Cariou
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2241096⟩
- Accès au bibtex
-
- titre
- Scatter-plot-based method for noise characteristics evaluation in remote sensing images using adaptive image clustering procedure
- auteur
- V.V. Abramova, S.K. Abramov, V.V. Lukin, B. Vozel, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2240876⟩
- Accès au bibtex
-
- titre
- Statistical power of intensity- and feature-based similarity measures for registration of multimodal remote sensing images
- auteur
- M. Uss, B. Vozel, V. Lukin, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2240895⟩
- Accès au bibtex
-
- titre
- Generic Statistical Multiplexer with a Parametrized Bitrate Allocation Criteria
- auteur
- Médéric Blestel, Michael Ropert, Wassim Hamidouche
- article
- IEEE International Conference on Image Processing (ICIP) 2016, Sep 2016, Phoenix Arizona, United States. ⟨10.1109/ICIP.2016.7532734⟩
- Accès au bibtex
-
- titre
- Accuracy assessment of blind and semi-blind restoration methods for hyperspectral images
- auteur
- M. Zhang, B. Vozel, K. Chehdi, M. Uss, S. Abramov, V. Lukin
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2240950⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficiency analysis for 3D filtering of multichannel images
- auteur
- R.A. Kozhemiakin, O. Rubel, S.K. Abramov, V.V. Lukin, B. Vozel, K. Chehdi
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. ⟨10.1117/12.2240865⟩
- Accès au bibtex
-
- titre
- Unsupervised component reduction of hyperspectral images and clustering without performance loss: Application to marine algae identification
- auteur
- B. Chen, K. Chehdi, E. de Oliveira, Claude Cariou, B. Charbonnier
- article
- Image and Signal Processing for Remote Sensing XXII, Sep 2016, Edinburgh, United Kingdom. pp.100040Q, ⟨10.1117/12.2241190⟩
- Accès au bibtex
-
- titre
- HardBlare: Hardware Design for Tag-Based Security Monitors
- auteur
- Pascal Cotret
- article
- Cybersecurity France/Japan, Sep 2016, Rennes, France
- Accès au bibtex
-
- titre
- Blind and Adaptive Reconstruction Approach for Non-Uniformly Sampled Wideband Signal
- auteur
- Himani Joshi, Sumit J. Darak, Yves Louet
- article
- International Conference on Advances in Computing, Communications and Informatics (ICACCI), Sep 2016, Jaipur, India. ⟨10.1109/icacci.2016.7732404⟩
- Accès au bibtex
-
- titre
- Comparative Study of two Pseudo Chaotic Number Generators for Securing the IoT
- auteur
- Ons Jallouli, Mohammad Abu Taha, Safwan El Assad, Maryline Chetto, Audrey Queudet, Olivier Déforges
- article
- International Conference on Advances in Computing, Communications and Informatics (ICACCI-2016), Sep 2016, Jaipur, India. pp.1345-1349, ⟨10.1109/ICACCI.2016.7732234⟩
- Accès au texte intégral et bibtex
-
- titre
- Audiovisual quality study for videoconferencing on IP networks
- auteur
- I. Saidi, Lu Zhang, V. Barriac, O. Deforges
- article
- 18th IEEE International Workshop on Multimedia Signal Processing, MMSP 2016, Sep 2016, Montreal, Canada. ⟨10.1109/MMSP.2016.7813379⟩
- Accès au bibtex
-
- titre
- Dynamic and Partial Reconfiguration Power Consumption Runtime Measurements Analysis for ZYNQ SoC Devices
- auteur
- Mohamad Alfadl Rihani, Fabienne Nouvel, Jean-Christophe Prévotet, Mohamad Mroue, Jordane Lorandel, Yasser Mohanna
- article
- 13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. pp.592--596
- Accès au bibtex
-
- titre
- Indoor MIMO Channel Sounding at 3.5 GHz
- auteur
- Hanna Farhat, Yves Lostanlen, Thierry Tenoux, Guy Grunfelder, Ghaïs El Zein
- article
- IEEE Middle East Conference on Antennas and Propagation, (MECAP ‘16), Associations in Antennas & Propagation, Sep 2016, Beyrouth, Lebanon. pp.1-4, ⟨10.1109/MECAP.2016.7790107⟩
- Accès au texte intégral et bibtex
-
- titre
- Coexistence of filter banks and CP-OFDM: What are the real gains?
- auteur
- Quentin Bodinier, Faouzi Bader, Jacques Palicot
- article
- Thirteenth International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. pp.628 - 632, ⟨10.1109/ISWCS.2016.7600980⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient Modelling of FPGA-based IP blocks using Neural Networks
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- International Symposium on Wireless Communication Systems, Sep 2016, Poznan, Poland
- Accès au texte intégral et bibtex
-
- titre
- Feasibility of Heartbeat Detection Behind a Wall Using CW Doppler Radar
- auteur
- Sarah El-Samad, Dany Obeid, Gheorghe Zaharia, Sawsan Sadek, Ghaïs El Zein
- article
- 3rd Middle East Conference on Antennas and Propagation (MECAP'16), Sep 2016, Beyrouth, Lebanon. ⟨10.1109/mecap.2016.7790091⟩
- Accès au texte intégral et bibtex
-
- titre
- Smart Decision Making Policy for Faster Harvesting From Ambient RF Sources in Wireless Sensor Nodes
- auteur
- Sumit J. Darak, Jacques Palicot, Christophe Moy, Yves Louët
- article
- 2016 13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. ⟨10.1109/iswcs.2016.7600891⟩
- Accès au bibtex
-
- titre
- Accurate Measurement of Power Consumption Overhead During FPGA Dynamic Partial Reconfiguration
- auteur
- Amor Nafkha, Yves Louët
- article
- 13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. pp.586--591, ⟨10.1109/ISWCS.2016.7600972⟩
- Accès au bibtex
-
- titre
- A compact circularly-polarized array based on superdirective elements
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- IEEE Middle East Conference on Antennas and Propagation (MECAP), Sep 2016, Beirut, Lebanon. pp.1 - 3, ⟨10.1109/MECAP.2016.7790082⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase offset estimation of SOQPSK waveform by the analysis of the angle distribution
- auteur
- Rami Othman, A. Skrzypczack, Yves Louët
- article
- 2016 13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. ⟨10.1109/iswcs.2016.7600847⟩
- Accès au bibtex
-
- titre
- Compression technique for retrodirective reflectors using transformation optics
- auteur
- Hassan Haddad, Renaud Loison, Raphaël Gillard, Akil Jrad, Ali Harmouch
- article
- MECAP2016, Sep 2016, Beirut, Lebanon. pp.1 - 4, ⟨10.1109/MECAP.2016.7790081⟩
- Accès au texte intégral et bibtex
-
- titre
- Blind Equalization using Constant Modulus Algorithm Adapted to OFDM/OQAM Modulation
- auteur
- Vincent Savaux, Faouzi Bader, Malek Naoues
- article
- 13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. pp.315--319, ⟨10.1109/iswcs.2016.7600921⟩
- Accès au bibtex
-
- titre
- Comparison between a Hybrid Digital and Analog Beamforming System and a Fully Digital Massive MIMO System with Adaptive Beamsteering Receivers
- auteur
- Antoine Rozé, Matthieu Crussière, Maryline Hélard, Charlotte Langlais
- article
- ISWCS 2016: 13th International Symposium on Wireless Communication Systems, Sep 2016, Poznan, Poland. pp.86-91, ⟨10.1109/ISWCS.2016.7600880⟩
- Accès au texte intégral et bibtex
-
- titre
- On the born approximation for differential microwave imaging using volume integral equation formulation
- auteur
- M. Bjelogrlic, Benjamin Fuchs, J.R. Mosig, M. Mattes
- article
- 18th International Conference on Electromagnetics in Advanced Applications, ICEAA 2016, Sep 2016, Cairns, Australia. ⟨10.1109/ICEAA.2016.7731435⟩
- Accès au bibtex
-
- titre
- Design of a wideband and dual-polarized CPW-fed monopole antenna for future 5G communications
- auteur
- Haiyang Zhang, Yann Mahé, Tchanguiz Razban, Sun Huan, Yang Tao
- article
- IEEE Vehicular Technology Conference (VTC2016), Sep 2016, Montréal, Canada. pp.VTC2016#zhang_h
- Accès au bibtex
-
- titre
- Sparse representation based histogram in color texture retrieval
- auteur
- C. Bai, J.-N. Chen, J. Zhang, K. Kpalma, J. Ronsin
- article
- 17th Pacific-Rim Conference on Multimedia, PCM 2016, Sep 2016, Xi’an, China. pp.55--64, ⟨10.1007/978-3-319-48890-5_6⟩
- Accès au bibtex
-
- titre
- Task clustering approach to optimize the scheduling on a partially dynamically reconfigurable FPGAs for image processing algorithms
- auteur
- E.M. Abdali, Maxime Pelcat, F. Berry, J.-P. Diguet, D. Heller
- article
- 10th International Conference on Distributed Smart Cameras, ICDSC 2016, Sep 2016, Paris, France. pp.230--231, ⟨10.1145/2967413.2974042⟩
- Accès au bibtex
-
- titre
- A Holistic Approach for Optimizing DSP Block Utilization of a CNN implementation on FPGA
- auteur
- Kamel Eddine Abdelouahab, Cédric Bourrasset, Maxime Pelcat, François Berry, Jean-Charles Quinton, Jocelyn Sérot
- article
- Proceedings of the 10th International Conference on Distributed Smart Cameras - ICDSC'16, Sep 2016, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- MOOC and practices in electrical and information engineering: Complementary approaches
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 15th International Conference on Information Technology Based Higher Education and Training, ITHET 2016, Sep 2016, Istanbul, Turkey. ⟨10.1109/ITHET.2016.7760732⟩
- Accès au bibtex
-
- titre
- Towards innovation in microelectronics in the Chinese educational system
- auteur
- O. Bonnaud, Lumei Wei
- article
- 15th International Conference on Information Technology Based Higher Education and Training, ITHET 2016, Sep 2016, Istanbul, Turkey. ⟨10.1109/ITHET.2016.7760731⟩
- Accès au bibtex
-
- titre
- Plane wave coupling to an aerial electrical cable. Assessment of extreme interference levels with the controlled stratification method
- auteur
- M. Larbi, Philippe Besnier, B. Pecqueux, F. Puybaret
- article
- International Symposium on Electromagnetic Compatibility - EMC EUROPE, Sep 2016, Wroclaw, Poland. pp.112-117, ⟨10.1109/EMCEurope.2016.7739199⟩
- Accès au bibtex
-
- titre
- Experimental Channel-Based Secret Key Generation with Integrated Ultra Wideband Devices
- auteur
- Marharyta Bulenok, Iulia Tunaru, Luc Biard, Benoît Denis, Bernard Uguen
- article
- 27th Annual International Symposium On Personal, Indoor, and Mobile Radio Communications (PIMRC), Sep 2016, Valencia, Spain. pp.888--893, ⟨10.1109/PIMRC.2016.7794705⟩
- Accès au bibtex
-
- titre
- Joint Orientation and Position Estimation from Differential RSS Measurements at On-Body Nodes
- auteur
- B. Denis, Bernard Uguen, F. Mani, Raffaele d'Errico, N. Amiot
- article
- Ieee 27th Annual International Symposium On Personal, Indoor, and Mobile Radio Communications (pimrc), Sep 2016, Valencia, Spain. pp.1321--1326
- Accès au bibtex
-
- titre
- Audiovisual quality study for videotelephony on IP networks
- auteur
- Inès Saidi, Lu Zhang, Vincent Barric, Olivier Déforges
- article
- MMSP, Sep 2016, Montreal, Canada
- Accès au bibtex
-
- titre
- Modified Tone Reservation for Peak-to-Average Power Ratio on OFDM systems
- auteur
- Lamarana Mamadou Diallo, Marwa Chafii, Jacques Palicot, Faouzi Bader
- article
- EUSIPCO, Aug 2016, Budapest, Hungary
- Accès au bibtex
-
- titre
- Silicon: A flexible material for bendable electronics and sensors
- auteur
- Y. Kervran, K. Kandoussi, H. Dong, S. Janfaoui, N. Coulon, C. Simon, E. Jacques, T. Mohammed-Brahim
- article
- 31st Symposium on Microelectronics Technology and Devices, SBMicro 2016, Aug 2016, Horizonte, Brazil. ⟨10.1109/SBMicro.2016.7731313⟩
- Accès au bibtex
-
- titre
- New evaluation scheme for software function approximation with non-uniform segmentation
- auteur
- Justine Bonnot, Erwan Nogues, Daniel Menard
- article
- 24th European Signal Processing Conference (Eupisco 2016), Aug 2016, Budapest, Hungary. pp.632 - 636, ⟨10.1109/EUSIPCO.2016.7760325⟩
- Accès au texte intégral et bibtex
-
- titre
- Innovation in Higher Education: specificity of the microelectronics field
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 31st Symposium on Microelectronics Technology and Devices (SBMicro 2016), Aug 2016, Belo Horizonte, Brazil. pp.1-4, ⟨10.1109/SBMicro.2016.7731342⟩
- Accès au bibtex
-
- titre
- Power Spectral Density Limitations of the Wavelet-OFDM System
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval, Alister G. Burr
- article
- 2016 24th European Signal Processing Conference (EUSIPCO), Aug 2016, Budapest, Hungary. ⟨10.1109/eusipco.2016.7760484⟩
- Accès au bibtex
-
- titre
- Real-time UHD Scalable multi-layer HEVC encoder architecture
- auteur
- Parois Ronan, Wassim Hamidouche, Elie Gabriel Mora, Raulet Mickaël, Olivier Deforges
- article
- European Signal Processing Conference (EUSIPCO) 2016, Aug 2016, Budapest, Hungary
- Accès au bibtex
-
- titre
- Towards a hardware-assisted information flow tracking ecosystem for ARM processors
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
- Accès au texte intégral et bibtex
-
- titre
- Multipath Components Tracking Adapted to Integrated IR-UWB Receivers for Improved Indoor Navigation
- auteur
- J. Maceraudi, F. Dehmas, B. Denis, Bernard Uguen
- article
- 24th European Signal Processing Conference (EUSIPCO), Aug 2016, Budapest, Hungary. pp.753--757
- Accès au bibtex
-
- titre
- Modified Tone Reservation for PAPR Reduction in OFDM Systems
- auteur
- Mamadou Lamarana Diallo, Marwa Chafii, Jacques Palicot, Faouzi Bader
- article
- 24th European Signal Processing Conference (EUSIPCO), Aug 2016, Budapest, Hungary. pp.697--701, ⟨10.1109/eusipco.2016.7760338⟩
- Accès au bibtex
-
- titre
- Efficient Matricization of n-D Array with CUDA and Its Evaluation
- auteur
- M.A.H. Shaikh, K.M.A. Hasan, G.G.M.N. Ali, M. Chafii, P.H.J. Chong
- article
- 19th IEEE International Conference on Computational Science and Engineering, 14th IEEE International Conference on Embedded and Ubiquitous Computing and 15th International Symposium on Distributed Computing and Applications to Business, Engineering and Science, CSE-EUC-DCABES 2016, Aug 2016, Paris, France. ⟨10.1109/CSE-EUC-DCABES.2016.192⟩
- Accès au bibtex
-
- titre
- New process for transferring PZT thin film onto polymer substrate
- auteur
- Thibault Dufay, Raynald Seveno, Jean-Christophe Thomas, Benoit Guiffard
- article
- ISAF 2016, Aug 2016, Darmstadt, Germany. ⟨10.1109/ISAF.2016.7578085⟩
- Accès au bibtex
-
- titre
- Design considerations for wearable antennas
- auteur
- A.K. Skrivervik, M. Bosiljevac, J. Trajkovikj, Benjamin Fuchs, Z. Sipus
- article
- 2016 URSI International Symposium on Electromagnetic Theory, EMTS 2016, Aug 2016, Espoo, Finland. pp.524--527, ⟨10.1109/URSI-EMTS.2016.7571444⟩
- Accès au bibtex
-
- titre
- Design and realization of high-performance microwave and millimeter wave band-pass filters on thin polymer films
- auteur
- Mohammed El Gibari, Sara Bretin, Massinissa Hadjloum, Patrick Derval, Guillaume Lirzin, Hong Wu Li
- article
- Progress In Electromagnetics Research Symposium, Aug 2016, Shanghai, China. pp.2016
- Accès au bibtex
-
- titre
- TiO2 Nanoparticles Loading on the Microwave and Optical Properties of the Electro-Optic Polymer PMMA-DR1 for Optimization of Microwave Photonic Components
- auteur
- Den-God-Frez Palessonga, Mohammed El Gibari, Stéphane Ginestar, Hélène Terrisse, Benoit Guiffard, Abdelhadi Kassiba, Hong Wu Li
- article
- Progress In Electromagnetics Research Symposium, Aug 2016, Shanghai, China. pp.404-405
- Accès au bibtex
-
- titre
- Study of an Electro-Optic Leaky Waveguide Deflector for Application in All-Optical Analog-to-Digital Converters
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Progress In Electromagnetics Research Symposium, Aug 2016, Shanghai, China. pp.2016
- Accès au bibtex
-
- titre
- Soft Matter for Integrated Photonics and Resonances: various hybrid approaches and adaptive technologies
- auteur
- Bruno Bêche, Véronique Vié, Pascal Panizza, Hervé Lhermite, Franck Artzner, Alain Moréac, Claire Bourlieu, Didier Dupont, Antoine Goullet, Agnès A. Granier, Arnaud Saint-Jalmes, Nolwenn Huby, Etienne Gaviot
- article
- Photonics & Laser Technology, Jul 2016, Berlin, Germany. pp.33, ⟨10.4172/2469-410X.C1.005⟩
- Accès au bibtex
-
- titre
- Equivalent admittance approach for the scattering of patch/slot-based frequency selective surfaces
- auteur
- F. Mesa, R. Rodríguez-Berral, M. García-Vigueras, F. Medina, J.R. Mosig
- article
- 2016 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization, NEMO 2016, Jul 2016, Beijing, China. pp.7561580, ⟨10.1109/NEMO.2016.7561580⟩
- Accès au bibtex
-
- titre
- Voltage Stability of Multiple Distributed Generators in Distribution Networks
- auteur
- Andi Wang, Chongxin Liu, Hervé Guéguen, Zhenquan Sun
- article
- 2016 International Conference on Mechatronics, Control and Automation Engineering, Jul 2016, Bangkok, Thailand. ⟨10.2991/mcae-16.2016.49⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial mapping of lichen metabolites using mass spectrometry: application to the crustose lichen Ophioparma ventosa
- auteur
- P Le Pogam, Ac Le Lamer, B Legouin, Audrey Geairon, Hélène Rogniaux, David Rondeau, Alain Fautrel, Joël Boustie
- article
- 9th Joint Meeting of AFERP, ASP, GA, JSP, PSE and SIF, Jul 2016, Copenhagen, DENMARK, Denmark. ⟨10.1055/s-0036-1596285⟩
- Accès au bibtex
-
- titre
- An efficient pseudo chaotic number generator based on coupling and multiplexing techniques
- auteur
- Ons Jallouli, Safwan El Assad, Mohammad Abu Taha, Maryline Chetto, René Lozi, Daniel Caragata
- article
- International Conference on Emerging Security Information, Systems and Technologies (SECURWARE 2016), Jul 2016, Nice, France. paper#30040
- Accès au bibtex
-
- titre
- Design Productivity of a High Level Synthesis Compiler versus HDL
- auteur
- Maxime Pelcat, Cédric Bourrasset, Luca Maggiani, François Berry
- article
- 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2016), Jul 2016, Agios Konstantinos, SAMOS, Greece. ⟨10.1109/SAMOS.2016.7818341⟩
- Accès au texte intégral et bibtex
-
- titre
- MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
- article
- 4rd Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) as part of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Jul 2016, Samos, Greece
- Accès au texte intégral et bibtex
-
- titre
- Computer generated hologram from Multiview-plus-Depth data considering specular reflections
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- IEEE International Conference on Multimedia Expo Workshops (ICMEW 2016), Jul 2016, Seattle, WA, United States. pp.1-6, ⟨10.1109/ICMEW.2016.7574699⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact du débit d'absorption spécifique sur les effets thermiques d'une personne exposée à une onde plane en bandes HF et VHF
- auteur
- Jeanne Frère, Alain Alcaras, Christophe Lemoine, Gwenaël Le Cadre, Maxim Zhadobov, Ronan Sauleau
- article
- 18ème colloque international et exposition sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Méthode de mesure de l'efficacité de rayonnement en chambre réverbérante basée sur l'estimation du facteur de qualité composite
- auteur
- Jérôme Sol, Philippe Besnier, Anthony Presse, Christophe Lemoine, Anne-Claude Tarot
- article
- 18ème colloque et expositions internationale sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France. pp.343-347
- Accès au texte intégral et bibtex
-
- titre
- LIEN ENTRE LE COURANT INDUIT ET LE DEBIT D'ABSORPTION SPECIFIQUE DE 1 A 30 MHZ
- auteur
- Jeanne Frère, Maxim Zhadobov, Ronan Sauleau, Christophe Lemoine, Alain Alcaras, Gwenaël Le Cadre
- article
- 18ème colloque international et exposition sur la compatibilité électromagnétique, Jul 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Analyse dosimétrique d'une chambre réverbérante à brassage de modes dans la bande 60 GHz.
- auteur
- Abdou Khadir Fall, Philippe Besnier, Christophe Lemoine, Maxim Zhadobov, Ronan Sauleau
- article
- 18ème colloque et expositions internationale sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France. pp.388-393
- Accès au texte intégral et bibtex
-
- titre
- Validation Issues within transmission line modeling in high frequency regions
- auteur
- Sofiane Chabane, Philippe Besnier, Marco Klingler
- article
- 18ème colloque et expositions internationale sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France. pp.18-23
- Accès au texte intégral et bibtex
-
- titre
- Estimation de la fonction de Green entre deux antennes en réception en chambre réverbérante.
- auteur
- Matthieu Davy, Julien de Rosny, Philippe Besnier
- article
- 18ème colloque et expositions internationale sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Tolerance requirements revisited for the calibration of chaotic reverberation chambers
- auteur
- Jean-Baptiste Gros, Ulrich Kuhl, Olivier Legrand, Fabrice Mortessagne, Philippe Besnier, Elodie Richalot
- article
- 18ème colloque et expositions internationale sur la compatibilité électromagnétique (CEM 2016), Jul 2016, Rennes, France. pp.359-362
- Accès au texte intégral et bibtex
-
- titre
- INFLUENCE DE L'IMPEDANCE D'ONDE SUR LES VALEURS DE DEBIT D'ABSORPTION SPECIFIQUE POUR UN FANTOME DE L'HUMAIN EN BANDE HF
- auteur
- Jeanne Frère, Alain Alcaras, Christophe Lemoine, Gwenaël Le Cadre, Maxim Zhadobov, Ronan Sauleau
- article
- 18ème colloque international et exposition sur la compatibilité électromagnétique (CEM2016), Jul 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- A novel Ka-band GaN phase shifter for SATCOM phased-array antennas
- auteur
- Simon Mener, Raphaël Gillard, Langis Roy
- article
- 27th International Symposium on Antenna Technology and Applied Electromagnetics (ANTEM 2016), Jul 2016, Montréal, Canada. pp.1 - 3, ⟨10.1109/ANTEM.2016.7550192⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved compression ratio prediction in DCT-based lossy compression of remote sensing images
- auteur
- A.N. Zemliachenko, S.K. Abramov, V.V. Lukin, B. Vozel, K. Chehdi
- article
- 36th IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2016, Jul 2016, Beijing, China. pp.6966--6969, ⟨10.1109/IGARSS.2016.7730817⟩
- Accès au bibtex
-
- titre
- Synergy of Sentinel-1 and Sentinel-2 imagery for wetland monitoring information extraction from continuous flow of sentinel images applied to water bodies and vegetation mapping and monitoring
- auteur
- Hérve Yésou, Éric Pottier, Grégoire Mercier, Manuel Grizonnet, Sadri Haouet, Alain Giros, Robin Faivre, Claire Huber, Julien Michel
- article
- 36th IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2016, Beijing, China. pp.162--165, ⟨10.1109/IGARSS.2016.7729033⟩
- Accès au bibtex
-
- titre
- 3D imaging for underfoliage targets using L-band multibaseline polinsar data and sparse estimation methods
- auteur
- Yue Huang, Jacques Levy Vehel, Laurent Ferro-Famil, Andreas Reigber
- article
- IGARSS 2016 - IEEE International Geoscience and Remote Sensing Symposium, Jul 2016, Beijing, China. ⟨10.1109/igarss.2016.7729373⟩
- Accès au texte intégral et bibtex
-
- titre
- Designing a stacked three-element parasitic superdirective antenna
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- 17th International Symposium on Antenna Technology and Applied Electromagnetics, Jul 2016, Montréal, Canada. ⟨10.1109/ANTEM.2016.7550103⟩
- Accès au texte intégral et bibtex
-
- titre
- A new k-nearest neighbor density-based clustering method and its application to hyperspectral images
- auteur
- Claude Cariou, K. Chehdi
- article
- 36th IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2016, Jul 2016, Beijing, China. pp.6161--6164, ⟨10.1109/IGARSS.2016.7730609⟩
- Accès au bibtex
-
- titre
- Simulation of Graphene-disk antenna with axially symmetric excitation using MAR and orthogonal polynomials
- auteur
- A.I. Nosich, Ronan Sauleau
- article
- ICTON 2016, 18th International Conference on Transparent Optical Networks, Jul 2016, Trente, Italy
- Accès au bibtex
-
- titre
- New Non-Uniform Segmentation Technique for Software Function Evaluation
- auteur
- Justine Bonnot, Erwan Nogues, Daniel Menard
- article
- 27th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jul 2016, London, United Kingdom. pp.131--138
- Accès au bibtex
-
- titre
- New Type of Non-Uniform Segmentation for Software Function Evaluation
- auteur
- Justine Bonnot, Daniel Menard, Erwan Nogues
- article
- Application-specific Systems, Architectures and Processors, Jul 2016, Londres, United Kingdom. ⟨10.1109/ASAP.2016.7760782⟩
- Accès au texte intégral et bibtex
-
- titre
- Segmentation non-uniforme pour l'approximation polynomiale de fonctions pour processeurs embarqués
- auteur
- Justine Bonnot, Daniel Menard, Erwan Nogues
- article
- Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- Final report on techniques for deriving land-use land-cover and forest information from Polarimetric Sar Interferometry
- auteur
- E. Pottier, Chen E., Hong W., Li Z., Laurent Ferro-Famil, Maosheng X., Li X.
- article
- DRAGON 3 Symposium, Jul 2016, Wuhan, China
- Accès au bibtex
-
- titre
- Research progress of PolSAR technology in IECAS
- auteur
- Hong W., Qiang Y., Chen E., E. Pottier
- article
- DRAGON 3 Symposium, Jul 2016, Wuhan, China
- Accès au bibtex
-
- titre
- Forest Structure Information Extraction from Airborne InSAR and PolSAR data: Summary of DRAGON 3 Progress
- auteur
- Chen E., Li Z., Wenmei L., Qi F., Lan L., Hong W., E. Pottier
- article
- DRAGON 3 Symposium, Jul 2016, Wuhan, China
- Accès au bibtex
-
- titre
- Carbon fiber loaded epoxy foam composites for electromagnetic absorption
- auteur
- Chloé Mejean, Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Mathieu Badard, Philippe Pouliguen
- article
- Microwave Materials and Their Applications 2016 (MMA 2016), Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Energy Efficiency-Area Spectral Efficiency Tradeoff in PPP Network with SLNR Precoder
- auteur
- Ahmad Mahbubul Alam, Philippe Mary, Jean-Yves Baudais, Xavier Lagrange
- article
- The 17th IEEE International workshop on Signal Processing advances in Wireless Communications, SPAWC 2016, Jul 2016, Edinburgh, United Kingdom. ⟨10.1109/SPAWC.2016.7536875⟩
- Accès au bibtex
-
- titre
- Investigation of dielectric and ferroelectric properties of perovskite oxynitride materials
- auteur
- Claire Le Paven-Thivet, Florent Marlec, Ratiba Benzerga, Anthony Ferri, Didier Fasquelle, Vincent Laur, Laurent Le Gendre, Simon Jacq, Franck Tessier, François Cheviré, Sébastien Saitzek, Rachel Desfeux, Ala Sharaiha
- article
- MMA 2016 : The 9th International Conference on Microwave Materials and Their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Optimization of electromagnetic absorber materials for anechoic chamber application
- auteur
- Ratiba Benzerga, Laura Pometcu, C. Mejean, Ala Sharaiha, Claire Le Paven, Philippe Pouliguen, M. Badard
- article
- Microwave Materials and Their Applications 2016 (MMA 2016), Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- High power load waveguide made of recycled glass foams
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Ala Sharaiha, Patrick Queffelec
- article
- Microwave Materials and their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- mmWave small cell networks: first E-band backhaul prototyping results in MiWaveS
- auteur
- E. Ohlmer, J. Schirok, M. Henker, D. Swist, M. Ullmann, M. Loehning, C. Felber, V. Kotzsch, Ronan Sauleau, T. Potelon, J. Saily, S. Gunnarsson, C. Stoij, P. Wainio, J. Putkonen, K. Aronkyto, J. Nurmiharju, D. Disco
- article
- European Conference on Networks and Communications (EuCNC 2016), Jun 2016, Athens, Greece
- Accès au bibtex
-
- titre
- Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimized Artificial Neural Network for reflectarray cell modelling
- auteur
- V. Richard, Renaud Loison, R. Gillard, H. Legay, M. Romier
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.1211--1212, ⟨10.1109/APS.2016.7696313⟩
- Accès au bibtex
-
- titre
- Wireless power transfer in presence of a body
- auteur
- M. Koohestani, M. Zhadobov, M. Ettorre
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.161--162, ⟨10.1109/APS.2016.7695789⟩
- Accès au bibtex
-
- titre
- Parameterization of the nondiffractive features of electromagnetic localized pulses
- auteur
- Walter Fuscaldo, Santi C. Pavone, Guido Valerio, Alessandro Galli, Matteo Albani, Mauro Ettorre
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.869--870, ⟨10.1109/APS.2016.7696143⟩
- Accès au bibtex
-
- titre
- Multiple beam shared aperture modulated metasurface antennas
- auteur
- David Gonzalez-Ovejero, Goutam Chattopadhyay, Stefano Maci
- article
- 2016 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jun 2016, Fajardo, France. pp.101-102
- Accès au bibtex
-
- titre
- Optimizing communication in TMA for radar
- auteur
- J. Euziere, R. Guinvarc'H, I. Hinostroza, Bernard Uguen, R. Gillard
- article
- 2016 IEEE International Symposium on Antennas and Propagation (APSURSI 2016), Jun 2016, Puerto Rico, United States. pp.705--706, ⟨10.1109/APS.2016.7696061⟩
- Accès au bibtex
-
- titre
- A V-band antenna module based on vertical TEM waveguides fully integrated in LTCC
- auteur
- F.F. Manzillo, M. Ettorre, M. Smierzchalski, R. Sauleau, M.S. Lahti, K.T. Kautio, D. Lelaidier, E. Seguenot
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.387--388, ⟨10.1109/APS.2016.7695902⟩
- Accès au bibtex
-
- titre
- Analytical approximation of the radio refractivity profile in the presence of an evaporation duct
- auteur
- Jacques Claverie, Yvonick Hurtaud
- article
- IEEE AP-S and URSI Symposium, Jun 2016, Fajardo, Puerto Rico
- Accès au bibtex
-
- titre
- Design and experimental validation of leaky-wave bessel-beam launchers at millimeter-wave frequencies
- auteur
- Walter Fuscaldo, Guido Valerio, Ronan Sauleau, Mauro Ettorre, Anthony Grbic, Alessandro Galli
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.875--876, ⟨10.1109/APS.2016.7696146⟩
- Accès au bibtex
-
- titre
- Continuous parallel plate waveguide beamformer based on a bifocal constrained lens design
- auteur
- N.J.G. Fonseca, H. Legay, S. Tubau, J.-P. Fraysse, E. Girard, M. Ettorre, R. Sauleau
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.1347--1348, ⟨10.1109/APS.2016.7696381⟩
- Accès au bibtex
-
- titre
- Irregular superstrate array for the reduction of the side lobe level in satcom user terminal antennas
- auteur
- F. Scattone, N.J.G. Fonseca, M. Ettorre, R. Sauleau
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.929--930, ⟨10.1109/APS.2016.7696173⟩
- Accès au bibtex
-
- titre
- Split aperture decoupling method applied to multi-beam pillbox antennas for large coverage, high crossover and low side lobe levels
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.1705--1706, ⟨10.1109/APS.2016.7696559⟩
- Accès au bibtex
-
- titre
- A class of silicon micromachined metasurface for the design of high-gain terahertz antennas
- auteur
- David Gonzalez-Ovejero, Theodore Reck, Cecile Jung-Kubiak, Maria Alonso-Delpino, Goutam Chattopadhyay
- article
- 2016 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jun 2016, Fajardo, France. pp.1191-1192
- Accès au bibtex
-
- titre
- Circularly-polarized reconfigurable unit-cell for transmitarray applications in Ka-band
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P. Pouliguen
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.1411--1412, ⟨10.1109/APS.2016.7696412⟩
- Accès au bibtex
-
- titre
- A low-profile and high-gain continuous transverse stub antenna using PCB-air hybrid technology
- auteur
- T. Potelon, M. Ettorre, R. Sauleau, T. Bateman, J. Francey
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.1707--1708, ⟨10.1109/APS.2016.7696560⟩
- Accès au bibtex
-
- titre
- 3D Focal Spot Manipulation at Millimeter Waves
- auteur
- Ioannis Iliopoulos, Mauro Ettorre, Ronan Sauleau, Massimiliano Casaletti, Patrick Potier, Philippe Pouliguen
- article
- Conference IEEE APS 2016, Jun 2016, Fajardo (Porto-Rico), United States
- Accès au bibtex
-
- titre
- Broadband polarizer and leaky-wave antenna for low cost Ka-band applications
- auteur
- D. Blanco, M. Ettorre, R. Sauleau
- article
- 2016 IEEE Antennas and Propagation Society International Symposium, APSURSI 2016, Jun 2016, Puerto Rico, United States. pp.343--344, ⟨10.1109/APS.2016.7695880⟩
- Accès au bibtex
-
- titre
- An ambulatory electroencephalography system for free moving horses: an innovative approach
- auteur
- Hugo Cousillas, Martial Oger, Céline Rochais, Claire Pettoello, Séverine Henry, Martine Hausberger
- article
- 12th International Equitation Science Conference, Institut Français du Cheval et de l'Equitation, Jun 2016, Saumur, France
- Accès au bibtex
-
- titre
- A novel deep-UV polymer for nanophotonics: structures towards cascade of serial micro-resonators for ultra-sensitive detections of glucose
- auteur
- Bruno Bêche, Rigoberto Castro-Beltran, Marion Specht, Qingyue Li, David Pluchon, Céline Gouldieff, Nolwenn Huby, Véronique Vié, Hervé Lhermite, Ludovic Frein, Lionel Camberlein, Goulc'Hen Loas, Cyril Hamel, Etienne Gaviot
- article
- EMN 2016 Energy Materials Nanotechnology, Jun 2016, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- A hardware coprocessor for Zynq-based Dynamic Information Flow Tracking
- auteur
- Muhammad Abdul Wahab, Christophe Moy, Pascal Cotret
- article
- 14th International Workshop on Cryptographic architectures embedded in logic devices (CryptArchi), Jun 2016, La Grande Motte, France
- Accès au bibtex
-
- titre
- Time reversal with absorbing antennas in a mode-stirred reveberation chamber
- auteur
- M. Davy, J. de Rosny, Philippe Besnier
- article
- 3rd IEEE International Workshop on Metrology for Aerospace, MetroAeroSpace 2016, Jun 2016, Florence, Italy. pp.156--160, ⟨10.1109/MetroAeroSpace.2016.7573204⟩
- Accès au bibtex
-
- titre
- Off-line DVFS integration in MDE-based design space exploration framework for MP2SoC systems
- auteur
- M. Ammar, M. Baklouti, Maxime Pelcat, Karol Desnos, M. Abid
- article
- 25th IEEE International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises, WETICE 2016, Jun 2016, Paris, France. pp.160--165, ⟨10.1109/WETICE.2016.43⟩
- Accès au bibtex
-
- titre
- Lossy Compression of Landsat Multispectral Images
- auteur
- Ruslan Kozhemiakin, Sergey Abramov, Vladimir Lukin, Blazo Djurovic, Igor Djurovic, Benoit Vozel
- article
- 5th Mediterranean Conference on Embedded Computing (MECO), Jun 2016, Bar, Montenegro. pp.104--107
- Accès au bibtex
-
- titre
- Design of a pseudo-chaotic number generator as a random number generator
- auteur
- Mohammad Abu Taha, Safwan El Assad, Ons Jallouli, Audrey Queudet, Olivier Déforges
- article
- The 11th International Conference on Communications, Jun 2016, Bucarest, Romania. pp.comm2016
- Accès au bibtex
-
- titre
- A portable approach for SoC-based Dynamic Information Flow Tracking implementations
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Secure hash algorithm based on efficient chaotic neural network
- auteur
- Nabil Abdoun, Safwan El Assad, Mohammad Abu Taha, Rima Assaf, Olivier Déforges, Mohamad Khalil
- article
- The 11th International Conference on Communications, Jun 2016, Bucarest, Romania. pp.421-426
- Accès au bibtex
-
- titre
- Transparent Dynamic Management of Reconfigurable Accelerators in Virtualization Technology
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- GDR SOC/SIP, Jun 2016, Nante, France
- Accès au texte intégral et bibtex
-
- titre
- Modélisation d'un Canal de Communication sur un Réseau de Puissance MLI
- auteur
- Nabil Zaraneh, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Effet du pas de temps sur la simulation d'un système éolien-stockage
- auteur
- Pierre Haessig, Bernard Multon, Hamid Ben Ahmed
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Critère de stabilité analytique pour les régulations locales de tension des producteurs décentralisés
- auteur
- Marjorie Cosson, Hervé Guéguen, Pierre Haessig, Didier Dumur, Cristina Stoica Maniu, Vincent Gabrion, Gilles Malarange
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Smart Driver : intégration de fonctions de communication, réflexions et première expérimentation
- auteur
- Nicolas Ginot, Christophe Bouguet, Christophe Batard
- article
- Symposium de Genie Electrique, Jun 2016, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Outillage pour la modélisation, la vérification et la génération d'applications temporisées et embarquées
- auteur
- Pierre-Emmanuel Hladik, Silvano Dal Zilio, Olivier Pasquier, Sébastien Pillement, Bernard Berthomieu
- article
- 15èmes journées Approches Formelles dans l'Assistance au Développement de Logiciels (AFADL), Jun 2016, Besançon, France
- Accès au texte intégral et bibtex
-
- titre
- Intégration de fonctions de communication dans les drivers : réflexions et première expérimentation
- auteur
- Nicolas Ginot, Christophe Bouguet, Christophe Batard
- article
- Symposium de Génie Electrique 2016 (SGE2016), Jun 2016, Grenoble, France. pp.sciencesconf.org:sge2016:82430
- Accès au bibtex
-
- titre
- 3D Characterization of Underfoliage Targets Using L-band To- mographic SAR Data and A Wavelet-Based Approach
- auteur
- Yue Huang, Jacques Lévy Véhel, Laurent Ferro-Famil, Andreas Reigber, Stefano Fortunati
- article
- EUSAR 2016 - 11th European Conference on Synthetic Aperture Radar, Jun 2016, Hamburg, Germany
- Accès au texte intégral et bibtex
-
- titre
- Interactive vs. non-interactive subjective evaluation of IP network impairments on audiovisual quality in videoconferencing context
- auteur
- I. Saidi, Lu Zhang, V. Barriac, O. Deforges
- article
- 8th International Conference on Quality of Multimedia Experience, QoMEX 2016, Jun 2016, Lisbon, Portugal. pp.7498947, ⟨10.1109/QoMEX.2016.7498947⟩
- Accès au bibtex
-
- titre
- Measurements of Dielectric Properties of Materials with High Water Content at Millimeter Waves using a Reverberation Chamber
- auteur
- Abdou Khadir Fall, Christophe Lemoine, Philippe Besnier, Maxim Zhadobov, Ronan Sauleau, Yves Le Drean
- article
- BIOEM 2016, Jun 2016, Gand, Belgium
- Accès au texte intégral et bibtex
-
- titre
- A Reverberation Chamber for Animal Exposure at Millimeter Waves
- auteur
- Abdou Khadir Fall, Christophe Lemoine, Philippe Besnier, Maxim Zhadobov, Ronan Sauleau, Frédéric Percevault, François Ferrière, Yves Le Dréan
- article
- BIOEM 2016, Jun 2016, Gand, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of VCSEL performances using a novel bonding process based on localized electroplating copper through Silicon vias
- auteur
- Salvatore Pes, Fethallah Taleb, Cyril Paranthoen, Christophe Levallois, Nicolas Chevalier, Olivier de Sagazan, Hervé Folliot, Mehdi Alouini
- article
- 9th European Workshop on VCSELs (VCSEL Day 2016), TU Darmstadt, Jun 2016, Darmstadt, Germany
- Accès au bibtex
-
- titre
- Optimal Operating Point of the Power Amplifier with respect to the EVM for TV Broadcasting Applications
- auteur
- Ali Cheaito, Jean-François Hélard, Matthieu Crussière, Yves Louët
- article
- 2016 IEEE 11th International Symposium on Broadband Multimedia Systems and Broadcasting (BMSB), Jun 2016, Nara, Japan. ⟨10.1109/bmsb.2016.7521943⟩
- Accès au bibtex
-
- titre
- Optimal capacity of hybrid unicast-broadcast networks for mobile TV services
- auteur
- Pape Abdoulaye Fam, Stéphane Paquelet, Matthieu Crussière, Jean-François Hélard, Pierre Brétillon
- article
- Broadband Multimedia Systems and Broadcasting (BMSB), 2016 IEEE International Symposium on, IEEE, Jun 2016, Nara, Japan. ⟨10.1109/BMSB.2016.7521934⟩
- Accès au bibtex
-
- titre
- Evaluation of the performance of ITU-T G.1070 model for packet loss and desynchronization impairments
- auteur
- Inès Saidi, Lu Zhang, Vincent Barric, Olivier Déforges
- article
- QoMEX, Jun 2016, Lisbon, Poland
- Accès au bibtex
-
- titre
- Simple and Accurate Closed-Form Approximation of the Standard Condition Number Distribution with Application in Spectrum Sensing
- auteur
- Hussein Kobeissi, Amor Nafkha, Youssef Nasser, Oussama Bazzi, Yves Louët
- article
- 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks, Jun 2016, Grenoble, France
- Accès au bibtex
-
- titre
- A Simple formulation for the Distribution of the Scaled Largest Eigenvalue and application to Spectrum Sensing
- auteur
- Hussein Kobeissi, Amor Nafkha, Youssef Nasser, Oussama Bazzi, Yves Louët
- article
- 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks, Jun 2016, Grenoble, France
- Accès au bibtex
-
- titre
- Dynamic Sleep Mode for Minimizing a Femtocell Power Consumption
- auteur
- Rémi Bonnefoi, Christophe Moy, Jacques Palicot
- article
- 11th International Conference on Cognitive Radio Oriented Wireless Networks, CROWNCOM 2016, May 2016, Grenoble, France. pp.618-629, ⟨10.1007/978-3-319-40352-6_51⟩
- Accès au texte intégral et bibtex
-
- titre
- Is Bayesian Multi-armed Bandit Algorithm Superior?: Proof-of-Concept for Opportunistic Spectrum Access in Decentralized Networks
- auteur
- Sumit Darak, Amor Nafkha, Christophe Moy, Jacques Palicot
- article
- CrownCom 2016: 11th International Conference on Cognitive Radio Oriented Wireless Networks, May 2016, Grenoble, France. pp.104-115, ⟨10.1007/978-3-319-40352-6_9⟩
- Accès au bibtex
-
- titre
- Throughput Capacity Analysis of a Random Multi-User Multi-Channel Network Modeled with Occupancy problem
- auteur
- Vincent Savaux, Apostolos Kountouris, Yves Louët, Christophe Moy
- article
- 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks, May 2016, Grenoble, France. pp.437-447, ⟨10.1007/978-3-319-40352-6_36⟩
- Accès au bibtex
-
- titre
- A New Evaluation Criteria for Learning Capability in OSA Context
- auteur
- Navikummar Modi, Christophe Moy, Philippe Mary, Jacques Palicot
- article
- 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks, CROWNCOM 2016, May 2016, Grenoble, France
- Accès au bibtex
-
- titre
- Spectrum Utilization and Reconfiguration Cost Comparison of Various Decision Making Policies for Opportunistic Spectrum Access Using Real Radio Signals
- auteur
- Sumit Jagdish Darak, Navikkumar Modi, Amor Nafkha, Christophe Moy
- article
- 11th EAI International Conference on Cognitive Radio Oriented Wireless Networks, CROWNCOM 2016, May 2016, Grenoble, France
- Accès au bibtex
-
- titre
- Hardware runtime verification of embedded software in SoPC
- auteur
- Dimitry Solet, Jean-Luc Béchennec, Mikaël Briday, Sébastien Faucou, Sébastien Pillement
- article
- 2016 11th IEEE Symposium on Industrial Embedded Systems (SIES), May 2016, Cracovie, Poland. SIES 2016 paper 16, ⟨10.1109/sies.2016.7509425⟩
- Accès au texte intégral et bibtex
-
- titre
- 5G Waveforms for Overlay D2D Communications: Effects of Time-Frequency Misalignment
- auteur
- Quentin Bodinier, Arman Farhang, Faouzi Bader, Hamed Ahmadi, Jacques Palicot, Luiz Dasilva
- article
- IEEE International Conference on Communications (ICC), May 2016, Kuala Lumpur, Malaysia. ⟨10.1109/icc.2016.7511285⟩
- Accès au bibtex
-
- titre
- Recherche Rapide du Mode de Prédiction Optimal basée Apprentissage via une Comparaison des Modes Intra/Inter en H.264/AVC
- auteur
- Maxime Bichon, Julien Le Tanou, Wassim Hamidouche
- article
- 18ème Colloque COmpression et REprésentation des Signaux Audiovisuels (CORESA 2016), May 2016, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Modified Kron's Method (MKME) for EMC optimization, applied to an EMC filter
- auteur
- M. Stojanovic, F. Lafont, Priscillia Fernandez-Lopez, Sjoerd Op 'T Land, Richard Perdriau
- article
- 2016 Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC), May 2016, SHENZHEN, China. pp.782-784, ⟨10.1109/APEMC.2016.7522865⟩
- Accès au bibtex
-
- titre
- FastImmunity: An EDA extension for PCB immunity prediction
- auteur
- A. Ayed, Sjoerd Op 'T Land, Richard Perdriau, Mohamed Ramdani
- article
- 7th Asia-Pacific International Symposium on Electromagnetic Compatibility, APEMC 2016, May 2016, Shenzhen, China. pp.207--210, ⟨10.1109/APEMC.2016.7523011⟩
- Accès au bibtex
-
- titre
- Blind Repetitions for Cellular-IoT: Performance Analysis of Combination Mechanisms
- auteur
- Louis-Adrien Dufrene, Ming Liu, Matthieu Crussière, Jean-François Hélard, Jean Schwoerer
- article
- 23rd International Conference on Telecommunications (ICT), May 2016, Thessaloniki, Greece
- Accès au bibtex
-
- titre
- CMA-Based Blind Equalization and Phase Recovery in OFDM/OQAM Systems
- auteur
- Vincent Savaux, Faouzi Bader, Jacques Palicot
- article
- 2016 23rd International Conference on Telecommunications (ICT), May 2016, Thessaloniki, Greece. ⟨10.1109/ict.2016.7500466⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards efficient design of fixed-point iterative receiver for coded MIMO-OFDM systems
- auteur
- R.E. Chall, F. Nouvel, M. Helard, Yvan Kokar, M. Liu
- article
- 23rd International Conference on Telecommunications, ICT 2016, May 2016, Thessaloniki, Greece. pp.7500478, ⟨10.1109/ICT.2016.7500478⟩
- Accès au bibtex
-
- titre
- Modeling Interference Between OFDM/OQAM and CP-OFDM: Limitations of the PSD-Based Model
- auteur
- Quentin Bodinier, Faouzi Bader, Jacques Palicot
- article
- International Conference on Telecommunications (ICT'2016), May 2016, Thessaloniki, Greece. ⟨10.1109/ict.2016.7500462⟩
- Accès au texte intégral et bibtex
-
- titre
- Wavelet-OFDM vs. OFDM: Performance Comparison
- auteur
- Marwa Chafii, Yahya J. Harbi, Alister Burr
- article
- 23rd International Conference on Telecommunications (ICT), May 2016, Thessaloniki, Greece
- Accès au texte intégral et bibtex
-
- titre
- Low-Complexity Approximations for LMMSE Channel Estimation in OFDM/OQAM
- auteur
- Vincent Savaux, Yves Louët, Faouzi Bader
- article
- 2016 23rd International Conference on Telecommunications (ICT), May 2016, Thessaloniki, Greece. ⟨10.1109/ict.2016.7500464⟩
- Accès au texte intégral et bibtex
-
- titre
- Distribution of microcells for cellular mobile networks
- auteur
- Heykel Houas, Yves Louët, Eric Fournier, Yann Maigron
- article
- IEEE 83rd Vehicular Technology Conference: VTC2016-Spring, May 2016, Nanjing, China. ⟨10.1109/vtcspring.2016.7504197⟩
- Accès au bibtex
-
- titre
- Adaptive Tone Reservation for better BER Performance in a Frequency Selective Fading Channel
- auteur
- Marwa Chafii, M Lamarana Diallo, Jacques Palicot, Faouzi Bader, Rémi Gribonval
- article
- IEEE VTC2016-Spring, May 2016, Nanjing, China. ⟨10.1109/vtcspring.2016.7504119⟩
- Accès au texte intégral et bibtex
-
- titre
- Practice in microelectronics education as a mandatory supplement to the future digital-based pedagogy: Strategy of the French national network
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 2016 11th European Workshop on Microelectronics Education (EWME), May 2016, Southampton, United Kingdom. pp.1-6, ⟨10.1109/EWME.2016.7496460⟩
- Accès au bibtex
-
- titre
- Smart Driver: Communicating gate driver for SiC MOSFET
- auteur
- Christophe Bouguet, Nicolas Ginot, Christophe Batard
- article
- International Exhibition and Conference for Power Electroncis, Intelligent Motion, Renewable Energy and Energy Management, May 2016, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Simulated biomass retrieval from the spaceborne tomographic Saocom-CS mission at L-band
- auteur
- E. Blomberg, M.J. Soja, Laurent Ferro-Famil, L.M.H. Ulander, S. Tebaldini
- article
- Living Planet Symposium 2016, May 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- Effects of Wave Propagation Velocity on X- And Ku-Band Tomographic Sar Imaging of Snowpack and Sea Ice
- auteur
- Laurent Ferro-Famil, Temesgen Gebrie Yitayew, Badreddine Rekioua, Stefano Tebaldini
- article
- ESA Living Planet Symposium 2016, May 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- Brazilian Amazon territory vegetation study using SAR polarimetry methods
- auteur
- K. Stavroula, Eric Pottier, I. Parcharidis
- article
- Living Planet Symposium 2016, May 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- The ESA PolSARpro toolbox and SENTINEL-1 polarimetric time-series data analysis
- auteur
- E. Pottier
- article
- ESA Living Planet Symposium, May 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- Tropical forest biomass estimation using P-band Polarimetric SAR Tomography
- auteur
- Laurent Ferro-Famil, Bassam El Hajj Chehade, Dinh Ho Tong Minh, Thuy Le Toan, Stefano Tebaldini
- article
- ESA Living Planet Symposium 2016, May 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- Transparent and conducting mesh films for transparent antenna applications
- auteur
- Alexis Martin, Xavier Castel, Olivier Lafond, Mohamed Himdi
- article
- E-MRS 2016 Spring Meeting “European Material Research Society”, May 2016, LILLE, France. pp.N 3.4
- Accès au bibtex
-
- titre
- Dual gate transistors based on polycrystalline silicon nanowires
- auteur
- Brice Le Borgne, Anne-Claire Salaün, Laurent Pichon
- article
- EMRS 2016 Spring Meeting, May 2016, Lille, France
- Accès au bibtex
-
- titre
- Resistors based on polycrystalline silicon nanoribbons for lead ions detection
- auteur
- Brice Le Borgne, Anne-Claire Salaün, Laurent Pichon, Florence Geneste
- article
- 2016 EMRS Spring Meeting, May 2016, Lille, France
- Accès au bibtex
-
- titre
- Mid-infrared optical properties of integrated Pr3+-doped chalcogenides ridge waveguides
- auteur
- Loïc Bodiou, Jonathan Lemaitre, Aldo Gutiérrez, Yannick Dumeige, Isabelle Hardy, Nathalie Lorrain, Luiz Poffo, M. Guendouz, Joël Charrier, Florent Starecki, Emeline Baudet, Virginie Nazabal, Jean-Louis Doualan, Braud Alain, Patrice Camy, Hervé Lhermitte, Olivier de Sagazan, Petr Nemec
- article
- European Materials Research Society - Spring Meeting 2016 (E-MRS 2016 Spring Meeting), May 2016, Lille, France
- Accès au bibtex
-
- titre
- From copper/carbon thin films to nanoporous carbon for sensing applications
- auteur
- L. Donero, N. Bouts, A.A. El Mel, Brice Le Borgne, L. Le Brizoual, F. Le Bihan, P.Y. Tessier
- article
- EMRS 2016, May 2016, Lille, France
- Accès au bibtex
-
- titre
- Synthesis and dielectric/ferroelectric properties of oxynitride perovskite thin films
- auteur
- C. Le Paven, Ratiba Benzerga, Anthony Ferri, Didier Fasquelle, V. Laur, Laurent Le Gendre, Florent Marlec, Franck Tessier, François Cheviré, Rachel Desfeux, Sébastien Saitzek, X. Castel, Ala Sharaiha
- article
- E-MRS 2016 Spring Meeting “European Material Research Society”, May 2016, Lille, France. pp.126-132, ⟨10.1016/J.materresbull.2016.11.030⟩
- Accès au bibtex
-
- titre
- Laser processing of KTN thin films for low loss tunable devices
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- E-MRS 2016 Spring Meeting “European Material Research Society”, May 2016, LILLE, France. pp.C XII.6
- Accès au bibtex
-
- titre
- Compact Broadband GSM/UMTS/LTE Antenna Realized by 3 D Printing
- auteur
- Jean Marie Floc'H, Bilal El Jaafari, Ahmed El Sayed
- article
- CST User meetint EUC 2016, Apr 2016, Strasbourg, France
- Accès au bibtex
-
- titre
- Chaos-based- Information Hiding and Security: an emergent technology
- auteur
- Safwan El Assad
- article
- International Workshop on Cryptography and its Applications (IWCA2016), Universite des Sciences et de la Technologie d’Oran Mohamed Boudiaf, Apr 2016, Oran, Algeria
- Accès au bibtex
-
- titre
- Simulation of radar backscattering from snowpack at X-band and Ku-band
- auteur
- Michel Gay, Xuan-Vu Phan, Laurent Ferro-Famil
- article
- EGU 2016 - European Geosciences Union General Assembly, Apr 2016, Vienne, Austria. pp.2016 - 17906
- Accès au texte intégral et bibtex
-
- titre
- Spatial Scanner Channel Sounder for Space Diversity Studies
- auteur
- Mamadou Dialounké Baldé, Stéphane Avrillon, Christian Brousseau, Dominique Lemur, Bernard Uguen
- article
- EuCAP2016 - European Conference on Antennas and Propagation, Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Two Monopole Antennas for Generating Radio OAM Waves in Circular Waveguide
- auteur
- W. Wei, K. Mahdjoubi, C. Brousseau, O. Emile, A. Sharaiha
- article
- EuCAP2016 - European Conference on Antennas and Propagation, Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Enhancement of Directivity of an OAM Antenna by Using Fabry-Perot Cavity
- auteur
- W. Wei, K. Mahdjoubi, C. Brousseau, O. Emile, A. Sharaiha
- article
- EuCAP2016 - European Conference on Antennas and Propagation, Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Miniature rectangular cavity antennas with circular polarization
- auteur
- Mario Martinis, Kouroch Mahdjoubi, Ronan Sauleau, Sylvain Collardey, Loic Bernard, A. Schneider
- article
- EuCAP 2016, Apr 2016, Daos, Switzerland
- Accès au bibtex
-
- titre
- Performance of switchable patches array using plasma commercial fluorescent lamps
- auteur
- Oumar Alassane Barro, Mohamed Himdi, O. Lafond
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481462, ⟨10.1109/EuCAP.2016.7481462⟩
- Accès au bibtex
-
- titre
- Improved microwave absorption of pyramidal absorber using metamaterial
- auteur
- L. Pometcu, A. Sharaiha, Ratiba Benzerga, P. Pouliguen, G. Dun
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481112, ⟨10.1109/EuCAP.2016.7481112⟩
- Accès au bibtex
-
- titre
- Pseudo-analytical circuits for dual-polarized FSS
- auteur
- M. García-Vigueras, F. Mesa, R. Rodríguez-Berral, F. Medina, J.R. Mosig
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481372, ⟨10.1109/EuCAP.2016.7481372⟩
- Accès au bibtex
-
- titre
- Analysis and design of CP Bessel beam launchers
- auteur
- Santi Concetto Pavone, Mauro Ettorre, Massimiliano Casaletti, Matteo Albani
- article
- Conference EuCAP 2016, Apr 2016, Davos, Switzerland. pp.1 - 4, ⟨10.1109/EuCAP.2016.7481904⟩
- Accès au bibtex
-
- titre
- Energy-Efficiency Optimization of the High Power Amplifier for Multicarrier Systems:Analytical EVM Derivation
- auteur
- Ali Cheaito, Jean-François Hélard, Matthieu Crussière, Yves Louët
- article
- 2016 IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS), Apr 2016, San Francisco, United States. ⟨10.1109/infcomw.2016.7562111⟩
- Accès au bibtex
-
- titre
- On the bandwidth and geometry of dual-band AMC structures
- auteur
- M. Mantash, A.-C. Tarot
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481589, ⟨10.1109/EuCAP.2016.7481589⟩
- Accès au bibtex
-
- titre
- Increasing the radiation efficiency and matching stability of in-body capsule antennas
- auteur
- D. Nikolayev, M. Zhadobov, P. Karban, R. Sauleau
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481314, ⟨10.1109/EuCAP.2016.7481314⟩
- Accès au bibtex
-
- titre
- A long slot array fed by a multilayer true-time delay network in LTCC for 60-GHz communications
- auteur
- F.F. Manzillo, M. Ettorre, M.S. Lahti, K.T. Kautio, D. Lelaidier, E. Seguenot, R. Sauleau
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481701, ⟨10.1109/EuCAP.2016.7481701⟩
- Accès au bibtex
-
- titre
- Equivalent circuit and scanning capabilities of long slot arrays with TEM parallel-feed excitation
- auteur
- F.F. Manzillo, M. Ettorre, R. Sauleau, N. Capet
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481153, ⟨10.1109/EuCAP.2016.7481153⟩
- Accès au bibtex
-
- titre
- Generation of limited-diffraction electromagnetic pulses at millimeter waves
- auteur
- Walter Fuscaldo, Santi C. Pavone, Guido Valerio, Alessandro Galli, Matteo Albani, Mauro Ettorre
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481905, ⟨10.1109/EuCAP.2016.7481905⟩
- Accès au bibtex
-
- titre
- Miniature and Wide-Band ILA Antenna with Non-Foster Matching
- auteur
- Abdullah Haskou, Dominique Lemur, Sylvain Collardey, Ala Sharaiha
- article
- 10th European Conference on Antennas and Propagation (EuCAP'2016), Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Compact Planar Arrays Based on Parasitic Superdirective Elements
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- European Conference on Antennas and Propagation, Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Leaky-wave-based dual-band phased array for satellite communications
- auteur
- F. Scattone, M. Ettorre, R. Sauleau, N.J.G. Fonseca
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481890, ⟨10.1109/EuCAP.2016.7481890⟩
- Accès au bibtex
-
- titre
- 3-D imaging using polarimetric diversity, processing techniques and applications
- auteur
- Laurent Ferro-Famil, Yue Huang, B. El Hajj Chehade, Andreas Reigber, S. Tebaldini
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481940, ⟨10.1109/EuCAP.2016.7481940⟩
- Accès au bibtex
-
- titre
- Contributions to 3D differential microwave imaging
- auteur
- M. Bjelogrlic, Benjamin Fuchs, M. Mattes
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481516, ⟨10.1109/EuCAP.2016.7481516⟩
- Accès au bibtex
-
- titre
- Frequency reconfigurable multiband planar antenna with wide tuning frequency range
- auteur
- I. Rouissi, Jean Marie Floc'H, H. Trabelsi, I. Ben Trad
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481366, ⟨10.1109/EuCAP.2016.7481366⟩
- Accès au bibtex
-
- titre
- Amplitude monopulse pillbox antenna in SIW technology
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481724, ⟨10.1109/EuCAP.2016.7481724⟩
- Accès au bibtex
-
- titre
- Wireless power transfer with Bessel beams
- auteur
- J.D. Heebl, M. Ettorre, A. Grbic
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481664, ⟨10.1109/EuCAP.2016.7481664⟩
- Accès au bibtex
-
- titre
- Multilayer SIW Rotman lens antenna in 24 GHz band
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481995, ⟨10.1109/EuCAP.2016.7481995⟩
- Accès au bibtex
-
- titre
- Matching and gain enhancement of leaky-wave dielectric horn antenna
- auteur
- W. Lei, M. García-Vigueras, J.R. Mosig
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481749, ⟨10.1109/EuCAP.2016.7481749⟩
- Accès au bibtex
-
- titre
- Circularly-polarized reconfigurable transmitarray in Ka-band
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P. Pouliguen
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481441, ⟨10.1109/EuCAP.2016.7481441⟩
- Accès au bibtex
-
- titre
- Effect of finite ring radius and antenna radiation on spatial correlation in multiprobe Over-The-Air Tests
- auteur
- M. Belhabib, Raffaele d'Errico, Bernard Uguen
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481355, ⟨10.1109/EuCAP.2016.7481355⟩
- Accès au bibtex
-
- titre
- Spatial correlation in spherical and cylindrical 3D MIMO Over-The-Air tests setups
- auteur
- M. Belhabib, Raffaele d'Errico, Bernard Uguen
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481843, ⟨10.1109/EuCAP.2016.7481843⟩
- Accès au bibtex
-
- titre
- Near-field focusing employing sinusoidally modulated reactance surfaces
- auteur
- I. Iliopoulos, M. Esquius-Morote, J.R. Mosig, M. García-Vigueras
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481590, ⟨10.1109/EuCAP.2016.7481590⟩
- Accès au bibtex
-
- titre
- A graphene-loaded substrate-superstrate leaky-wave THz antenna
- auteur
- A. Galli, W. Fuscaldo, P. Burghignoli, P. Baccarelli
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481169, ⟨10.1109/EuCAP.2016.7481169⟩
- Accès au bibtex
-
- titre
- Multi-beam slotted Waveguide pillbox antenna with reduced side lobe level and high beam crossover
- auteur
- K. Tekkouk, M. Ettorre, R. Sauleau
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481723, ⟨10.1109/EuCAP.2016.7481723⟩
- Accès au bibtex
-
- titre
- Antenna system for simultaneous radar and communication applications
- auteur
- S. Ouédraogo, I. Hinostroza, R. Guinvarc'H, R. Gillard
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481490, ⟨10.1109/EuCAP.2016.7481490⟩
- Accès au bibtex
-
- titre
- Efficient small switchable ribbon monopole antenna for VHF/UHF maritime applications
- auteur
- A.S. Sokpor, M. Mantash, A.-C. Tarot, Jean Marie Floc'H
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481618, ⟨10.1109/EuCAP.2016.7481618⟩
- Accès au bibtex
-
- titre
- Small Array Design Using Parasitic Superdirective Antennas
- auteur
- Abdullah Haskou, Sylvain Collardey, Ala Sharaiha
- article
- European Conference on Antennas and Propagation, Apr 2016, Davos, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- 3D near-field shaping of a focused aperture
- auteur
- Ioannis Iliopoulos, Mauro Ettorre, Massimiliano Casaletti, Ronan Sauleau, Philippe Pouliguen, Patrick Potier
- article
- Conference EuCAP 2016, Apr 2016, Davos, France. pp.1 - 4, ⟨10.1109/EuCAP.2016.7481747⟩
- Accès au bibtex
-
- titre
- A simple setup to measure the realized gain of miniaturized antennas in the HF band
- auteur
- E. Kaverine, S. Palud, F. Colombel, Mohamed Himdi
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481284, ⟨10.1109/EuCAP.2016.7481284⟩
- Accès au bibtex
-
- titre
- Wideband low-profile monopole antenna for mobile and wireless monitoring applications
- auteur
- B. El Jaafari, Jean Marie Floc'H
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481837, ⟨10.1109/EuCAP.2016.7481837⟩
- Accès au bibtex
-
- titre
- Mechanically tunable meander antenna for cognitive radio
- auteur
- Jean Marie Floc'H, I. Ben Trad, I. Rouissi
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481574, ⟨10.1109/EuCAP.2016.7481574⟩
- Accès au bibtex
-
- titre
- Dual-band 20/30 GHz circularly polarized transmitarray for SOTM applications
- auteur
- H. Hasani, J.S. Silva, J.R. Mosig, M. García-Vigueras
- article
- 10th European Conference on Antennas and Propagation, EuCAP 2016, Apr 2016, Davos, Switzerland. pp.7481869, ⟨10.1109/EuCAP.2016.7481869⟩
- Accès au bibtex
-
- titre
- SDRAN-based user association and resource allocation in Heterogeneous Wireless Networks
- auteur
- M. Zalghout, A. Khalil, Matthieu Crussière, S. Abdul-Nabi, M. Helard
- article
- 2016 IEEE Wireless Communications and Networking Conference Workshops, WCNCW 2016, Apr 2016, Doha, Qatar. pp.296--302, ⟨10.1109/WCNCW.2016.7552715⟩
- Accès au bibtex
-
- titre
- Spectrum sensing operation based on a real signal of FM radio: Feasibility study using a random sampling mode
- auteur
- H. Semlali, A. Maali, N. Boumaaz, A. Soulmani, A. Ghammaz, J.-F. Diouris
- article
- International Conference on Information Technology for Organizations Development, IT4OD 2016, Mar 2016, Fez, Morocco. pp.7479302, ⟨10.1109/IT4OD.2016.7479302⟩
- Accès au bibtex
-
- titre
- Optimal Bitrate Allocation for High Dynamic Range and Wide Color Gamut Services Deployment using SHVC
- auteur
- Thibaud Biatek, Wassim Hamidouche, Travers Jean-François, Olivier Déforges
- article
- Data Compression Conference (DCC), Mar 2016, Snowbird, United States
- Accès au bibtex
-
- titre
- Microfabricated test structures for thermal gas sensor
- auteur
- Matthieu Denoual, Mathieu Pouliquen, D. Robbes, O. de Sagazan, J. Grand, H. Awala, S. Mintova, S. Inoue, A. Mita-Tixier, Y. Mita
- article
- 29th IEEE International Conference on Microelectronic Test Structures, ICMTS 2016, Mar 2016, Yokohama, Japan. pp.16--19, ⟨10.1109/ICMTS.2016.7476165⟩
- Accès au bibtex
-
- titre
- Étude des mouvements de parois de domaines sur BaSrTiO3 dans la gamme des fréquences microondes
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Hartmut W. Gundel, Areski Ghalem, Aurelian Crunteanu, Laure Huitema, Frédéric Dumas-Bouchiat, Perrine Dutheil, Corinne Champeaux, Pascal Marchet, Liviu Nedelcu, Lucian Trupina, Gabriel Banciu
- article
- 14èmes Journées de Caractérisation Microondes et Matériaux (JCMM2016), Mar 2016, Calais, France
- Accès au bibtex
-
- titre
- Nouveaux matériaux absorbants à base de mousse époxy et de fibres de carbone
- auteur
- Chloé Mejean, Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Mathieu Badard, Claire Le Paven-Thivet, Philippe Pouliguen
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, Calais, France. P1 (4p)
- Accès au bibtex
-
- titre
- Intégration de couches minces ferroélectriques accordables dans une cellule de réseau réflecteur reconfigurable
- auteur
- Kevin Nadaud, Raphaël Gillard, Erwan Fourn, Caroline Borderon, Hartmut Gundel
- article
- 14èmes Journées de Caractérisation Micro-ondes et Matériaux, Mar 2016, Calais, France
- Accès au texte intégral et bibtex
-
- titre
- Double approche de réduction des pertes globales de dispositifs hyperfréquences : par dopage et confinement du film ferroélectrique
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Sophie Ollivier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, CALAIS, France. S3.6 (4 p.)
- Accès au bibtex
-
- titre
- Procédé de fabrication et caractérisations d’une couche mince de PZT sur substrat plastique
- auteur
- Thibault Dufay, Raynald Seveno, Jean-Christophe Thomas, Benoit Guiffard
- article
- Journées annuelles du GFC, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Adaptive Rate Control Algorithm for SHVC: Application to HD/UHD
- auteur
- Thibaud Biatek, Wassim Hamidouche, Travers Jean-François, Olivier Déforges
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing, Mar 2016, Shanghai, China
- Accès au bibtex
-
- titre
- Capacity Analysis of WCC-FBMC/OQAM Systems
- auteur
- Màrius Caus, Ana I. Perez-Neira, Adrian Kliks, Quentin Bodinier, Faouzi Bader
- article
- 2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Mar 2016, Shanghai, China. ⟨10.1109/icassp.2016.7472411⟩
- Accès au bibtex
-
- titre
- Low complexity transform competition for HEVC
- auteur
- A. Arrufat, P. Philippe, K. Reuzé, O. Déforges
- article
- 41st IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP 2016, Mar 2016, Shanghai, China. pp.1461--1465, ⟨10.1109/ICASSP.2016.7471919⟩
- Accès au bibtex
-
- titre
- Réalisation d’un rectenna dans la bande des 1.8 GHz, fonctionnant à faibles niveaux de puissance RF et optimisé par des techniques source-pull
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- Journées Scientifiques 2016 URSI France, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Machine Learning for Opportunistic Spectrum Access with Energy Consumption Constraint
- auteur
- Navikummar Modi, Christophe Moy, Philippe Mary
- article
- Journées scientifiques de l'URSI, Energie et Radiosciences, Mar 2016, Cesson-Sévigné, France
- Accès au bibtex
-
- titre
- Mécanisme des prix pour la gestion de l'énergie dans une structure hiérarchisée
- auteur
- Alexandre Muller, Romain Bourdais
- article
- Journées Scientifiques 2016, Energie et Radiosciences, Mar 2016, Cesson-Sévigné, France
- Accès au texte intégral et bibtex
-
- titre
- Toward Energy Proportional Networks
- auteur
- Nicolas Montavont, Dareen Shehadeh, Jacques Palicot, Xavier Lagrange, Alberto Blanc, Rémi Gribonval, Philippe Mary, Jean-Yves Baudais, Jean-François Hélard, Matthieu Crussière, Yves Louët, Christophe Moy
- article
- Journées scientifiques, URSI 2016, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Limites énergétiques et radiocommunications
- auteur
- Jean-Yves Baudais
- article
- Journées scientifiques, URSI 2016, Mar 2016, Rennes, France. pp.119--122
- Accès au bibtex
-
- titre
- La modulation en ondelettes: une modulation alternative à faible consommation d'énergie
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval
- article
- URSI Énergie et radiosciences, Mar 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Energy saving in Analog to Digital Convertors: how Multi-Coset Non Uniform sampling scheme can help
- auteur
- Yves Louët, Samba Traore
- article
- Journées Scientifiques d'URSI France, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Human body proximity to a wireless power transfer system
- auteur
- Mohsen Koohestani, Maxim Zhadobov, Mauro Ettorre
- article
- URSI-France, ENERGY AND RADIO SCIENCE, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- On The Energy Efficiency of Hybrid Unicast-Broadcast Networks for Mobile TV Services
- auteur
- Pape Abdoulaye Fam, Stéphane Paquelet, Matthieu Crussière, Jean-François Hélard, Pierre Brétillon
- article
- Journée Scientifique URSI-France 2016 Energie et Radiosciences, Mar 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- A Low Energy Consumption Wireless Cellular Network
- auteur
- Rémi Bonnefoi, Mouhcine Mendil, Catalin Gavritula, Jacques Palicot, Christophe Moy, Vincent Heiries, Antonio de Domenico, Raphaël Caire, Nouredine Hadjsaid
- article
- Journées Scientifiques URSI France Energie et radiosciences, Mar 2016, Rennes, France. pp.201-205
- Accès au texte intégral et bibtex
-
- titre
- Convertisseur RF/DC sans polarisation et à très large bande, pour des applications d'autonomie énergétique de capteurs
- auteur
- Jérôme Tissier, Mohamed Latrach
- article
- Journées Scientifiques 2016 URSI France, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Transfert d’énergie électromagnétique en énergie mécanique, via le moment angulaire orbital d’une onde en bande UHF
- auteur
- O. Emile, C. Brousseau, W. Wei, K. Mahdjoubi
- article
- Journées Scientifiques 2016 d’URSI-France « Energies et Radiosciences », Mar 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Penetration depth of Synthetic Aperture Radar signals in ice and snow: an analytical approach
- auteur
- Laurent Ferro-Famil, Michel Gay
- article
- Workshop Remote Sensing and Modeling of Surface Properties, Mar 2016, Grenoble, France
- Accès au bibtex
-
- titre
- Matériau piézoélectrique flexible : nouveau procédé pour la récupération d’énergie
- auteur
- Raynald Seveno
- article
- journée les nouveaux matériau donnent une nouvelle dimension à l’électronique, Mar 2016, Nantes, France
- Accès au bibtex
-
- titre
- Cognitive Green Radio for Energy-Aware Communications
- auteur
- Malek Naoues, Quentin Bodinier, Jacques Palicot
- article
- URSI - JS 16, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- A simple way for near-field source localization with MUSIC
- auteur
- Jianzhong Li, Yide Wang, Cédric Le Bastard, Gang Wei, Biyun Ma
- article
- IEEE International Conference on Computational Electromagnetics, Feb 2016, Guangzhou, China. pp.ID94_ICCEM2016
- Accès au bibtex
-
- titre
- Prediction of compression ratio in lossy compression of noisy images
- auteur
- A. Zemliachenko, R. Kozhemiakin, B. Vozel, V. Lukin
- article
- 13th International Conference on Modern Problems of Radio Engineering, Telecommunications and Computer Science, TCSET 2016, Feb 2016, Lviv, Ukraine. pp.693--697, ⟨10.1109/TCSET.2016.7452156⟩
- Accès au bibtex
-
- titre
- Optimized Belief Propagation Algorithm onto Embedded Multi and Many-Core Systems for Stereo Matching
- auteur
- Jean François Nezan, A. Mercat, P. Delmas, G. Gimelfarb
- article
- 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2016, Feb 2016, Heraklion, Greece. pp.332--336, ⟨10.1109/PDP.2016.52⟩
- Accès au bibtex
-
- titre
- Energy Efficient Scheduling of Real Time Signal Processing Applications through Combined DVFS and DPM
- auteur
- Erwan Nogues, Maxime Pelcat, Daniel Menard, Alexandre Mercat
- article
- 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP) , Feb 2016, Heraklion, Greece. ⟨10.1109/PDP.2016.15⟩
- Accès au texte intégral et bibtex
-
- titre
- ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
- article
- 24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
- Accès au bibtex
-
- titre
- On Exploiting Energy-Aware Scheduling Algorithms for MDE-Based Design Space Exploration of MP2SoC
- auteur
- Manel Ammar, Mouna Baklouti, Maxime Pelcat, Karol Desnos, Mohamed Abid
- article
- 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP 2016), Feb 2016, Heraklion, Greece. pp.643-650, ⟨10.1109/PDP.2016.110⟩
- Accès au texte intégral et bibtex
-
- titre
- Spatial structure and density of states of transmission eigenchannels
- auteur
- Z. Shi, M. Davy, C. Tian, A.Z. Genack
- article
- Adaptive Optics and Wavefront Control for Biological Systems II, Feb 2016, San Francisco, United States. pp.971710, ⟨10.1117/12.2211741⟩
- Accès au bibtex
-
- titre
- Design Space Exploration in the context of SKA
- auteur
- Jean-François Nezan
- article
- Computing for SKA Colloquium (C4SKA), Feb 2016, Auckland, New Zealand
- Accès au bibtex
-
- titre
- Fast Power and Energy Efficiency Analysis of FPGA-based Wireless Base-band Processing
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- HIP3ES International Workshop on High Performance Energy Efficient Embedded Systems (4th Edition), HIPEAC, Jan 2016, Prague, Czech Republic
- Accès au bibtex
-
- titre
- Design of Multicore HEVC Decoders Using Actor-based Dataflow Models and OpenMP
- auteur
- Miguel Chavarrias, Fernando Pescador, Matias Garrido, Maxime Pelcat, Eduardo Juarez
- article
- 2016 IEEE International Conference on Consumer Electronics, Jan 2016, Las Vegas, United States. ⟨10.1109/icce.2016.7430616⟩
- Accès au bibtex
-
- titre
- Evaporation and marine layer ducting effects on propagation during the TAPS Experiment
- auteur
- Tracy Haack, Andy Kulessa, Hedley Hansen, Sally Garrett, Martin Veasey, Kate Horgan, Victor Wiss, Jacques Claverie, Yvonick Hurtaud
- article
- USNC-URSI National Radio Science Meeting, Jan 2016, Boulder, United States
- Accès au bibtex
-
- titre
- Development of stable perovskite solar cells processed in air
- auteur
- Noélia Devesa Canicoba, Laurent Le Brizoual, Régis Rogel
- article
- 2nd International Conference on Perovskite Solar celles and optoelectronics (PCSO), , 2016, GENOA, Italy
- Accès au bibtex
-
- titre
- Polarimetric characterization of 3-D scenes using high-resolution and Full-Rank Polarimetric tomographic SAR focusing
- auteur
- Laurent Ferro-Famil, Yue Huang, Stefano Tebaldini
- article
- 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), 2016, Beijing, China. pp.5694-5697, ⟨10.1109/IGARSS.2016.7730487⟩
- Accès au bibtex
-
- titre
- Tropical forest biomass retrieval using P-band PolTomSAR data
- auteur
- B. El Hajj Chehade, Laurent Ferro-Famil, H. T. M. Dinh, Thuy Le Toan, S. Tebaldini
- article
- Proceedings of EUSAR 2016: 11th European Conference on Synthetic Aperture Radar, 2016, Hamburg, Germany. pp.1-4
- Accès au bibtex
-
- titre
- Point-target free phase calibration of InSAR data stacks
- auteur
- S. Tebaldini, F. Rocca, M. M. d'Alessandro, Laurent Ferro-Famil
- article
- 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), 2016, Beijing, China. pp.1440-1443, ⟨10.1109/IGARSS.2016.7729368⟩
- Accès au bibtex
-
- titre
- SAR tomography of natural environments: Signal processing, applications, and future challenges
- auteur
- S. Tebaldini, F. Rocca, Andreas Reigber, Laurent Ferro-Famil
- article
- 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), 2016, Beijing, China. pp.1-4, ⟨10.1109/IGARSS.2016.7728991⟩
- Accès au bibtex
-
- titre
- A Way to Introduce Innovative Approach in the Field of Microelectronics and Nanotechnologies in the Chinese Education System
- auteur
- Olivier Bonnaud, Lei Wei
- article
- International Conference on Advanced Technology Innovation 2016, 2016, Bali, Indonesia. pp.19-21
- Accès au bibtex
-
- titre
- Blendforces, a Dynamic Framework for Facial Animation
- auteur
- Vincent Barrielle, Nicolas Stoiber, Cédric Cagniart
- article
- Eurographics, 2016, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Charge transport in organic semiconductors based Organic Thin Film Transistors and electrical instability origins,
- auteur
- Emmanuel Jacques
- article
- International Thin Film Transistors Conference ITC, 2016, HSINCHU, Taiwan
- Accès au bibtex
-
- titre
- Development of stable perovskite solar cells processed in air
- auteur
- Noélia Devesa Canicoba, Laurent Le Brizoual, Régis Rogel
- article
- Journées Nationales du Photovoltaïque, 2016, Dourdan, France
- Accès au bibtex
-
- titre
- Propagation of Quantification Error Over Convolutional Neural Network layers: PhD Forum
- auteur
- Kamel Abdelouahab, François Berry, Maxime Pelcat
- article
- Proceedings of the 10th International Conference on Distributed Smart Camera, 2016, Paris, France. pp.226--227
- Accès au bibtex
-
- titre
- On the tradeoff between resolution and ambiguities for non-uniform linear arrays
- auteur
- F. Vincent, O. Besson, S. Abakar-Issakha, Laurent Ferro-Famil, F. Bodereau
- article
- 2016 IEEE Global Conference on Signal and Information Processing (GlobalSIP), 2016, Washington, United States. pp.1052-1055, ⟨10.1109/GlobalSIP.2016.7906002⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase Calibration of Tomographic SAR data using volumetric natural targets
- auteur
- S. Tebaldini, F. Rocca, M. Mariotti d'Alessandro, Laurent Ferro-Famil
- article
- Proceedings of EUSAR 2016: 11th European Conference on Synthetic Aperture Radar, 2016, Hamburg, Germany. pp.1-4
- Accès au bibtex
-
- titre
- Microfabricated test structures for thermal gas sensors
- auteur
- Matthieu Denoual, Mathieu Pouliquen, Didier Robbes, Olivier de Sagazan, Grand Julien, Awala Hussein, Svetlana Mintova, Inoue Shu, Agnès Mita-Tixier, Yoshio Mita
- article
- ICMTS, 2016, Yokohama, Japan
- Accès au bibtex
-
- titre
- An adaptive solution for the detection of small and slowly-moving targets in highly ambiguous radar context
- auteur
- L. Aouchiche, Laurent Ferro-Famil, C. Adnet
- article
- 2016 European Radar Conference (EuRAD), 2016, London, United Kingdom. pp.101-104
- Accès au bibtex
-
- titre
- The Oxide TFT with Solution Based Gate Insulator,
- auteur
- M.T. Hong, S.M. Shin, J.M. Lee, Emmanuel Jacques, Tayeb Mohammed-Brahim, B.S. Bae
- article
- ECS Meeting , 2016, JEJU, South Korea
- Accès au bibtex
-
- titre
- Demo GPStudio: a toolchain for FPGA-based Smart Cameras: Demo Paper
- auteur
- Sébastien Caux, Edouard Hendrickx, François Berry, Maxime Pelcat, Jocelyn Sérot
- article
- Proceedings of the 10th International Conference on Distributed Smart Camera, 2016, Unknown, Unknown Region. pp.214--215
- Accès au bibtex
-
Book sections
- titre
- Automatic Adaptive Lossy Compression of Multichannel Remote Sensing Images
- auteur
- Vladimir Lukin, Alexander Zemliachenko, Ruslan Kozhemiakin, Sergey Abramov, Mikhail Uss, Victoriya Abramov, Nikolay Ponomarenko, Benoit Vozel, Kacem Chehdi
- article
- Recent Advances in Image and Video Coding, InTech, 2016
- Accès au bibtex
-
- titre
- Microwave Non‐Destructive Testing of Non‐Dispersive and Dispersive Media Using High‐Resolution Methods
- auteur
- Cédric Le Bastard, Khaled Chahine, Yide Wang, Vincent Baltazart, Nicolas Pinel, Christophe Bourlier, Xavier Derobert
- article
- Non-Destructive Testing, INTECH open access, chapitre 9, 2016, 978-953-51-2502-0. ⟨10.5772/62410⟩
- Accès au bibtex
-
- titre
- Position-Free Vital Sign Monitoring: Measurements and Processing
- auteur
- Dany Obeid, Sarah Samad, Sawsan Sadek, Gheorghe Zaharia, Ghaïs El Zein
- article
- Advanced Biosignal Processing and Diagnostic Methods, Intech Open, pp.31-53, 2016, 978-953-51-2520-4. ⟨10.5772/63915⟩
- Accès au texte intégral et bibtex
-
- titre
- Processing of Multichannel Remote-Sensing Images with Prediction of Performance Parameters
- auteur
- Benoit Vozel, Oleksiy Rubel, Alexander Zemliachenko, Sergey Abramov, Sergey Krivenko, Ruslan Kozhemiakin, Vladimir Lukin, Kacem Chehdi
- article
- Environmental Applications of Remote Sensing, InTech, pp.447-450, 2016
- Accès au bibtex
-
- titre
- Power Line Communications: Principles, Standards and Applications from Multimedia to Smart Grid, 2nd Edition
- auteur
- Fabienne Nouvel, Lutz Lampe
- article
- Power Line Communications: Principles, Standards and Applications from Multimedia to Smart Grid, 2nd Edition, Wiley, 2016, ISBN: 978-1-118-67671-4
- Accès au bibtex
-
- titre
- Automatic Generation of S-LAM Descriptions from UML/MARTE for the DSE of Massively Parallel Embedded Systems
- auteur
- Manel Ammar, Mouna Baklouti, Maxime Pelcat, Karol Desnos, Mohamed Abid
- article
- Roger Lee. Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing 2015, 612, Springer, pp.195-211, 2016, Studies in Computational Intelligence, 978-3-319-23509-7. ⟨10.1007/978-3-319-23509-7_14⟩
- Accès au texte intégral et bibtex
-
- titre
- 1 - Synthetic Aperture Radar Imaging
- auteur
- Laurent Ferro-Famil, E. Pottier
- article
- Baghdadi; Nicolas and Zribi; Mehrez. Microwave Remote Sensing of Land Surface, Elsevier, pp.1-65, 2016, 978-1-78548-159-8. ⟨10.1016/B978-1-78548-159-8.50001-3⟩
- Accès au bibtex
-
- titre
- Antennas, Phantoms, and Body-Centric Propagation At Millimeter-Waves
- auteur
- N. Chahat, A. Tang, A. Guraliuc, M. Zhadobov, R. Sauleau, G. Valerio
- article
- Antennas, Phantoms, and Body-Centric Propagation At Millimeter-Waves, wiley, pp.205-259, 2016, 9781119082910; 9781119029465. ⟨10.1002/9781119082910.ch7⟩
- Accès au bibtex
-
- titre
- 2 - Imagerie SAR à modes de diversité cohérents : polarimétrie, interférométrie et tomographie SAR
- auteur
- Laurent Ferro-Famil, E. Pottier
- article
- Baghdadi; Nicolas and Zribi; Mehrez. Observation des surfaces continentales par télédétection micro-onde.ă ă Techniques et méthodes, ISTE-editions, pp.77 - 154, 2016, 978-1-78548-159-8
- Accès au bibtex
-
- titre
- 1 - Imagerie radar à synthèse d'ouverture
- auteur
- Laurent Ferro-Famil, E. Pottier
- article
- Baghdadi; Nicolas and Zribi; Mehrez. Observation des surfaces continentales par télédétection micro-onde.ă ă Techniques et méthodes, ISTE-editions, pp.19 - 76, 2016, 978-1-78548-159-8
- Accès au bibtex
-
- titre
- 2 - SAR Imaging using Coherent Modes of Diversity: SAR Polarimetry, Interferometry and Tomography
- auteur
- Laurent Ferro-Famil, E. Pottier
- article
- Baghdadi; Nicolas and Zribi; Mehrez. Microwave Remote Sensing of Land Surface, Elsevier, pp.67 - 147, 2016, 978-1-78548-159-8
- Accès au bibtex
-
- titre
- Design of new microstrip multiband fractal antennas: Sierpinski triangle and hexagonal structures
- auteur
- T. Benyetho, L. El Abdellaoui, A. Tajmouati, A. Tribak, Mohamed Latrach
- article
- Handbook of Research on Advanced Trends in Microwave and Communication Engineering, IGI Global, pp.1-33, 2016, 9781522507741; 1522507736; 9781522507734. ⟨10.4018/978-1-5225-0773-4.ch001⟩
- Accès au bibtex
-
- titre
- Antennes millimétriques et Applications
- auteur
- Olivier Lafond, Mohamed Himdi
- article
- Techniques de l’Ingénieur, 2016
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Signal Processing for Future Multicarrier Systems
- auteur
- Faouzi Bader
- article
- Signal and Image processing. Université Rennes 1, 2016
- Accès au texte intégral et bibtex
-
- titre
- De l'interaction onde-matière à l'image radar : contributions
- auteur
- Stéphane Méric
- article
- Electronique. Université de Rennes 1 [UR1], 2016
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Widely linear detection in MIMO communications from non circularity to circularity
- auteur
- Yuehua Ding, Yide Wang
- article
- 2016
- Accès au bibtex
-
- titre
- Développement d'un Driver Communicant pour MOSFET SiC
- auteur
- Christophe Bouguet
- article
- 2016
- Accès au bibtex
-
- titre
- Comparison between a Hybrid Digital and Analog Beamforming System and a Fully Digital Massive MIMO System with Adaptive Beamsteering Receivers in Millimeter-Wave Transmissions
- auteur
- Matthieu Crussière
- article
- 2016
- Accès au bibtex
-
- titre
- Mesures expérimentales de modulation spatiale : implémentation sous WARP
- auteur
- Yvan Kokar
- article
- 2016
- Accès au bibtex
-
- titre
- A novel RSS based parameter tracking strategy for constrained position localization
- auteur
- Jinze Du
- article
- 2016
- Accès au bibtex
-
- titre
- Smart Composite Antennas, un joyau d’innovation du Grand Ouest
- auteur
- Yaakoub Taachouche, Xavier Castel, Mohamed Himdi
- article
- 2016, Nantes Métropole Magazine, n°65, septembre-octobre 2016, pp.23
- Accès au bibtex
-
- titre
- Nouveau matériau absorbant en Hyperfréquences
- auteur
- Chloé Mejean, Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Claire Le Paven, Mathieu Badard, Philippe Pouliguen
- article
- 2016
- Accès au bibtex
-
- titre
- Synthèse et caractérisation de poudres et céramiques perovskites oxydes SLTTO
- auteur
- Florent Marlec, Claire Le Paven, Ala Sharaiha, Laurent Le Gendre, Ratiba Benzerga, François Cheviré, Franck Tessier
- article
- 2016
- Accès au bibtex
-
- titre
- Approximation error in near field source localization using bistatic MIMO
- auteur
- Parth Raj Singh, Yide Wang, Pascal Charge
- article
- 2016
- Accès au bibtex
-
- titre
- Energy Efficiency-Spectral Efficiency Trade-off in Interference-Limited Wireless Networks with Shadowing
- auteur
- Ahmad Mahbubul Alam
- article
- 2016
- Accès au bibtex
-
- titre
- La technologie CPL combinée à d’autres technologies de la communication
- auteur
- Christophe Batard
- article
- 2016
- Accès au bibtex
-
- titre
- La voiture connectée
- auteur
- Mohamed Himdi, Xavier Castel, Yakkoub Taachouche
- article
- 2016, pp.n°342
- Accès au bibtex
-
- titre
- Les vêtements communicants téléphone
- auteur
- Jean Marie Floc'H
- article
- 2016
- Accès au bibtex
-
- titre
- Modulation scaling for energy efficiency
- auteur
- Randa Jaouadi, Guillaume Andrieux, Jean-Yves Baudais, Jean-François Diouris
- article
- 2016
- Accès au bibtex
-
- titre
- Transparent technologies for antennas
- auteur
- Mohamed Himdi, Xavier Castel
- article
- 2016
- Accès au bibtex
-
- titre
- Fixed-point refinement, a guaranteed approach towards energy efficient computing
- auteur
- Olivier Sentieys, Daniel Menard, Karthick Parashar, David Novo
- article
- 2016
- Accès au bibtex
-
Books
- titre
- Cognitive Radio Oriented Wireless Networks 11th International Conference, CROWNCOM 2016, Grenoble, France, May 30 June 1, 2016, Proceedings
- auteur
- Klaus Moessner, Jacques Palicot, Dominique Noguet
- article
- SPRINGER. 2016, ⟨10.1007/978-3-319-40352-6⟩
- Accès au bibtex
-
- titre
- Antennas for ingestible capsule telemetry
- auteur
- D. Nikolayev, M. Zhadobov, R. Sauleau, P. Karban
- article
- Institution of Engineering and Technology, pp.143--186, 2016, 9781849199902; 9781849199896. ⟨10.1049/PBTE065E_ch6⟩
- Accès au bibtex
-
- titre
- Antenna/human body interactions in the 60 GHz band: State of knowledge and recent advances
- auteur
- M. Zhadobov, C. Leduc, A. Guraliuc, N. Chahat, R. Sauleau
- article
- Institution of Engineering and Technology, pp.97--142, 2016, 9781849199902; 9781849199896. ⟨10.1049/PBTE065E_ch5⟩
- Accès au bibtex
-
Patents
- titre
- Communication system in an electrical facility including batteries
- auteur
- Jérémie Jousse, Nicolas Ginot, Christophe Batard, Jean-Pierre Belliard
- article
- United States, Patent n° : Dépôt 10/10/2016 No. 15/303,219 PATENT CEAPP079US. 2016
- Accès au bibtex
-
- titre
- Matrice de Butler compacte, formateur de faisceaux bidimensionnel planaire et antenne plane comportant une telle matrice de Butler
- auteur
- Hervé Legay, Mauro Ettorre, Ronan Sauleau, Etienne Girard, Jean-Philippe Fraysse
- article
- France, Patent n° : FR3034262 A1. 2016
- Accès au bibtex
-
- titre
- Procédé de fabrication d'un micro-générateur mécano-électrique flexible et micro-générateur correspondant
- auteur
- Raynald Seveno, Benoit Guiffard, Thibault Dufay
- article
- France, N° de brevet: FR3033552. 2016, pp.(A1)16/09/2016 (BOPI 2016-37), W02016142542 (A1)15/09/2016
- Accès au bibtex
-
- titre
- Dispositif de communication à modulation temps-fréquence adaptative
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval
- article
- France, N° de brevet: Numéro de demande : 1656806 ; Numéro de soumission : 1000356937. 2016
- Accès au bibtex
-
- titre
- Dispositif à métasurface d’impédance modulée et variable pour l’émission/réception d’ondes électromagnétiques
- auteur
- Nicolas Capet, Massimiliano Casaletti, Ronan Sauleau, Mauro Ettorre, Maciej Smierzchalski
- article
- France, Patent n° : FR3030127 A1. 2016
- Accès au bibtex
-
- titre
- A method for determining by optimization a multi-core architecture
- auteur
- Romain Brillu, Philippe Millet, Sébastien Pillement, Fabrice Lemonnier
- article
- United States, Patent n° : US2016063164. 2016, pp.EXTENSION BREVET FRANCE FR3025334
- Accès au bibtex
-
- titre
- A method for determining by optimization a multi-core architecture
- auteur
- Romain Brillu, Philippe Millet, Sébastien Pillement, Fabrice Lemonnier
- article
- France, Patent n° : FR3025334. 2016, Dépôt FR20140001942 le 2/09/2014
- Accès au bibtex
-
- titre
- PROCEDE ET SYSTEME D'ELABORATION D'UNE FONCTION DE TRANSFERT RELATIVE A LA TETE ADAPTEE A UN INDIVIDU
- auteur
- Slim Ghorbal, Renaud Seguier, Xavier Bonjour
- article
- France, Patent n° : PCT N° PCT/EP2016/065839. 2016
- Accès au bibtex
-
- titre
- PROCEDE D'ELABORATION D'UN MODELE DEFORMABLE EN TROIS DIMENSIONS D'UN ELEMENT, ET SYSTEME ASSOCIE
- auteur
- Slim Ghorbal, Renaud Seguier, Xavier Bonjour
- article
- France, Patent n° : 1654765. 2016
- Accès au bibtex
-
Poster communications
- titre
- Matériaux et formes innovants pour l'atténuation en hyperfréquences
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga
- article
- Forum DGA Innovation , Nov 2016, Palaiseau, France. 2016
- Accès au bibtex
-
- titre
- New electromagnetic absorber material made of epoxy foam loaded with carbon fibers
- auteur
- Laura Pometcu, C. Mejean, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- 2016 Loughborough Antennas & Propagation Conference (LAPC2016), Nov 2016, Loughborough, United Kingdom
- Accès au bibtex
-
- titre
- UV-active TiO2 thin layers to improve food safety in food industry
- auteur
- Marion Barthomeuf, Nyedna Policarpo, Pauline Raymond, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- FOOD FACTORY 2016 ‘‘8th international conference on the food factory for the future’’, Oct 2016, LAVAL, France. pp. 1, 2016, Proceedings of FOOD FACTORY 2016
- Accès au bibtex
-
- titre
- Dielectric thin film characterization using MIM capacitor and application in antennas miniaturization
- auteur
- Viet Hung Nguyen, Ratiba Benzerga
- article
- International Conference on Advanced Technologies for Communications, ATC'16, Oct 2016, Hanoi, Vietnam
- Accès au bibtex
-
- titre
- Analyse de stabilité par abstraction discrète. Application aux réseaux de distribution
- auteur
- Marjorie Cosson, Hervé Guéguen, Didier Dumur, Cristina Stoica Maniu, Vincent Gabrion, Gilles Malarange
- article
- Workshop Vers la transition énergétique - WTE2016, Oct 2016, Palaiseau, France. 2016
- Accès au texte intégral et bibtex
-
- titre
- High frequency behaviour of potassium niobate ferroelectric thin films grown by PLD
- auteur
- Fatou Cissé, Anne Waroquet, Xavier Castel, Valérie Demange, Sophie Ollivier, Stéphanie Députier, Valérie Bouquet, Ronan Sauleau, Loïc Joanny, Maryline Guilloux-Viry
- article
- E-MRS 2016 Fall Meeting , Sep 2016, Varsovie, Poland. 1 pp, 2016, Proceedings of the E-MRS 2016 Fall Meeting “European Material Research Society”
- Accès au bibtex
-
- titre
- Anti-biofilm UV-active TiO2 thin layers to improve food safety
- auteur
- Marion Barthomeuf, Nyedna Policarpo, Perrine Raymond, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- FoodMicro 2016 “25th International ICFMH conférence”, Jul 2016, Dublin, Ireland. 1 pp, 2016
- Accès au bibtex
-
- titre
- Matériaux absorbants à base de mousse époxy et de fibres de carbone pour les chambres anéchoïques
- auteur
- C. Mejean, Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Claire Le Paven, Philippe Pouliguen, M. Badard
- article
- 18ème Colloque International et Exposition sur la Compatibilité Electromagnétique (CEM 2016), Jul 2016, Rennes, France
- Accès au bibtex
-
- titre
- Combination of natural and artificial materials for microwave absorption improvement
- auteur
- Laura Pometcu, Ratiba Benzerga, Ala Sharaiha, Philippe Pouliguen
- article
- MMA 2016 : The 9th International Conference on Microwave Materials and Their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Carbon fibers loaded epoxy foam composite for electromagnetic absorption
- auteur
- Chloé Mejean, Laura Pometcu, Ratiba Benzerga, A. Sharaiha, Claire Le Paven-Thivet, Mathieu Badard, Philippe Pouliguen
- article
- MMA 2016 : The 9th International Conference on Microwave Materials and Their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Rigid composite materials for anechoic chamber application
- auteur
- Chloé Mejean, Mathieu Badard, Ratiba Benzerga, Claire Le Paven-Thivet, Ala Sharaiha
- article
- MMA 2016 : The 9th International Conference on Microwave Materials and Their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Evaluation of recycled glass foam for the achievement of high power microwave terminations
- auteur
- Ratiba Benzerga, Ronan Lebullenger, Vincent Laur, Laurent Le Gendre, Ala Sharaiha, Patrick Queffelec
- article
- MMA 2016 : The 9th International Conference on Microwave Materials and Their Applications, Jul 2016, Seoul, South Korea
- Accès au bibtex
-
- titre
- Modeling of a communication channel on a PWM electrical network
- auteur
- Nabil Zaraneh, Anne-Sophie Descamps, Christophe Batard, Nicolas Ginot
- article
- International Symposium on Power Electronics, Electrical Drives, Automotion and Motion (SPEEDAM), Jun 2016, Anacapri - Capri Island, Italy. , PaperID SGI0081, 2016
- Accès au bibtex
-
- titre
- Implémentation matérielle d’un dispositif de vérification en ligne sur un SoPC
- auteur
- Dimitry Solet, Sébastien Pillement, Mikaël Briday, Jean-Luc Béchennec, Sébastien Faucou
- article
- Colloque National GDR SoC-SiP, Jun 2016, Nantes, France. , paper ID#68, 2016
- Accès au bibtex
-
- titre
- Energy optimization of D2D communications using relay nodes and data entropy
- auteur
- Romain Chevillon, Guillaume Andrieux, Jean-François Diouris
- article
- Colloque National GDR SoC-SiP, Jun 2016, Nantes, France. , paper ID#89, 2016
- Accès au bibtex
-
- titre
- Enhancement of VCSEL performances with a new bonding process
- auteur
- Salvatore Pes, Fethallah Taleb, Cyril Paranthoen, Christophe Levallois, Nicolas Chevalier, Olivier de Sagazan, Hervé Folliot, Mehdi Alouini
- article
- 15è Journées Nano, Micro et Optoélectronique (JNMO 2016), May 2016, Les Issambres, France.
- Accès au texte intégral et bibtex
-
- titre
- Dielectric characteristics and absorption performance of graphene composite materials at microwaves
- auteur
- Kevin Rubrice, Xavier Castel, Mohamed Himdi, Patrick Parneix
- article
- E-MRS 2016 Spring Meeting “European Material Research Society”, May 2016, LILLE, France. Proceedings of the E-MRS Spring Meeting, pp.Y 7.56, 2016
- Accès au bibtex
-
- titre
- Deposition and characterization of oxynitride SrTaO2N thin films for photocatalysis application
- auteur
- Florent Marlec, Ahmed Ziani, Claire Le Paven-Thivet, Laurent Le Gendre, Ratiba Benzerga, Kazuhiro Takanabe, Franck Tessier, François Cheviré, Ala Sharaiha
- article
- E-MRS 2016 Spring Meeting “European Material Research Society”, May 2016, Lille, France
- Accès au bibtex
-
- titre
- HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
- auteur
- Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
- article
- Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
- Accès au texte intégral et bibtex
-
- titre
- TRIBOLOGICAL BEHAVIOR of the COUPLE steel-steel: 42CrMo4-20MnCr5
- auteur
- Hamoudi Bouhabila, A. Bouchoucha, Ratiba Benzerga, Claire Le Paven, H Zaidi
- article
- 16ième Journées Internationales Francophones de Tribologie, Apr 2016, Saint-Etienne, France
- Accès au bibtex
-
- titre
- Plateforme SECNUM : Sécurité Numérique
- auteur
- Florent Bruguier, Olivier Bonnaud
- article
- JIPES: Journées nationales de l’Innovation pédagogique dans l’Enseignement supérieur, Mar 2016, Paris, France. 2016
- Accès au bibtex
-
- titre
- An ambulatory electroencephalography system for free moving horses: an innovative approach
- auteur
- Martine Hausberger, Martial Oger, Céline Rochais, Claire Pettoello, Mathilde Ménoret, Séverine Henry, Hugo Cousillas
- article
- 12th International Congress of Neuroethology (ICN), Mar 2016, Montevideo, Uruguay.
- Accès au bibtex
-
- titre
- Nouveaux matériaux composites rigides pour les chambres anéchoïques
- auteur
- Chloé Mejean, Mathieu Badard, Ratiba Benzerga, Claire Le Paven-Thivet, Ala Sharaiha
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, Calais, France. S3_2 (4p), Actes de colloque JCMM2016
- Accès au bibtex
-
- titre
- Dispositifs agiles faibles pertes à base de films de KTN dopés MgO
- auteur
- Fatou Cissé, Arnaud Le Febvrier, Xavier Castel, Ronan Sauleau, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, CALAIS, France. Actes de colloque JCMM2016, P32 (4 p.), 2016
- Accès au bibtex
-
- titre
- Amélioration des performances des absorbants pyramidaux par l’utilisation de métamatériaux
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Chloé Mejean, Philippe Pouliguen, Gwenaël Dun
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, Calais, France. P5 (4p), Actes de colloque JCMM2016
- Accès au bibtex
-
- titre
- Caractérisation diélectrique et atténuation électromagnétique de nanocomposites de graphène
- auteur
- Kevin Rubrice, Xavier Castel, Mohamed Himdi, Patrick Parneix
- article
- JCMM 2016 “14èmes Journées de Caractérisation Microondes et Matériaux”, Mar 2016, CALAIS, France. Actes de colloque JCMM2016, P7 (4 p.), 2016
- Accès au bibtex
-
- titre
- Elaboration et caractérisations de films flexibles de BTO
- auteur
- Raynald Seveno, Thibault Dufay, Benoit Guiffard
- article
- Journées annuelles du GFC, Mar 2016, Rennes, France
- Accès au bibtex
-
- titre
- Réduction des pertes globales de dispositifs hyperfréquences reconfigurables à base d’oxydes ferroélectriques en couches minces
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Sophie Ollivier, Valérie Bouquet, Valérie Demange, Maryline Guilloux-Viry
- article
- Journées Nationales du GDR OXYFUN, Mar 2016, AUTRANS, France. Actes de colloque Journées Nationales GDR OXYFUN, P10 (1 page), 2016
- Accès au bibtex
-
- titre
- Vers un système ambulatoire d'enregistrement électro-encéphalographique chez le cheval libre de ses mouvements
- auteur
- Hugo Cousillas, Martial Oger, Céline Rochais, Claire Pettoello, Séverine Henry, Martine Hausberger
- article
- 42ème Journée de la Recherche Equine, Mar 2016, Paris, France. INRA/Institut français du cheval et de l’équitation, pp.133-136, 2016, 42e Journée de la Recherche Equine
- Accès au bibtex
-
- titre
- Description of domain wall motions by the hyperbolic law
- auteur
- Caroline Borderon, Alan Brunier, Kevin Nadaud, Raphaël Renoud, Marin Alexe, Hartmut Wolfgang Gundel
- article
- Domain walls as new 2D functional materials, Feb 2016, Newport Pagnell, United Kingdom. , 2016
- Accès au bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat
- article
- HiPEAC, Jan 2016, Prague, Czech Republic. 2016
- Accès au bibtex
-
Reports
- titre
- Formes d'ondes codées en radar distribué
- auteur
- Jean-Yves Baudais, Stéphane Méric
- article
- [Rapport de recherche] IETR. 2016
- Accès au bibtex
-
- titre
- Equivalence Check of Op 't Land's and Paul's Field-to-Line Coupling Solutions
- auteur
- Sjoerd Op 'T Land
- article
- [Technical Report] ESEO-Tech. 2016
- Accès au texte intégral et bibtex
-
Theses
- titre
- Silicon thin film transistor based on PbS nano-particles : an efficient phototransistor for the detection of infrared light
- auteur
- Xiang Liu
- article
- Micro and nanotechnologies/Microelectronics. Université de Rennes1, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Identification décentralisée des systèmes de grande taille. Approches appliquées à la thermique des bâtiments
- auteur
- Safa Jedidi
- article
- Sciences de l'ingénieur [physics]. université Rennes1, 2016. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Estimation du regard avec une caméra RGB-D dans des environnements utilisateur non-contraints
- auteur
- Amine Kacete
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0012⟩
- Accès au texte intégral et bibtex
-
- titre
- Eigenvalue Based Detector in Finite and Asymptotic Multi-Antenna Cognitive Radio Systems
- auteur
- Hussein Kobeissi
- article
- Signal and Image processing. CentraleSupélec, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Eigenvalue Based Detector in Finite and Asymptotic Multi-antenna Cognitive Radio Systems
- auteur
- Hussein Kobeissi
- article
- Autre. CentraleSupélec; Université Libanaise, 2016. Français. ⟨NNT : 2016CSUP0011⟩
- Accès au texte intégral et bibtex
-
- titre
- Représentation reconstruction adaptative des hologrammes numériques
- auteur
- Kartik Viswanathan
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2016. Français. ⟨NNT : 2016ISAR0012⟩
- Accès au texte intégral et bibtex
-
- titre
- Microcapteurs chimiques basés sur des couches nanométriques de silicium polycristallin : application à la détection de plomb
- auteur
- Brice Le Borgne
- article
- Electronique. Université de Rennes, 2016. Français. ⟨NNT : 2016REN1S118⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution to the study and design of antennas for the generation of radio waves bearing orbital angular momentum
- auteur
- Wenlong Wei
- article
- Electronics. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S048⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectrum sensing techniques in cognitive wireless sensor networks
- auteur
- Shaoyang Men
- article
- Electronics. UNIVERSITE DE NANTES, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of GaN-based microwave components and application to novel high power reconfigurable antennas
- auteur
- Abdelaziz Hamdoun
- article
- Electronics. Université de Rennes; Carleton university (Ottawa), 2016. English. ⟨NNT : 2016REN1S069⟩
- Accès au texte intégral et bibtex
-
- titre
- Massive MIMO, une approche angulaire pour les futurs systèmes multi-utilisateurs aux longueurs d’onde millimétriques
- auteur
- Antoine Rozé
- article
- Electronique. INSA de Rennes, 2016. Français. ⟨NNT : 2016ISAR0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude d'une nouvelle forme d'onde multiporteuses à PAPR réduit.
- auteur
- Marwa Chafii
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0008⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced signal processing techniques for GPR by taking into account the interface roughness of a stratified medium
- auteur
- Meng Sun
- article
- Engineering Sciences [physics]. UNIVERSITE DE NANTES, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Déflecteur en polymères électro-optiques en vue de la réalisation d’un convertisseur analogique-numérique tout-optique de signaux hyperfréquences à 40 Géch/s
- auteur
- Massinissa Hadjloum
- article
- Sciences de l'ingénieur [physics]. UNIVERSITE DE NANTES, 2016. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Stabilité du réseau électrique de distribution. Analyse du point de vue automatique d'un système complexe
- auteur
- Marjorie Cosson
- article
- Autre. Université Paris Saclay (COmUE), 2016. Français. ⟨NNT : 2016SACLC065⟩
- Accès au texte intégral et bibtex
-
- titre
- Innovative materials and forms for attenuation at Hyper Frequencies
- auteur
- Laura Pometcu
- article
- Electronics. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S044⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution to the study of directive or wide-band miniature antennas with non-Foster circuits
- auteur
- Abdullah Haskou
- article
- Electronics. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S043⟩
- Accès au texte intégral et bibtex
-
- titre
- Digital surface model generation over urban areas using high resolution satellite SAR imagery : tomographic techniques and their application to 3-Dchange monitoring
- auteur
- Martina Porfiri
- article
- Signal and Image processing. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S035⟩
- Accès au texte intégral et bibtex
-
- titre
- Research on virtualisation technlogy for real-time reconfigurable systems
- auteur
- Tian Xia
- article
- Electronics. INSA de Rennes, 2016. English. ⟨NNT : 2016ISAR0009⟩
- Accès au texte intégral et bibtex
-
- titre
- Allocation dynamique de bande passante pour l’interconnexion RF d’un réseau sur puce
- auteur
- Eren Unlu
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0006⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Bandwidth Allocation for an OFDMA based RF Network-on-Chip
- auteur
- Eren Unlu
- article
- Networking and Internet Architecture [cs.NI]. CentraleSupélec, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution aux techniques dites d'ajout de signal pour la Réduction du Facteur de Crête des signaux OFDM.
- auteur
- Mamadou Lamarana Diallo
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0005⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation énergétique de processus de traitement du signal et ses applications au décodage vidéo
- auteur
- Erwan Nogues
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes, 2016. Français. ⟨NNT : 2016ISAR0004⟩
- Accès au texte intégral et bibtex
-
- titre
- Clonage réaliste de visage.
- auteur
- Jérôme Manceau
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0004⟩
- Accès au texte intégral et bibtex
-
- titre
- System-Level Hardwa Synthesis of Dataflow Programs with HEVC as Study Use Case
- auteur
- Mariem Abid
- article
- Signal and Image Processing. INSA de Rennes; École nationale d'ingénieurs de Sfax (Tunisie), 2016. English. ⟨NNT : 2016ISAR0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Antennes miniatures directives actives
- auteur
- Lotfi Batel
- article
- Electronique. Université de Rennes, 2016. Français. ⟨NNT : 2016REN1S014⟩
- Accès au texte intégral et bibtex
-
- titre
- Fault-mitigation strategies for reliable FPGA architecture
- auteur
- Chagun Basha Basheer Ahmed
- article
- Signal and Image processing. Université Rennes 1, 2016. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Commande prédictive non-linéaire : application à la production d'énergie
- auteur
- Manon Fouquet
- article
- Mathématiques [math]. CentraleSupélec, 2016. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Commande prédictive non-linéaire. Application à la production d'énergie.
- auteur
- Manon Fouquet
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0003⟩
- Accès au texte intégral et bibtex
-
- titre
- Développement d’antennes de communication reconfigurables en bande C pour munitions intelligentes
- auteur
- Vincent Jaeck
- article
- Electronique. Université de Rennes, 2016. Français. ⟨NNT : 2016REN1S104⟩
- Accès au texte intégral et bibtex
-
- titre
- Equation parabolique hybridée à l'optique physique pour le calcul de la propagation et de la diffusion dans un environnement maritime
- auteur
- Dusan Cvetkovic
- article
- Electromagnétisme. UNIVERSITE DE NANTES, 2016. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Gestion hiérarchique de la reconfiguration pour les équipements de radio intelligente fortement hétérogènes
- auteur
- Xiguang Wu
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0002⟩
- Accès au texte intégral et bibtex
-
- titre
- Méthodes statistiques pour le calcul d’interférences électromagnétiques extrêmes au sein de systèmes complexes
- auteur
- Mourad Larbi
- article
- Electronique. INSA de Rennes, 2016. Français. ⟨NNT : 2016ISAR0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Commande prédictive hybride et apprentissage pour la synthèse de contrôleurs logiques dans un bâtiment.
- auteur
- Duc Minh Khang Le
- article
- Autre. CentraleSupélec, 2016. Français. ⟨NNT : 2016CSUP0001⟩
- Accès au texte intégral et bibtex
-
2015
Journal articles
- titre
- Application of filtering efficiency prediction to hyperspectral data pre-processing
- auteur
- V.V. Lukin, S. S. Krivenko, S.K. Abramov, M. Zriakhov, M.L Uss, Benoit Vozel, Kacem Chehdi
- article
- Ukrainian Journal Of Remote Sensing, 2015
- Accès au bibtex
-
- titre
- A laterally coupled UV210 polymer racetrack micro-resonator for thermal tunability and glucose sensing capability
- auteur
- R Castro-Beltrán, Nolwenn Huby, V. Vié, H Lhermite, Lionel Camberlein, Etienne Gaviot, Bruno Bêche
- article
- Advanced Device Materials, 2015, 1 (3), pp.80-87. ⟨10.1080/20550308.2015.1133100⟩
- Accès au texte intégral et bibtex
-
- titre
- A RSU-coordinated Synchronous Multi-channel MAC Scheme for Vehicular Ad Hoc Networks
- auteur
- Xiaohuan Li, Bin-Jie Hu, Hongbing Chen, Guillaume Andrieux, Yide Wang
- article
- IEEE Access, 2015, 3, pp.2794-2802. ⟨10.1109/ACCESS.2015.2509458⟩
- Accès au bibtex
-
- titre
- A Comparative Study between Via-Hole and Via-Free Grounded Coplanar Waveguide to Microstrip Transitions on Thin Polymer Substrate
- auteur
- Mohammed El Gibari, Hong Wu Li
- article
- International Journal of Antennas and Propagation, 2015, 2015, Article ID 481768, 7 p. ⟨10.1155/2015/481768⟩
- Accès au bibtex
-
- titre
- Design and characterization of a foam-based Mikaelian lens antennas in millimeter waves
- auteur
- Jonathan Bor, Benjamin Fuchs, Olivier Lafond, Mohamed Himdi
- article
- International Journal of Microwave and Wireless Technologies, 2015, 7 (06), pp.769--773. ⟨10.1017/S1759078714001019⟩
- Accès au texte intégral et bibtex
-
- titre
- Battery management through PLC-CAN communication
- auteur
- Nicolas Ginot, Christophe Batard, Jérémie Jousse, Elisabeth Lemaire
- article
- EPE Journal - European Power Electronics and Drives, 2015, 25 (4), pp.34-40. ⟨10.1080/0939-8368.2015.11882291⟩
- Accès au bibtex
-
- titre
- Opposite sense ground rotations of a pair of Cavendish balances in earthquakes
- auteur
- Alain Bourdillon, Guy Ropars, Stéphane Gaffet, Albert Le Floch
- article
- Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences, 2015, 471 (2184), pp.20140997. ⟨10.1098/rspa.2014.0997⟩
- Accès au bibtex
-
- titre
- Large-scale Spatial Distribution Identification of Base Stations in Cellular Networks
- auteur
- Yifan Zhou, Zhao Zhifeng, Yves Louët, Qianlan Ying, Rongpeng Li, Honggang Zhang
- article
- IEEE Access, 2015, 3, pp.2987-2999. ⟨10.1109/ACCESS.2015.2508789⟩
- Accès au bibtex
-
- titre
- An improved Fragile Watermarking Algorithm for JPEG Images
- auteur
- Daniel Caragata, Safwan El Assad, Mauricio Luduena
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2015, 69 (12), pp.1783-1794. ⟨10.1016/j.aeue.2015.09.005⟩
- Accès au bibtex
-
- titre
- Electrical properties of phosphorus in situ doped Au-catalyst vapor liquid solid silicon nanowires
- auteur
- Laurent Pichon, Rogel R., Emmanuel Jacques
- article
- Journal of Applied Physics, 2015, 118 (18), pp.185701. ⟨10.1063/1.4935278⟩
- Accès au bibtex
-
- titre
- Circularly polarized transmitarray with sequential rotation in Ka-band
- auteur
- L. Di Palma, A. Clemente, L. Dussopt, R. Sauleau, P. Potier, P Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (11), pp.5118--5124. ⟨10.1109/TAP.2015.2474149⟩
- Accès au bibtex
-
- titre
- Investigation on Cellular-Automata Irregular-Fractal Ultra Wideband Slot-Antennas
- auteur
- Lotfi Laadhar, Mohamed Zarouan, Donia Oueslati, Jean Marie Floc'H, Hatem Rmili
- article
- Microwave and Optical Technology Letters, 2015
- Accès au bibtex
-
- titre
- 3-D scattering from a PEC target buried beneath a dielectric rough surface: an efficient PILE-ACA algorithm for solving a hybrid KA-EFIE formulation
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (11), pp.5003-5014. ⟨10.1109/TAP.2015.2480123⟩
- Accès au bibtex
-
- titre
- Unsupervised Joint Salient Region Detection and Object Segmentation
- auteur
- Wenbin Zou, Zhi Liu, Kidiyo Kpalma, Joseph Ronsin, Yong Zhao, Nikos Komodakis
- article
- IEEE Transactions on Image Processing, 2015, 24 (11), ⟨10.1109/TIP.2015.2456497⟩
- Accès au bibtex
-
- titre
- Erratum to: A simplified hard output sphere decoder for large MIMO systems with the use of efficient search center and reduced domain neighborhood study
- auteur
- Youssef Nasser, Sébastien Aubert, Fabienne Nouvel
- article
- EURASIP Journal on Wireless Communications and Networking, 2015, 2015 (1), pp.251. ⟨10.1186/s13638-015-0478-z⟩
- Accès au bibtex
-
- titre
- 1.9-THz Multiflare Angle Horn Optimization for Space Instruments
- auteur
- N. Chahat, T.J. Reck, C. Jung-Kubiak, T. Nguyen, R. Sauleau, G Chattopadhyay
- article
- IEEE Transactions on Terahertz Science and Technology, 2015, 5 (6), pp.914--921. ⟨10.1109/TTHZ.2015.2487781⟩
- Accès au bibtex
-
- titre
- Theoretical approach for the design of a new wideband Ku-band printed antenna
- auteur
- Amal Harrabi, Tchanguiz Razban, Yann Mahe, Lotfi Osman, Ali Gharsallah
- article
- Applied Computational Electromagnetics Society Journal, 2015, 30 (11), 1200-1208 (paper#20141037)
- Accès au bibtex
-
- titre
- Multibeam Pillbox Antenna With Low Sidelobe Level and High-Beam Crossover in SIW Technology Using the Split Aperture Decoupling Method
- auteur
- K. Tekkouk, M. Ettorre, E. Gandini, R Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (11), pp.5209--5215. ⟨10.1109/TAP.2015.2477520⟩
- Accès au bibtex
-
- titre
- A simplified hard output sphere decoder for large MIMO systems with the use of efficient search center and reduced domain neighborhood study
- auteur
- Youssef Nasser, Sébastien Aubert, Fabienne Nouvel, Karim Kabalam, Hassan Artail
- article
- EURASIP Journal on Wireless Communications and Networking, 2015, 2015 (1), pp.227. ⟨10.1186/s13638-015-0442-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Epoxy based ink as versatile material for inkjet-printed devices
- auteur
- Malo Robin, Wenlin Kuai, Maria Amela-Cortes, Stéphane Cordier, Yann Molard, Tayeb Mohammed-Brahim, Emmanuel Jacques, Maxime Harnois
- article
- ACS Applied Materials & Interfaces, 2015, 7 (39), pp.21975-21984. ⟨10.1021/acsami.5b06678⟩
- Accès au bibtex
-
- titre
- Measuring Superdirective Electrically Small Antenna Arrays Mounted on PCBs
- auteur
- Abdullah Haskou, Sylvain Collardey, Ala Sharaiha
- article
- Microwave and Optical Technology Letters, 2015, 57 (10), pp.2269-2274. ⟨10.1002/mop.29363⟩
- Accès au texte intégral et bibtex
-
- titre
- Basic Analysis of Two-Coils Structure Based on Magnetically-Coupled Resonant Technology for SWIPT Application
- auteur
- Biyun Ma, Boheng Chen, Sumei Li, Yide Wang, Gang Wei
- article
- Chinese Journal of Electronics, 2015, 24 (4), pp.852-856. ⟨10.1049/cje.2015.10.031⟩
- Accès au bibtex
-
- titre
- Patches Detection and Fusion for 3D Face Cloning
- auteur
- Jérôme Manceau, Catherine Soladie, Renaud Séguier
- article
- Advances in Image and Video Processing, 2015, 3 (5), pp.17-31. ⟨10.14738/aivp.35.814⟩
- Accès au bibtex
-
- titre
- Equilateral Triangular Dielectric Resonator Nantenna at Optical Frequencies for Energy Harvesting
- auteur
- Waleed Tariq Sethi, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- International Journal of Antennas and Propagation, 2015, 2015, pp.e589459. ⟨10.1155/2015/589459⟩
- Accès au bibtex
-
- titre
- BER Analysis for Equal Gain Transmission in Downlink Multiuser MIMO Systems
- auteur
- Hua Fu, Matthieu Crussière, Maryline Hélard
- article
- IEEE Wireless Communications, 2015, 4, 5 (1)
- Accès au bibtex
-
- titre
- A hybrid predictive control approach for the management of an energy production–consumption system applied to a TRNSYS solar absorption cooling system for thermal comfort in buildings
- auteur
- Eunice Herrera, Romain Bourdais, Hervé Guéguen
- article
- Energy and Buildings, 2015, 104, pp.47-56. ⟨10.1016/j.enbuild.2015.06.076⟩
- Accès au bibtex
-
- titre
- An ultra-wideband dielectric material characterization method using grounded coplanar waveguide and genetic algorithm optimization
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Applied Physics Letters, 2015, 107 (107), pp.142908. ⟨10.1063/1.4933019⟩
- Accès au bibtex
-
- titre
- Mean square error analysis and linear minimum mean square error application for preamble-based channel estimation in orthogonal frequency division multiplexing/offset quadrature amplitude modulation systems
- auteur
- Vincent Savaux, Carlos Faouzi Bader
- article
- IET Communications, 2015, 9 (14), pp.1763 - 1773. ⟨10.1049/iet-com.2014.1181⟩
- Accès au bibtex
-
- titre
- A Joint MMSE Channel and Noise Variance Estimation for OFDM/OQAM Modulation
- auteur
- Vincent Savaux, Faouzi Bader, Yves Louët
- article
- IEEE Transactions on Communications, 2015, 2015 (99), pp.1-12. ⟨10.1109/TCOMM.2015.2476798⟩
- Accès au bibtex
-
- titre
- TerraSAR-X dual-pol time-series for mapping of wetland vegetation
- auteur
- Julie Betbeder, Sébastien Rapinel, Samuel Corgne, E. Pottier, Laurence Hubert-Moy
- article
- ISPRS Journal of Photogrammetry and Remote Sensing, 2015, 107, pp.90-98. ⟨10.1016/j.isprsjprs.2015.05.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Unsupervised Nearest Neighbors Clustering with Application to Hyperspectral Images
- auteur
- Claude Cariou, Kacem Chehdi
- article
- IEEE Journal of Selected Topics in Signal Processing, 2015, 9 (6), pp.1105 - 1116. ⟨10.1109/JSTSP.2015.2413371⟩
- Accès au texte intégral et bibtex
-
- titre
- SIW Pillbox Antenna for Monopulse Radar Applications
- auteur
- Karim Tekkouk, Mauro Ettorre, Laurent Le Coq, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (9), pp.3918-3927. ⟨10.1109/TAP.2015.2446996⟩
- Accès au bibtex
-
- titre
- Gaussian Ring Basis Functions for the Analysis of Modulated Metasurface Antennas
- auteur
- David González-Ovejero, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (9), pp.3982-3993. ⟨10.1109/TAP.2015.2442585⟩
- Accès au bibtex
-
- titre
- Comprehensive study of ultra broadband GCPW-MS transition on thin films
- auteur
- Mohammed El Gibari, Dominique Averty, Mathieu Halbwax, Jean-Pierre Vilcot, Hong Wu Li
- article
- Microwave and Optical Technology Letters, 2015, 57 (9), pp.2041-2045. ⟨10.1002/mop.29273⟩
- Accès au bibtex
-
- titre
- Reconfigurable Filter Bank With Complete Control Over Subband Bandwidths for Multistandard Wireless Communication Receivers
- auteur
- Sumit Jagdish Darak, Jacques Palicot, Honggang Zhang, Vinod A. Prasad, Christophe Moy
- article
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2015, 23 (9), pp.1772-1782. ⟨10.1109/TVLSI.2014.2347899⟩
- Accès au bibtex
-
- titre
- Distributed Interference-Aware Cooperative MAC based on Stackelberg Pricing Game
- auteur
- Haixia Cui, Yide Wang, Guan Quansheng, Zhang Han
- article
- IEEE Transactions on Vehicular Technology, 2015, 64 (9), pp.4124-4134. ⟨10.1109/TVT.2014.2364734⟩
- Accès au bibtex
-
- titre
- ENVIRONMENT OF THE SUBMILLIMETER-BRIGHT MASSIVE STARBURST HFLS3 AT z similar to 6.34
- auteur
- N. Laporte, I. Pérez-Fournon, J. A. Calanog, A. Cooray, J. L. Wardlow, J. Bock, C. Bridge, D. Burgarella, R. S. Bussmann, A. Cabrera-Lavers, C. M. Casey, D. L. Clements, A. Conley, H. Dannerbauer, D. Farrah, H. Fu, R. Gavazzi, E. A. Gonzalez-Solares, R. J. Ivison, B. Lo Faro, B. Ma, G. Magdis, R. Marques-Chaves, P. Martínez-Navajas, S. J. Oliver, W. A. Osage, D. Riechers, D. Rigopoulou, D. Scott, A. Streblyanska, J. D. Vieira
- article
- The Astrophysical Journal, 2015, 810 (2), ⟨10.1088/0004-637X/810/2/130⟩
- Accès au bibtex
-
- titre
- Software Radio, a Catalyst for Wireless Innovation
- auteur
- Christophe Moy, Jacques Palicot
- article
- IEEE Communications Magazine, 2015, 53 (9), pp.24-30. ⟨10.1109/MCOM.2015.7263342⟩
- Accès au bibtex
-
- titre
- Analysis of the topological charge of vortex beams using a hole wheel
- auteur
- Olivier Emile, Janine Emile, Bruno Viaris de Lesegno, Laurence Pruvost, Christian Brousseau
- article
- EPL - Europhysics Letters, 2015, EPL, 111 (3), pp.34001. ⟨10.1209/0295-5075/111/34001⟩
- Accès au texte intégral et bibtex
-
- titre
- Temperature stable BaSrTiO3 thin films suitable for microwave applications
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Gillard, Erwan Fourn, Raphaël Renoud, Hartmut W. Gundel
- article
- Thin Solid Films, 2015, 591, pp.90-96. ⟨10.1016/j.tsf.2015.08.019⟩
- Accès au texte intégral et bibtex
-
- titre
- Optically transparent frequency-agile antenna for X-band applications
- auteur
- Alexis Martin, Xavier Castel, Olivier Lafond, Mohamed Himdi
- article
- Electronics Letters, 2015, 51 (16), pp.1231-1233. ⟨10.1049/el.2015.1203⟩
- Accès au bibtex
-
- titre
- Interference Alignment with Frequency-Clustering for Efficient Resource Allocation in Cognitive Radio Networks
- auteur
- Mohammed El-Absi, Musbah Shaat, Faouzi Bader, Thomas Kaiser
- article
- IEEE Transactions on Wireless Communications, 2015, 2015 (99), pp.1-26. ⟨10.1109/TWC.2015.2464371⟩
- Accès au bibtex
-
- titre
- Feasibility study of à PLC system for avionic safety-critical systems
- auteur
- Thomas Larzhaoui, Fabienne Nouvel, Jean-Yves Baudais
- article
- International Journal On Advances in Networks and Services, 2015, 8 (1-2), pp.1-8
- Accès au bibtex
-
- titre
- Region-based image retrieval using shape-adaptive DCT
- auteur
- Amina Belalia, Kamel Belloulata, Kidiyo Kpalma
- article
- International Journal of Multimedia Information Retrieval, 2015, 4 (4), pp.261-276. ⟨10.1007/s13735-015-0084-1⟩
- Accès au bibtex
-
- titre
- Reciprocally Opportunistic Spectrum Access
- auteur
- Xianfu Chen, Honggang Zhang, Marko Höyhtyä, Mika Lasanen, Jacques Palicot
- article
- Transactions on emerging telecommunications technologies, 2015, 26 (8), pp.1073-1085. ⟨10.1002/ett.2810⟩
- Accès au bibtex
-
- titre
- Compressed Sensing Performance of Random Bernoulli Matrices with High Compression Ratio
- auteur
- Weizhi Lu, Weiyu Li, Kidiyo Kpalma, Joseph Ronsin
- article
- IEEE Signal Processing Letters, 2015, 22 (8), pp.1074 - 1078. ⟨10.1109/LSP.2014.2385813⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure based optical modeling of ZnO- porous silicon permeated nanocomposites
- auteur
- G Gallach, L Le Brizoual, Gaël Gautier, M D Ynsa, V Torres Costa, G Ceccone, Jean-Pierre Landesman, M Manso Silván
- article
- Journal of Physics D: Applied Physics, 2015, 48 (29), ⟨10.1088/0022-3727/48/29/295102⟩
- Accès au bibtex
-
- titre
- Generalization of PILE method to the EM scattering from stratified subsurface with rough interlayers: Application to the detection of debondings within pavement structure
- auteur
- Christophe Bourlier, Cédric Le Bastard, Vincent Baltazart
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2015, 53 (7), 4104 - 4115 (paper TGRS-2014-00804.R1). ⟨10.1109/TGRS.2015.2390677⟩
- Accès au bibtex
-
- titre
- Microfluidically Tunable Microstrip Filters
- auteur
- Daouda Lamine Diedhiou, Ronan Sauleau, Artem V. Boriskin
- article
- IEEE Transactions on Microwave Theory and Techniques, 2015, 63 (7), pp.2245--2252. ⟨10.1109/TMTT.2015.2435704⟩
- Accès au texte intégral et bibtex
-
- titre
- A CRITICAL ASSESSMENT OF S℡LAR MASS MEASUREMENT METHODS
- auteur
- Bahram Mobasher, Tomas Dahlen, Henry C. Ferguson, Viviana Acquaviva, Guillermo Barro, Steven L. Finkelstein, Adriano Fontana, Ruth Gruetzbauch, Seth Johnson, Yu Lu, Casey J. Papovich, Janine Pforr, Mara Salvato, Rachel S. Somerville, Tommy Wiklind, Stijn Wuyts, Matthew L. N. Ashby, Eric Bell, Christopher J. Conselice, Mark E. Dickinson, Sandra M. Faber, Giovanni Fazio, Kristian Finlator, Audrey Galametz, Eric Gawiser, Mauro Giavalisco, Andrea Grazian, Norman A. Grogin, Yicheng Guo, Nimish Hathi, Dale Kocevski, Anton M. Koekemoer, David C. Koo, Jeffrey A. Newman, Naveen Reddy, Paola Santini, Risa H. Wechsler
- article
- The Astrophysical Journal, 2015, 808 (1), ⟨10.1088/0004-637X/808/1/101⟩
- Accès au bibtex
-
- titre
- Miniaturized and reconfigurable notch antenna based on a BST ferroelectric thin film
- auteur
- Hung Viet Nguyen, Ratiba Benzerga, Caroline Borderon, Christophe Delaveaud, Ala Sharaiha, Raphael Renoud, Claire Le Paven, Sabrina Pavy, Kevin Nadaud, Hartmut W. Gundel
- article
- Materials Research Bulletin, 2015, 67, pp.255-260. ⟨10.1016/j.materresbull.2015.02.034⟩
- Accès au texte intégral et bibtex
-
- titre
- A review on the coupling of cooling, desalination and solar photovoltaic systems
- auteur
- Paul Byrne, Laurence Fournaison, Anthony Delahaye, Yacine Aït Ouméziane, Laurent Serres, Patrick Loulergue, Anthony Szymczyk, Daniel Mugnier, Jean-Luc Malaval, Romain Bourdais, Hervé Guéguen, Ousmane Sow, Jamel Orfi, Thierry Maré
- article
- Renewable and Sustainable Energy Reviews, 2015, 47 (juillet), pp.703-717. ⟨10.1016/j.rser.2015.03.083⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-angular ground-based SAR system for soil surface roughness characterization
- auteur
- Hongquan Wang, S Méric, S Allain, E. Pottier
- article
- Electronics Letters, 2015, 51 (15), pp.1197-1199. ⟨10.1049/el.2015.1619⟩
- Accès au texte intégral et bibtex
-
- titre
- Memory Analysis and Optimized Allocation of Dataflow Applications on Shared-Memory MPSoCs
- auteur
- Karol Desnos, Maxime Pelcat, Jean-François Nezan, Slaheddine Aridhi
- article
- Journal of Signal Processing Systems, 2015, 80 (1), pp.19-37. ⟨10.1007/s11265-014-0952-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Waste-glass recycling: A step toward microwave applications
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, Laurent Le Gendre, Sébastien Genty, Ala Sharaiha, Patrick Queffelec
- article
- Materials Research Bulletin, 2015, 67, pp.261-265. ⟨10.1016/j.materresbull.2014.07.037⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedded Multi-Core Systems Dedicated to Dynamic Dataflow Programs
- auteur
- Hervé Yviquel, Alexandre Sanchez, Pekka Jääskeläinen, Jarmo Takala, Mickaël Raulet, Emmanuel Casseau
- article
- Journal of Signal Processing Systems, 2015, 80 (1), pp.121-136. ⟨10.1007/s11265-014-0953-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenna Physical Poles Extracted From Measured Backscattered Fields
- auteur
- Francois Sarrazin, Philippe Pouliguen, Ala Sharaiha, Janic Chauveau, Patrick Potier
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (9), pp.3963-3972. ⟨10.1109/TAP.2015.2448760⟩
- Accès au texte intégral et bibtex
-
- titre
- Donor/Acceptor Dihydroindeno[1,2-a]fluorene and Dihydroindeno[2,1-b]fluorene: Towards New Families of Organic Semiconductors
- auteur
- Maxime Romain, Olivier Jeannin, Joëlle Rault-Berthelot, Cyril Poriel, Denis Tondelier, Bernard Geffroy, Emmanuel Jacques
- article
- Chemistry , 2015, 21 (26), pp.9426-9439. ⟨10.1002/chem.201500336⟩
- Accès au bibtex
-
- titre
- Capacitively Loaded Loop-Based Antennas with Reconfigurable Radiation Patterns
- auteur
- Saber Dakhli, Hatem Rmili, Jean Marie Floc'H, Muntasir Sheikh, Kouroch Mahdjoubi, Fethi Choubani, Richard Ziolkowski
- article
- International Journal of Antennas and Propagation, 2015, MIMO Over-The-Air Research, Development, and Testing special issue, 2015, pp.523198. ⟨10.1155/2015/523198⟩
- Accès au bibtex
-
- titre
- Digital PreDistortion method combining Memory Polynomial and Feed-Forward Neural Network
- auteur
- Xiaowen Feng, Bruno Feuvrie, Anne-Sophie Descamps, Yide Wang
- article
- Electronics Letters, 2015, 51 (12), pp.943-945. ⟨10.1049/el.2015.0276⟩
- Accès au bibtex
-
- titre
- Fabrication and optical characterization of pedestal micro-structures on DUV210 polymer: waveguides structures towards micro-resonators
- auteur
- Marion Specht, Nolwenn Huby, H Lhermite, R Castro-Beltran, Goulc'Hen Loas, Bruno Bêche
- article
- European Physical Journal: Applied Physics, 2015, 71 (1), pp.10501.1-10501-6. ⟨10.1051/epjap/2015150207⟩
- Accès au texte intégral et bibtex
-
- titre
- Time delay and interface roughness estimation by GPR for pavement survey
- auteur
- Meng Sun, Nicolas Pinel, Cédric Le Bastard, Vincent Baltazart, Amine Ihamouten, Yide Wang
- article
- Near Surface Geophysics, 2015, 13 (3), pp.279 - 287. ⟨10.3997/1873-0604.2015003⟩
- Accès au bibtex
-
- titre
- An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- Revue Méditerranéenne des Télécommunications=Mediterranean Telecommunication Journal, 2015, 5 (2), pp.109-115
- Accès au bibtex
-
- titre
- Topography Retrieval From Single-Pass POLSAR Data Based on the Polarization-Dependent Intensity Ratio
- auteur
- Yang Li, Wen Hong, E. Pottier
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2015, 53 (6), pp.3160-3177. ⟨10.1109/TGRS.2014.2369481⟩
- Accès au bibtex
-
- titre
- Impact of Antennas and Correlated Propagation Channel on BD Capacity Gain for 802.11ac Multi-User MIMO in Home Networks
- auteur
- Khouloud Issiali, Valéry Guillet, Ghais El Zein, Gheorghe I. Zaharia
- article
- Revue Méditerranéenne des Télécommunications=Mediterranean Telecommunication Journal, 2015, 5 (2), pp.59-65
- Accès au texte intégral et bibtex
-
- titre
- FFT windows offset correction for OFDM receiver
- auteur
- Thomas Larhzaoui, Fabienne Nouvel, Jean-Yves Baudais
- article
- Revue Méditerranéenne des Télécommunications=Mediterranean Telecommunication Journal, 2015, 5 (2)
- Accès au bibtex
-
- titre
- Design of a Wideband Multiplexer for Direct Power Injection on Non-DC Functional Signals
- auteur
- Mohamed Amellal, Sjoerd Op 'T Land, Richard Perdriau, Mohamed Ramdani, Ali Ahaitouf, M'Hamed Drissi
- article
- IEEE Transactions on Electromagnetic Compatibility, 2015, 57 (3) (3), pp.603 - 606. ⟨10.1109/TEMC.2015.2405089⟩
- Accès au bibtex
-
- titre
- Microstrip Diplexer Using Stepped Impedance Resonators
- auteur
- Abdessamed Chinig, Jamal Zbitou, Ahmed Errkik, Abdelali Tajmouati, Larbi El Abdellaoui, Mohamed Latrach, Tribak Abdelwahed
- article
- Wireless Personal Communications, 2015, 82 (3), pp.100-111. ⟨10.1007/s11277-015-2718-2⟩
- Accès au bibtex
-
- titre
- Active Impedance of Infinite Parallel-fed Continuous Transverse Stub Arrays
- auteur
- Francesco Foglia Manzillo, Mauro Ettorre, Massimiliano Casaletti, Nicolas Capet, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (7), pp.3291 - 3297. ⟨10.1109/TAP.2015.2427874⟩
- Accès au bibtex
-
- titre
- Experimental validation of Bessel beam generation using an inward Hankel aperture distribution
- auteur
- Mauro Ettorre, Santi Concetto Pavone, Massimiliano Casaletti, Matteo Albani
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (6), pp.2539-2544. ⟨10.1109/TAP.2015.2419261⟩
- Accès au texte intégral et bibtex
-
- titre
- Slotted waveguide antenna with a near-field focused beam in one plane
- auteur
- Sébastien Clauzier, Stéphane Avrillon, Laurent Le Coq, Mohamed Himdi, Franck Colombel, Erwan Rochefort
- article
- IET Microwaves Antennas and Propagation, 2015, 9 (7), pp.634-639. ⟨10.1049/iet-map.2014.0479⟩
- Accès au texte intégral et bibtex
-
- titre
- A CPW-Fed Wide Band Bell-Form Antenna for Biotelemetry Application
- auteur
- Mohamed Salah Karoui, Ghariani Hamadi, Mounir Samet, Mohamed Ramdani
- article
- Wulfenia Journal, 2015, 22, pp.83-89
- Accès au bibtex
-
- titre
- Towards the Next Generation Video Broadcasting
- auteur
- Ming Liu, Jean-François Hélard, Maryline Hélard, Matthieu Crussière
- article
- Wireless Personal Communications, 2015, 84 (4), pp.2635-2649. ⟨10.1007/s11277-015-2757-8⟩
- Accès au texte intégral et bibtex
-
- titre
- LINEARLY SUPPORTING FEATURE EXTRACTION FOR AUTOMATED ESTIMATION OF S℡LAR ATMOSPHERIC PARAMETERS
- auteur
- Xiangru Li, Yu Lu, Georges Comte, Ali Luo, Yongheng Zhao, Yongjun Wang
- article
- The Astrophysical Journal Supplement, 2015, 218 (1), ⟨10.1088/0067-0049/218/1/3⟩
- Accès au bibtex
-
- titre
- Study of wet chemical etching of BaSrTiO3 ferroelectric thin films for intelligent antenna application
- auteur
- S. Pavy, C. Borderon, S. Baron, R. Renoud, H. Gundel
- article
- Journal of Sol-Gel Science and Technology, 2015, 74 (2), pp.507-512. ⟨10.1007/s10971-015-3626-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Universal structure of transmission eigenchannels inside opaque media
- auteur
- Matthieu Davy, Zhou Shi, Jongchul Park, Chushun Tian, Azriel Z. Genack
- article
- Nature Communications, 2015, 6 (1), pp.6893. ⟨10.1038/ncomms7893⟩
- Accès au texte intégral et bibtex
-
- titre
- Signal reconstruction for near-field source localization
- auteur
- Jianzhong Li, Yide Wang, Gang Wei
- article
- IET Signal Processing, 2015, 9 (3), 201-205 (ID paper #SPR-2014-0112.R1). ⟨10.1049/iet-spr.2014.0112⟩
- Accès au bibtex
-
- titre
- Probability of EMC Failure and Sensitivity Analysis With Regard to Uncertain Variables by Reliability Methods
- auteur
- Mourad Larbi, Philippe Besnier, Bernard Pecqueux
- article
- IEEE Transactions on Electromagnetic Compatibility, 2015, 57 (2), pp.274-282. ⟨10.1109/TEMC.2014.2378912⟩
- Accès au texte intégral et bibtex
-
- titre
- Modulated Metasurface Antennas for Space: Synthesis, Analysis and Realizations
- auteur
- Gabriele Minatti, Marco Faenzi, Enrica Martini, Francesco Caminita, Paolo de Vita, David Gonzalez-Ovejero, Marco Sabbadini, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (4), pp.1288-1300. ⟨10.1109/TAP.2014.2377718⟩
- Accès au bibtex
-
- titre
- Surface Roughness and Microwave Surface Scattering of High-Resolution Imaging Radar
- auteur
- Sang-Eun Park, Laurent Ferro-Famil, Sophie Allain, Eric Pottier
- article
- IEEE Geoscience and Remote Sensing Letters, 2015, 12 (4), pp.756 - 760. ⟨10.1109/LGRS.2014.2361144⟩
- Accès au bibtex
-
- titre
- VIP 2: experimental tests of the pauli exclusion principle for electrons
- auteur
- A. Pichler, S. Bartalucci, S. Bertolucci, C. Berucci, M. Bragadireanu, M. Cargnelli, A. Clozza, C. Curceanu, L. de Paolis, Sergio Di Matteo, A. D’uffizi, J.-P. Egger, C. Guaraldo, M. Iliescu, T. Ishiwatari, M. Laubenstein, J. Marton, E. Milotti, D. Pietreanu, K. Piscicchia, T. Ponta, E. Sbardella, A. Scordo, H. Shi, D. Sirghi, F. Sirghi, L. Sperandio, O. Vazquez-Doce, E. Widmann, J Zmeskal
- article
- Hyperfine Interactions, 2015, 233 (1-3), pp.121--126. ⟨10.1007/s10751-015-1180-6⟩
- Accès au bibtex
-
- titre
- Flexible VHF/UHF Vivaldi Antenna for Broadband Applications
- auteur
- Anthony Presse, Jean Marie Floc'H, Anne-Claude Tarot
- article
- Progress In Electromagnetics Research Letters, 2015, 52, pp.37-43. ⟨10.2528/pierl14112704⟩
- Accès au bibtex
-
- titre
- Iterative Receivers Combining MIMO Detection with Turbo Decoding: Performance-Complexity Trade-offs
- auteur
- Rida El Chall, Fabienne Nouvel, Maryline Hélard, Ming Liu
- article
- EURASIP Journal on Wireless Communications and Networking, 2015, 2015 (1), pp.69. ⟨10.1186/s13638-015-0305-6⟩
- Accès au bibtex
-
- titre
- Growth of (Sr,La)-(Ta,Ti)-O-N perovskite oxide and oxynitride films by radio frequency magnetron sputtering: Influence of the reactive atmosphere on the film structure
- auteur
- Claire Le Paven, Laurent Le Gendre, Ratiba Benzerga, François Cheviré, Franck Tessier, S. Jacq, S. Traoré-Mantion, Ala Sharaiha
- article
- Journal of Crystal Growth, 2015, 413, pp.5-11. ⟨10.1016/j.jcrysgro.2014.12.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Lumped dynamic electrothermal model of IGBT module of inverters
- auteur
- Christophe Batard, Nicolas Ginot, Joe Antonios
- article
- IEEE Transactions on Components, Packaging and Manufacturing Technology, 2015, 5 (3), pp.355-364. ⟨10.1109/TCPMT.2015.2392625⟩
- Accès au bibtex
-
- titre
- Analysis of the cyanolichen Lichina pygmaea metabolites using in situ DART-MS: from detection to thermochemistry of mycosporine serinol
- auteur
- Pierre Le Pogam, Béatrice Legouin, Anne-Cécile Le Lamer, Joël Boustie, David Rondeau
- article
- Journal of Mass Spectrometry, 2015, 50 (3), pp.454-462. ⟨10.1002/jms.3549⟩
- Accès au bibtex
-
- titre
- Effect of Manganese Doping of BaSrTiO 3 on Diffusion and Domain Wall Pinning
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Hartmut W. Gundel
- article
- Journal of Applied Physics, 2015, 117 (8), pp.084104. ⟨10.1063/1.4913694⟩
- Accès au texte intégral et bibtex
-
- titre
- Aging in network coding
- auteur
- Samih Abdul-Nabi, Ayman Khalil, Philippe Mary, Jean-François Hélard
- article
- IEEE Wireless Communications Letters, 2015, 4, PP (99), ⟨10.1109/LWC.2014.2374162⟩
- Accès au bibtex
-
- titre
- Design and experimental validation of a mode-stirred reverberation chamber at millimeterwaves
- auteur
- Abdou Khadir Fall, Philippe Besnier, Christophe Lemoine, Maxim Zhadobov, Ronan Sauleau
- article
- IEEE Transactions on Electromagnetic Compatibility, 2015, 57 (1), pp.12-21. ⟨10.1109/temc.2014.2356712⟩
- Accès au bibtex
-
- titre
- Effect of polynomial interpolations on the estimation performance of a frequency-selective Rayleigh channel in orthogonal frequency division multiplexing systems
- auteur
- Vincent Savaux, Moïse Djoko-Kouam, Yves Louët, Alexandre Skrzypczak
- article
- IET Signal Processing, 2015, 9 (1), pp.97-109. ⟨10.1049/iet-spr.2014.0053⟩
- Accès au texte intégral et bibtex
-
- titre
- An efficient PILE algorithm for solving the scattering from three-dimensional (3-D) nested homogeneous dielectric bodies,
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- Journal of the Optical Society of America, 2015, 32 (3), pp.392-401. ⟨10.1364/JOSAA.32.000392⟩
- Accès au bibtex
-
- titre
- A new ligand for chromium-catalyzed polymerization of ethylene at room temperature. Crystal structures of the lignads and chromium complex
- auteur
- Olivier Lavastre, Clement Lansalot, Sabine Sirol.
- article
- Catalysis Communications, 2015, 60, pp.46
- Accès au bibtex
-
- titre
- Design Strategy for Clocking and Runtime Parametrization in the Channelization Accelerator of Multistandard Radios
- auteur
- Michael Navin, A. P. Vinod, Christophe Moy, Jacques Palicot
- article
- Journal of Signal Processing Systems, 2015, 78 (2), pp.171-177. ⟨10.1007/s11265-013-0849-9⟩
- Accès au bibtex
-
- titre
- Sub-domain Decomposition Iterative Method combined with ACA: An efficient technique for the scattering from a large highly-conducting rough sea surface
- auteur
- Christophe Bourlier, Sami Bellez, Hongkun Li, Gildas Kubicke
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (2), pp.659-666. ⟨10.1109/tap.2014.2373395⟩
- Accès au bibtex
-
- titre
- Acetyl-hydroxy-fulvene: A new ligand for chromium-catalyzed polymerization of ethylene at room temperature. Crystal structures of the ligands and chromium complex
- auteur
- C. Lansalot-Matras, Olivier Lavastre, S Sirol
- article
- Catalysis Communications, 2015, 60, pp.46--49. ⟨10.1016/j.catcom.2014.11.017⟩
- Accès au bibtex
-
- titre
- Low complexity and efficient dynamic spectrum learning and tunable bandwidth access for heterogeneous decentralized cognitive radio networks
- auteur
- Sumit J. Darak, Sumedh Dhabu, Christophe Moy, Honggang Zhang, Jacques Palicot, A.P. Vinod
- article
- Digital Signal Processing, 2015, 37, pp.13-23. ⟨10.1016/j.dsp.2014.12.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-grazing angle propagation and scattering above the sea surface in the presence of a duct jointly solved by boundary integral equations
- auteur
- Christophe Bourlier, Hongkun Li, Nicolas Pinel
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (2), pp.667-677. ⟨10.1109/tap.2014.2379945⟩
- Accès au bibtex
-
- titre
- K-means based histogram using multiresolution feature vectors for color texture database retrieval
- auteur
- Cong Bai, Jinglin Zhang, Zhi Liu, Wan-Lei Zhao
- article
- Multimedia Tools and Applications, 2015, 74 (4), pp.1469-1488. ⟨10.1007/s11042-014-2053-8⟩
- Accès au bibtex
-
- titre
- Dual Circularly Polarized Reflectarray withIndependent Control of Polarizations
- auteur
- Simon Mener, Raphaël Gillard, Ronan Sauleau, Anthony Bellion, Patrick Potier
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (4), pp.1877 - 1881. ⟨10.1109/tap.2015.2398458⟩
- Accès au texte intégral et bibtex
-
- titre
- Microkernel dedicated for dynamic partial reconfiguration on ARM-FPGA platform
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- ACM SIGBED Review, 2015, ACM SIGBED Review - Special Issue on the 6th Workshop on Adaptive and Reconfigurable Embedded Systems (EWiLi 2014), 11 (4), pp.31 - 36. ⟨10.1145/2724942.2724947⟩
- Accès au bibtex
-
- titre
- Ressource allocation mechanisms in IEEE 802.15.3 parent/child model
- auteur
- Samar Sindian, Jean-François Hélard, Matthieu Crussière, Ayman Khalil, Samhat Abed Ellatif
- article
- Wireless Networks, 2015, 21 (6), pp.1863-1877. ⟨10.1007/s11276-015-0892-5⟩
- Accès au bibtex
-
- titre
- Robust Timing Synchronization Preamble for MIMO-OFDM Systems Using Mapped CAZAC Sequences
- auteur
- Ali Rachini, Fabienne Nouvel, Bilal Beydoun
- article
- International Journal On Advances in Networks and Services, 2015, 2015 vol 8 nr 1&2, 8 (1&2), pp.12-22
- Accès au bibtex
-
- titre
- A coplanar waveguide-fed printed antenna with complementary split ring resonator for wireless communication systems
- auteur
- Mariem Aznabet, Otman El Mrabet, Jean Marie Floc’h, Francisco Falcone, M'Hamed Drissi
- article
- Waves in Random and Complex Media, 2015, 25 (1), pp.43-51. ⟨10.1080/17455030.2014.956846⟩
- Accès au bibtex
-
- titre
- Field effect transistor with integrated microfluidic channel as pH sensor
- auteur
- Ismaïl Bouhadda, Olivier de Sagazan, France Le Bihan
- article
- Microsystem Technologies, 2015, 21 (1), pp.289-294. ⟨10.1007/s00542-014-2125-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Lossy compression of noisy remote sensing images with prediction of optimal operation point existence and parameters
- auteur
- Alexander Zemliachenko, Sergey Abramov, Vladimir Lukin, Benoit Vozel, Kacem Chehdi
- article
- Journal of applied remote sensing, 2015, 9 (1), pp.095066. ⟨10.1117/1.JRS.9.095066⟩
- Accès au bibtex
-
- titre
- Robust optical flow integration
- auteur
- Tomas Crivelli, Matthieu Fradet, Pierre-Henri Conze, Philippe Robert, Patrick Pérez
- article
- IEEE Transactions on Image Processing, 2015, 24 (1), pp.484-498. ⟨10.1109/TIP.2014.2336547⟩
- Accès au bibtex
-
- titre
- Image database TID2013: Peculiarities, results and perspectives
- auteur
- Nikolay Ponomarenko, Lina Jin, Oleg Ieremeiev, Vladimir Lukin, Karen Egiazarian, Jaakko Astola, Benoit Vozel, Kacem Chehdi, Marco Carli, Federica Battisti, C.-C. Jay Kuo
- article
- Signal Processing: Image Communication, 2015, 30, pp.57-77. ⟨10.1016/j.image.2014.10.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface Waves Supported by Metasurfaces With Self-Complementary Geometries
- auteur
- David Gonzalez-Ovejero, Enrica Martini, Stefano Maci
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (1), pp.250-260. ⟨10.1109/TAP.2014.2367535⟩
- Accès au bibtex
-
- titre
- Planar Square Multiband Frequency Reconfigurable Micro-strip Fed Antenna with Quadratic Koch-Island Fractal Slot for Wireless Devices
- auteur
- Imen Ben Trad, Hatem Rmili, Jean Marie Floc'H, Wassim Zouch, M'Hamed Drissi
- article
- Microwave and Optical Technology Letters, 2015, 57 (1), pp.207-212. ⟨10.1002/mop.28815⟩
- Accès au bibtex
-
- titre
- Analysis and Design of a New Dual Band Microstrip Patch Antenna Based on Slot Matching Y-Shaped
- auteur
- Rawia Wali, Said Ghnimi, Ali Gharsallah, Tchanguiz Razban
- article
- The Journal of Engineering Research, 2015, 11 (2), #Comp130604. ⟨10.24200/tjer.vol11iss2pp89-97⟩
- Accès au bibtex
-
- titre
- A robust and energy efficient cooperative spectrum sensing scheme in cognitive wireless sensor networks
- auteur
- Shaoyang Men, Pascal Chargé, Sébastien Pillement
- article
- International Journal Network Protocols and Algorithms, 2015, 7 (3), paper #8254
- Accès au bibtex
-
- titre
- Zero-forcing DPC beamforming design for multiuser MIMO broadcast channels
- auteur
- Lei Zhao, Yide Wang, Pascal Charge
- article
- Signal Processing, 2015, 108 (108), pp.489-497. ⟨10.1016/j.sigpro.2014.10.008⟩
- Accès au bibtex
-
- titre
- Efficient Visual Tracking via Low-Complexity Sparse Representation
- auteur
- Weizhi Lu, Jinglin Zhang, Kidiyo Kpalma, Joseph Ronsin
- article
- EURASIP Journal on Advances in Signal Processing, 2015, 2015 (1), 16 p. ⟨10.1186/s13634-015-0200-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Beam-Forming Capabilities of Waveguide Feeds Assisted by Corrugated Flanges
- auteur
- Sergiy Steshenko, Maxim Zhadobov, Ronan Sauleau, Anatoliy A. Kirilenko, Artem V. Boriskin
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (12), pp.5548--5560. ⟨10.1109/TAP.2015.2487990⟩
- Accès au bibtex
-
- titre
- Millimeter waves as a source of selective heating of skin
- auteur
- Maxim Zhadobov, Stanislav I. Alekseev, Yves Le Dréan, Ronan Sauleau, Evgeny E Fesenko
- article
- Bioelectromagnetics, 2015, 36 (6), pp.464--475. ⟨10.1002/bem.21929⟩
- Accès au bibtex
-
- titre
- Contacless microstrip transition for flexible microfluidic circuits and antennas
- auteur
- Daouda Lamine Diedhiou, Olivier de Sagazan, Ronan Sauleau, Artem V. Boriskin
- article
- IEEE Antennas and Wireless Propagation Letters, 2015, 14, pp.1502 - 1505. ⟨10.1109/lawp.2014.2367811⟩
- Accès au bibtex
-
- titre
- Dense and half-dense NiZnCo ferrite ceramics: Their respective relevance for antenna downsizing, according to their dielectric and magnetic properties at microwave frequencies
- auteur
- Jean-Luc Mattei, Emmanuel Le Guen, Alexis Chevalier
- article
- Journal of Applied Physics, 2015, 117 (8), pp.084904
- Accès au bibtex
-
- titre
- Modified approach for high frequency dielectric characterization of thinly metallized soft polymer film using grounded coplanar waveguide
- auteur
- Samuel Baron, Kevin Nadaud, Benoit Guiffard, Ala Sharaiha, Laurence Seveyrat
- article
- Applied Physics Letters, 2015, 107 (9), pp.Article Number: 092904. ⟨10.1063/1.4929814⟩
- Accès au bibtex
-
- titre
- Complex Modes of a Tunable Graphene-Based Fabry-Perot Cavity THz Antenna
- auteur
- Walter Fuscaldo, Paolo Burghignoli, Paolo Baccarelli, Alessandro Galli
- article
- 2015 9th European Conference On Antennas and Propagation (eucap), 2015
- Accès au bibtex
-
- titre
- A Method for Predicting Denoising Efficiency for Color Images
- auteur
- Oleksii S. Rubel, Ruslan O. Kozhemiakin, Sergey S. Krivenko, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- 2015 Ieee 35th International Conference On Electronics and Nanotechnology (elnano), 2015, pp.304--309
- Accès au bibtex
-
- titre
- Measurements Process of Vertically Polarized Electromagnetic Surface-Waves Over a Calm Sea in the HF Band over a Spherical Earth
- auteur
- M. Bellec, P. Y. Jezequel, S. Palud, F. Colombel, S. Avrillon, P. Pouliguen
- article
- 2015 9th European Conference On Antennas and Propagation (eucap), 2015
- Accès au bibtex
-
- titre
- Génération Numérique d'Hologrammes : État de l'Art
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- Revue Electronique Francophone d'Informatique Graphique, 2015, 9 (1), pp.23-35
- Accès au texte intégral et bibtex
-
- titre
- Dual-Layer Ridged Waveguide Slot Array Fed by a Butler Matrix With Sidelobe Control in the 60-GHz Band
- auteur
- K. Tekkouk, J. Hirokawa, R. Sauleau, M. Ettorre, M. Sano, M Ando
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (9), pp.3857--3867. ⟨10.1109/TAP.2015.2442612⟩
- Accès au bibtex
-
- titre
- Corrigendum to “Low complexity and efficient dynamic spectrum learning and tunable bandwidth access for heterogeneous decentralized cognitive radio networks” [Digit. Signal Process. 37 (Feb. 2015) 13–23]
- auteur
- Sumit J. Darak, Sumedh Dhabu, Christophe Moy, Honggang Zhang, Jacques Palicot, A. P Vinod
- article
- Digital Signal Processing, 2015, 39, pp.80. ⟨10.1016/j.dsp.2015.02.008⟩
- Accès au bibtex
-
- titre
- Optimal operation point in 3D DCT-based lossy compression of color and multichannel remote sensing images
- auteur
- Vv Lukin, Sk Abramov, Ra Kozhemiakin, Benoit Vozel, B Djurovic, I Djurovic
- article
- Telecommunications and Radio Engineering, 2015
- Accès au bibtex
-
- titre
- Radio frequency ambient level energy harvesting
- auteur
- Yuwei Zhou, Bruno Froppier, Tchanguiz Razban
- article
- Wireless Power Transfer, 2015, 2 (special issue 02), pp.121-126. ⟨10.1017/wpt.2015.8⟩
- Accès au bibtex
-
- titre
- Characterisation and analytical modeling of GaN HEMT-based varactor diodes
- auteur
- Abdelaziz Hamdoun, L. Roy, Mohamed Himdi, Olivier Lafond
- article
- Electronics Letters, 2015, 51 (23), pp.1930-1932. ⟨10.1049/el.2015.2362⟩
- Accès au texte intégral et bibtex
-
- titre
- Antennas for generating electromagnetic waves bearing OAM momentum
- auteur
- R. Niemiec, W. L. Wei, K. Mahdjoubi, C. Brousseau, O. Emile
- article
- 2015 1st Ursi Atlantic Radio Science Conference (ursi At-rasc), 2015
- Accès au bibtex
-
- titre
- Improvement of Electric Field Induced Compressive Electrostriction of Polyurethane Composites Film Homogeneously Dispersed with Carbon Nanoparticles
- auteur
- Masae Kanda, Kaori Yuse, Benoit Guiffard, Laurent Lebrun, Yoshitake Nishi, Daniel Guyomar
- article
- Materials Transactions, 2015, 56 (12), pp.2029-2033. ⟨10.2320/matertrans.M2015252⟩
- Accès au bibtex
-
- titre
- RLSA Bessel beam launchers using Hankel waves
- auteur
- S. C. Pavone, M. Ettorre, M. Albani
- article
- 2015 9th European Conference On Antennas and Propagation (eucap), 2015
- Accès au bibtex
-
- titre
- Joint motion model for local stereo video-matching method
- auteur
- Jinglin Zhang, Cong Bai, Jean-François Nezan, Jean-Gabriel Cousin
- article
- Optical Engineering, 2015, 54 (12), pp.123108--123108. ⟨10.1117/1.OE.54.12.123108⟩
- Accès au bibtex
-
- titre
- Ultra large deflection of thin PZT/aluminium cantilever beam
- auteur
- Raynald Seveno, Benoit Guiffard, Jean-Pierre Regoin
- article
- Functional Materials Letters, 2015, 8 (5), pp.1550051. ⟨10.1142/S1793604715500514⟩
- Accès au texte intégral et bibtex
-
- titre
- Bandwidth behavior and improvement of miniature cavity antennas with broadside radiation pattern using a metasurface
- auteur
- Mario Martinis, Kouroch Mahdjoubi, Ronan Sauleau, Sylvain Collardey, L Bernard
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (5), pp.1899-1908. ⟨10.1109/tap.2015.2405074⟩
- Accès au bibtex
-
- titre
- Complex Mode Spectra of Graphene-Based Planar Structures for THz Applications
- auteur
- Walter Fuscaldo, P. Burghignoli, P. Baccarelli, A. Galli
- article
- Journal of Infrared, Millimeter and Terahertz Waves, 2015, 36 (8), pp.720-733. ⟨10.1007/s10762-015-0178-0⟩
- Accès au texte intégral et bibtex
-
- titre
- A New Approach to Region Based Image Retrieval Using Shape Adaptive Discrete Wavelet Transform
- auteur
- Lakhdar Belhallouche, Kamel Belloulata, Kidiyo Kpalma
- article
- International Journal of Image, Graphics and Signal Processing , 2015
- Accès au bibtex
-
- titre
- A novel object position coding for multi-object tracking using sparse representation
- auteur
- Mohamed Elbahri, Kidiyo Kpalma, Nasreddine Taleb, Miloud Chikr El-Mezouar
- article
- International Journal of Image, Graphics and Signal Processing , 2015, 11 p
- Accès au bibtex
-
- titre
- Transmit Beamforming Analysis for MIMO Systems in Indoor Residential Environment Based on 3D Ray Tracing
- auteur
- A Bouhlel, V Guillet, Ghais El Zein, Gheorghe Zaharia
- article
- Wireless Personal Communications, 2015, 82 (1), pp.509-531. ⟨10.1007/s11277-014-2238-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Small Parasitic Loaded Superdirective End-Fire Antenna Arrays
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (12), pp.1-9. ⟨10.1109/TAP.2015.2496112⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient Method for Predective Modeling of Irregular Shaped Power Distribution Network
- auteur
- Afef Bouchaala, Lionel Courau, Olivier Bonnaud, Philippe Galy
- article
- 2015 International Conference On Electronic Packaging and Imaps All Asia Conference (icep-iaac), 2015, pp.752--756
- Accès au bibtex
-
- titre
- Basic Properties of Checkerboard Metasurfaces
- auteur
- David Gonzalez-Ovejero, Enrica Martini, Brigitte Loiseaux, Charlotte Tripon-Canseliet, Mario Mencagli, Jean Chazelas, Stefano Maci
- article
- IEEE Antennas and Wireless Propagation Letters, 2015, 14, pp.406-409. ⟨10.1109/LAWP.2014.2365021⟩
- Accès au bibtex
-
- titre
- Comprehensive Characterization of the Double Directional UWB Residential Indoor Channel
- auteur
- Patrice Pajusco, Nadine Malhouroux-Gaffet, Ghaïs El Zein
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (3), pp.1129-1139. ⟨10.1109/TAP.2014.2387418⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-cost photomask fabrication using laser ablation
- auteur
- Gérard Legeay, Xavier Castel, Ratiba Benzerga, Arnaud-Gides Moussavou, Ronan Sauleau, Maryline Guilloux-Viry
- article
- Journal of Materials Processing Technology, 2015, 216, pp.71-78. ⟨10.1016/j.jmatprotec.2014.08.024⟩
- Accès au texte intégral et bibtex
-
- titre
- Pattern shaping and synthesis of planar leaky-wave-based arrays for satellite communications
- auteur
- Francesco Scattone, Mauro Ettorre, Benjamin Fuchs, Ronan Sauleau, Nelson J. G. Fonseca
- article
- Proceedings of The 2015 International Conference On Electromagnetics In Advanced Applications (iceaa), 2015, pp.366--369
- Accès au bibtex
-
- titre
- Continuous Transverse Stub Array for Ka-Band Applications
- auteur
- Mauro Ettorre, Francesco Foglia Manzillo, Massimiliano Casaletti, Ronan Sauleau, Laurent Le Coq, Nicolas Capet
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (11), pp.4792-4800. ⟨10.1109/TAP.2015.2479243⟩
- Accès au texte intégral et bibtex
-
- titre
- Spirobifluorene-2,7-dicarbazole-4'-phosphine Oxide as Host for High-Performance Single-Layer Green Phosphorescent OLED Devices
- auteur
- Sebastien Thiery, Denis Tondelier, Bernard Geffroy, Emmanuel Jacques, Malo Robin, Rémi Métivier, Olivier Jeannin, Joëlle Rault-Berthelot, Cyril Poriel
- article
- Organic Letters, 2015, 17 (19), pp.4682-4685. ⟨10.1021/acs.orglett.5b02027⟩
- Accès au bibtex
-
- titre
- Statistics and control of waves in disordered media
- auteur
- Zhou Shi, Matthieu Davy, Azriel Genack
- article
- Optics Express, 2015, 23 (9), pp.12293. ⟨10.1364/oe.23.012293⟩
- Accès au bibtex
-
- titre
- High Directive Compact Antenna with Non-Foster Elements
- auteur
- L. Batel, L. Rudant, J-F. Pintos, A. Clemente, C. Delaveaud, K. Mandjoubi
- article
- 2015 International Workshop On Antenna Technology (iwat), 2015, pp.381--384
- Accès au bibtex
-
- titre
- Miniaturization of an Artificial Magnetic Conductor with Interdigital Capacitances
- auteur
- Anthony Presse, Xiao Zhang, Mohamad Mantash, Anne-Claude Tarot, Jean Marie Floc'H
- article
- 2015 Loughborough Antennas & Propagation Conference (lapc), 2015
- Accès au bibtex
-
- titre
- Via-Hole Less Broadband Grounded Coplanar to Coupled Microstrip Transition for up to 40 GHz
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Stéphane Ginestar, Hong Wu Li, Afshin S. Daryoush
- article
- Progress In Electromagnetics Research Letters, 2015, 56, pp. 47-51. ⟨10.2528/pierl15060404⟩
- Accès au bibtex
-
- titre
- Transverse piezoelectric coefficient measurement of flexible lead zirconate titanate thin films
- auteur
- Thibault Dufay, Benoit Guiffard, Jean-Christophe Thomas, Raynald Seveno
- article
- Journal of Applied Physics, 2015, 117 (20), pp.204101. ⟨10.1063/1.4921588⟩
- Accès au texte intégral et bibtex
-
- titre
- Transmission eigenchannels and the densities of states of random media
- auteur
- Matthieu Davy, Zhou Shi, Jing Wang, Azriel Z. Genack
- article
- Physical Review Letters, 2015, 114 (3), pp.033901. ⟨10.1103/PhysRevLett.114.033901⟩
- Accès au texte intégral et bibtex
-
- titre
- Piezoelectric response of a PZT thin film to magnetic fields from permanent magnet and coil combination
- auteur
- Benoit Guiffard, Raynald Seveno
- article
- Applied physics. A, Materials science & processing, 2015, 118 (1), pp.225-230. ⟨10.1007/s00339-014-8600-3⟩
- Accès au bibtex
-
- titre
- Study of OAM waves reflection on different types of surfaces or objects at 2.45 GHz
- auteur
- R. Niemiec, C. Brousseau, O. Emile, K. Mahdjoubi
- article
- 2015 1st Ursi Atlantic Radio Science Conference (ursi At-rasc), 2015
- Accès au bibtex
-
- titre
- Investigation on cellular-automata irregular-fractal ultrawideband slot-antennas
- auteur
- Lotfi Ladhar, Mohamed Zarouan, Donia Oueslati, Jean Marie Floc'H, Hatem Rmili
- article
- Microwave and Optical Technology Letters, 2015, 57 (11), pp.2506--2514. ⟨10.1002/mop.29367⟩
- Accès au bibtex
-
- titre
- Microcrystalline silicon: Strain gauge and sensor arrays on flexible substrate for the measurement of high deformations
- auteur
- Y. Kervran, O. de Sagazan, S. Crand, N. Coulon, T. Mohammed-Brahim, O Brel
- article
- Sensors and Actuators A: Physical , 2015, 236, pp.273--280. ⟨10.1016/j.sna.2015.08.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Circuit-Model Analysis of Frequency Selective Surfaces With Scatterers of Arbitrary Geometry
- auteur
- Francisco Mesa, Maria Garcia-Vigueras, Francisco Medina, Raul Rodriguez-Berral, Juan R. Mosig
- article
- IEEE Antennas and Wireless Propagation Letters, 2015, 14, pp.135 - 138. ⟨10.1109/LAWP.2014.2356012⟩
- Accès au bibtex
-
- titre
- Sensitivity of Negative Impedance Converter circuit with respect to PCB design effects
- auteur
- L. Batel, L. Rudant, J-F. Pintos, K. Mahdjoubi
- article
- 2015 International Workshop On Antenna Technology (iwat), 2015, pp.221--224
- Accès au bibtex
-
- titre
- Experimental determination of magnetocrystalline anisotropy constants and saturation magnetostriction constants of NiZn and NiZnCo ferrites intended to be used for antennas miniaturization
- auteur
- Jean-Luc Mattei, Emmanuel Le Guen, Alexis Chevalier, Anne-Claude Tarot
- article
- Journal of Magnetism and Magnetic Materials, 2015, 374, pp.762. ⟨10.1016/j.jmmm.2014.09.026⟩
- Accès au bibtex
-
- titre
- Optimization Procedure for Planar Leaky-Wave Antennas With Flat-Topped Radiation Patterns
- auteur
- Francesco Scattone, Mauro Ettorre, Ronan Sauleau, Ngoc Tinh Nguyen, Nelson J. G. Fonseca
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (12), pp.5854--5859. ⟨10.1109/TAP.2015.2479242⟩
- Accès au texte intégral et bibtex
-
- titre
- Titanium nitride films for micro-supercapacitors: Effect of surface chemistry and film morphology on the capacitance
- auteur
- Amine Achour, Raul Lucio Porto, Mohamed-Akram Soussou, Mohammad Islam, Mohammed Boujtita, Kaltouma Ait Aissa, Laurent Le Brizoual, Abdou Djouadi, Thierry Brousse
- article
- Journal of Power Sources, 2015, 300, pp.525 - 532. ⟨10.1016/j.jpowsour.2015.09.012⟩
- Accès au bibtex
-
- titre
- Structure properties relationship study of electron-deficient dihydroindeno [2,1-b]fluorene derivatives for n-type Organic Field Effect Transistors.
- auteur
- Maxime Romain, Michèle Chevrier, Sarah Bebiche, Tayeb Mohammed-Brahim, Joëlle Rault-Berthelot, Emmanuel Jacques, Cyril Poriel
- article
- Journal of Materials Chemistry C, 2015, 3 (22), pp.5742-5753. ⟨10.1039/C5TC00355E⟩
- Accès au texte intégral et bibtex
-
- titre
- One step ecofriendly and metal-free introduction of N,N-Bisallyl Amino and N-substituted 2,5-dihydropyrrole moieties from primary amines containing molecules
- auteur
- Olivier Lavastre, Thomas Regnier, Girard Lucie
- article
- Current microwave chemistry, 2015, 2 (2), pp.179. ⟨10.2174/2213335601666141201193252⟩
- Accès au bibtex
-
- titre
- Low-profile Direction Finding System at the Ku-band using SIW Horn Antennas
- auteur
- Marc Esquius-Morote, Jean-François Zürcher, Juan R Mosig, Benjamin Fuchs
- article
- Microwave journal, 2015
- Accès au texte intégral et bibtex
-
- titre
- Highly flexible microcrystalline silicon n-type TFT on PEN bent to a curvature radius of 0.75 mm
- auteur
- Hanpeng Dong, Yannick Kervran, Nathalie . Coulon, Olivier de Sagazan, Emmanuel Jacques, Tayeb Mohammed-Brahim
- article
- IEEE Transactions on Electron Devices, 2015, 62 (10), pp.3278-3284
- Accès au bibtex
-
- titre
- Excitation Retrieval of Microwave Linear Arrays From Phaseless Far-Field Data
- auteur
- Benjamin Fuchs, Laurent Le Coq
- article
- IEEE Transactions on Antennas and Propagation, 2015, 63 (2), pp.748-754. ⟨10.1109/TAP.2014.2378318⟩
- Accès au texte intégral et bibtex
-
- titre
- Stable and unsupervised fuzzy C-means method and its validation in the context of multicomponent images
- auteur
- Kacem Chehdi, Akar Taher, Claude Cariou
- article
- Journal of Electronic Imaging, 2015, 24 (6), pp.061117--061117. ⟨10.1117/1.JEI.24.6.061117⟩
- Accès au texte intégral et bibtex
-
- titre
- Urban Land Use Information Extraction Using the Ultrahigh-Resolution Chinese Airborne SAR Imagery
- auteur
- W. Wu, H. Guo, Xiaojian Li, Laurent Ferro-Famil, L Zhang
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2015, 53 (10), pp.5583--5599. ⟨10.1109/TGRS.2015.2425658⟩
- Accès au bibtex
-
Conference papers
- titre
- RSSI optimization method for indoor positioning systems
- auteur
- Y. Aiboud, I. Elhassani, H. Griguer, M'Hamed Drissi
- article
- 27th International Conference on Microelectronics, ICM 2015, Dec 2015, Casablanca, Morocco. pp.246--248, ⟨10.1109/ICM.2015.7438034⟩
- Accès au bibtex
-
- titre
- Design rules for RF micro energy harvesting under near Field probing considerations
- auteur
- H. Griguer, H. Lalj, M.A. Benfetah, M'Hamed Drissi
- article
- 27th International Conference on Microelectronics, ICM 2015, Dec 2015, Casablanca, Morocco. pp.281--283, ⟨10.1109/ICM.2015.7438043⟩
- Accès au bibtex
-
- titre
- Polarization insensitive metamaterial absorber for energy harvesting
- auteur
- H. Lalj, H. Griguer, M.A. Benfetah, M'Hamed Drissi
- article
- 27th International Conference on Microelectronics, ICM 2015, Dec 2015, Casablanca, Morocco. pp.284--286, ⟨10.1109/ICM.2015.7438044⟩
- Accès au bibtex
-
- titre
- Advances on all-optical ADCs based on a polymer leaky waveguide deflector
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- Workshop on advanced ADCs,, Dec 2015, La Baule, France
- Accès au bibtex
-
- titre
- A Distributed Algorithm to Determine Lower and Upper Bounds in Branch and Bound for Hybrid Model Predictive Control
- auteur
- Amir Firooznia, Romain Bourdais, B. de Schutter
- article
- 54th IEEE Conference on Decision and Control (CDC), Dec 2015, Osaka, Japan. ⟨10.1109/cdc.2015.7402461⟩
- Accès au bibtex
-
- titre
- Integration of Resource Allocation Coordination and Branch-and-Bound
- auteur
- Renshi Luo, Romain Bourdais, Ton van den Boom, B. de Schutter
- article
- 54th IEEE Conference on Decision and Control (CDC), Dec 2015, Osaka, Japan. ⟨10.1109/cdc.2015.7402885⟩
- Accès au bibtex
-
- titre
- Implementations of plane wave source for BoR-FDTD
- auteur
- S.H. Dahlan, Z.Z. Abidin, K.N. Ramli, Alain Rolland, R. Sauleau
- article
- 6th IEEE International RF and Microwave Conference, Dec 2015, Sarawak, Malaysia. pp.236--240, ⟨10.1109/RFM.2015.7587752⟩
- Accès au bibtex
-
- titre
- A Novel Chaotic Generator Based On Weakly-coupled Discrete Skewtent Maps
- auteur
- Ons Jallouli, Safwan El Assad, Maryline Chetto, René Lozi, Daniel Caragata
- article
- International Conference on Internet Technology and Secured Transactions, Dec 2015, Londres, United Kingdom. pp.38-43, ⟨10.1109/ICITST.2015.7412052⟩
- Accès au bibtex
-
- titre
- Hash Function based on Efficient Chaotic Neural Network
- auteur
- Nabil Abdoun, Safwan El Assad, Mohammad Abu Taha, Rima Assaf, Olivier Deforges, Mohamad Khalil
- article
- International Conference on Internet Technology and Secured Transactions, Dec 2015, Londres, United Kingdom. pp.32-37, ⟨10.1109/ICITST.2015.7412051⟩
- Accès au bibtex
-
- titre
- Reconstruction of face texture based on the fusion of texture patches
- auteur
- Jérôme Manceau, Renaud Séguier, Catherine Soladie
- article
- 11th International Symposium on Visual Computing, ISVC 2015, Dec 2015, Las Vegas, United States. ⟨10.1007/978-3-319-27857-5_35⟩
- Accès au bibtex
-
- titre
- Chaos-based cryptosystems using dependent diffusion: An overview
- auteur
- Mohammad Abu Taha, Safwan El Assad, Mousa Farajallah, Audrey Queudet, Olivier Deforges
- article
- International Conference on Internet Technology and Secured Transactions, Dec 2015, Londres, United Kingdom. pp.44-49, ⟨10.1109/ICITST.2015.7412053⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D Facial Clone based on Depth Patches
- auteur
- Jérôme Manceau, Catherine Soladie, Renaud Séguier
- article
- IEEE International Conference on Visual Communication and Image Processing - VCIP 2015, Dec 2015, Singapore, Singapore. ⟨10.1109/vcip.2015.7457820⟩
- Accès au bibtex
-
- titre
- Study of an Electro-Optic Polymers Leaky Waveguide Deflector and its Microwave access across a GCPW to CMS transition for realizing a 40 GSPS AOADC
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Guillaume Lirzin, Hong Wu Li, Afshin S. Daryoush
- article
- International Conference on Microwave and Photonics, Dec 2015, Dhanbad, India. pp.ICMAP01, ⟨10.1109/ICMAP.2015.7408700⟩
- Accès au bibtex
-
- titre
- Conductor-Backed Coplanar Waveguide to Microstrip Transition on BCB Polymer Thin Film with Bandwidth over 60 GHz
- auteur
- Mohammed El Gibari, Massinissa Hadjloum, Hong Wu Li
- article
- International Conference on Microwave and Photonics, Dec 2015, Dhanbad, India. pp.ICMAP02, ⟨10.1109/ICMAP.2015.7408757⟩
- Accès au bibtex
-
- titre
- Microwave and Optical Design Optimization of Polymer Phase Modulators with Over 40 GHz BW
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- International Conference on Microwave and Photonics (ICMAP), Dec 2015, Dhanbad, India. pp.ICMAP03, ⟨10.1109/icmap.2015.7408725⟩
- Accès au bibtex
-
- titre
- Tracking of optimal trajectories for power plants based on physical models
- auteur
- M. Fouquet, Hervé Guéguen, Didier Dumur, Damien Faille
- article
- 9th IFAC Symposium on Control of Power and Energy Systems (CPES), Dec 2015, New Delhi, India. pp.373-378, ⟨10.1016/j.ifacol.2015.12.407⟩
- Accès au texte intégral et bibtex
-
- titre
- QoS driven Channel Selection Algorithm for Opportunistic Spectrum Access
- auteur
- Navikummar Modi, Philippe Mary, Christophe Moy
- article
- IEEE International Workshop on Advances in Software Defined Radio Access Networks and Context-aware Cognitive Networks 2015, Dec 2015, San Diego, United States. ⟨10.1109/GLOCOMW.2015.7413996⟩
- Accès au bibtex
-
- titre
- Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
- auteur
- Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
- Accès au texte intégral et bibtex
-
- titre
- Generation of radio orbital angular momentum (OAM) waves with circular metallic waveguide
- auteur
- W.L. Wei, K. Mahdjoubi, C. Brousseau, O. Emile
- article
- Asia-Pacific Microwave Conference, APMC 2015, Dec 2015, New Delhi, India. pp.7413479, ⟨10.1109/APMC.2015.7413479⟩
- Accès au bibtex
-
- titre
- Activité bactéricide de couches minces de TiO2 photoactivées
- auteur
- Marion Barthomeuf, Nyedna Policarpo, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- RNB 2015 ‘7ème colloque du Réseau National Biofilms’, Dec 2015, Toulouse, France. pp.2
- Accès au bibtex
-
- titre
- Indexation Basée-Région d’Image Utilisant Shape-Adaptive DCT (SA-DCT)
- auteur
- Amina Belalia, Kamel Belloulata, Kidiyo Kpalma
- article
- Système Conjoint de Compression et d'Indexation Basée-Objet pour la Vidéo (SCCIBOV), Kamel Belloulata; Kidiyo Kpalma, Dec 2015, Sidi Bel Abbès, Algérie
- Accès au bibtex
-
- titre
- Abandoned object detection using blind motion history analysis
- auteur
- Dahi Ilias, Miloud Chikr El-Mezouar, Nasreddine Taleb, Kidiyo Kpalma
- article
- Système Conjoint de Compression et d'Indexation Basé-Objet pour la Vidéo (SCCIBOV), Kamel Belloulata; Kidiyo Kpalma, Dec 2015, Sidi Bel Abbès, Algeria
- Accès au bibtex
-
- titre
- SCOTTish: Toward an Optimal Concealment Algorithm
- auteur
- Julien Gosseaume, Kidiyo Kpalma, Joseph Ronsin
- article
- Système Conjoint de Compression et d'Indexation Basé-Objet pour la Vidéo (SCCIBOV), Dec 2015, Sidi Bel Abbès, Algeria
- Accès au bibtex
-
- titre
- Nouvelle Méthode d’Indexation et de Recherche d’Image Basée-Région (RBIR) dans le Domaine Transformé Utilisant la SA-DCT
- auteur
- Amina Belalia, Kamel Belloulata, Kidiyo Kpalma
- article
- Systeme Conjoint de Compression et d'Indexation Basée Objet pour la Vidéo , Kamel Belloulata; Kidiyo Kpalma, Dec 2015, Sidi Bel Abbès, Algérie
- Accès au bibtex
-
- titre
- Design of multiband and wideband antenna with printed dipole
- auteur
- Jean Marie Floc'H, Imen Ben Trad
- article
- MMS 2015, Nov 2015, Lecce, Italy
- Accès au bibtex
-
- titre
- Frequency Reconfigurable Antenna Using Active Capacitors
- auteur
- Ines Rouissi, Imen Ben Trad, Jean Marie Floc'H, Hatem Rmili, H Trabelsi
- article
- MMS 2015, Nov 2015, Lecce, Italy. ⟨10.1109/MMS.2015.7375482⟩
- Accès au bibtex
-
- titre
- Design of electrically tunable phase shifter for antenna arrays operating in Ku-band
- auteur
- Rawia Ouali, Lotfi Osman, Tchanguiz Razban, Yann Mahé
- article
- 15th Mediterranean Microwave Symposium (MMS), Nov 2015, Lecce, Italy. paper#59, ⟨10.1109/mms.2015.7375467⟩
- Accès au texte intégral et bibtex
-
- titre
- Graphene-based reconfigurable leaky-wave antennas for THz applications
- auteur
- W. Fuscaldo, P. Burghignoli, P. Baccarelli, A. Galli
- article
- 15th IEEE Mediterranean Microwave Symposium, MMS 2015, Nov 2015, Lecce, Italy. pp.7375491, ⟨10.1109/MMS.2015.7375491⟩
- Accès au bibtex
-
- titre
- Design of multiband and wideband antennas with printed dipole
- auteur
- Jean Marie Floc'H, I.B. Trad
- article
- 15th IEEE Mediterranean Microwave Symposium, MMS 2015, Nov 2015, Lecce, Italy. pp.7375483, ⟨10.1109/MMS.2015.7375483⟩
- Accès au bibtex
-
- titre
- Tuning of Microwave and Optical Properties of the Electro-Optic Polymer PMMA-DR1 by Loading with SiC Nanoparticles for Optimization of Photonic Microwave Components
- auteur
- Den-God-Frez Palessonga, Mohammed El Gibari, Stéphane Ginestar, Hélène Terrisse, Benoit Guiffard, Abdelhadi Kassiba, Hong Wu Li
- article
- 23nd Telecommunications Forum, Nov 2015, Belgrade, Serbia. pp.TELFOR_IET3, ⟨10.1109/TELFOR.2015.7377523⟩
- Accès au bibtex
-
- titre
- Coplanar Waveguide to Microstrip Transition in Thin Polymer Film for Characterization and Packaging of Microwave Photonic Components
- auteur
- Mohammed El Gibari, Sara Bretin, Massinissa Hadjloum, Patrick Derval, Hong Wu Li
- article
- 23nd Telecommunications Forum, Nov 2015, Belgrade, Serbia. pp.TELFOR_IET2, ⟨10.1109/TELFOR.2015.7377537⟩
- Accès au bibtex
-
- titre
- Electrode Structure for both Poling and Driving of the Electro-Optic Polymer in an Analog-to-Digital Converter based on an Optical Deflector
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li, Afshin S. Daryoush
- article
- 23nd Telecommunications Forum, Nov 2015, Belgrade, Serbia. pp.TELFOR_IET1, ⟨10.1109/TELFOR.2015.7377531⟩
- Accès au bibtex
-
- titre
- Direct power injection on functional and non-functional signals of SPI EEPROM memories
- auteur
- Mohamed Amellal, Sjoerd Op 'T Land, Richard Perdriau, Mohamed Ramdani, Ali Ahaitouf, M'Hamed Drissi
- article
- Electromagnetic Compatibility of Integrated Circuits (EMC Compo), 2015 10th International Workshop, Nov 2015, EDINBURGH, United Kingdom. pp.24--28, ⟨10.1109/EMCCompo.2015.7358324⟩
- Accès au bibtex
-
- titre
- Time reversal based beamforming for wireless communications: A theoretical analysis from moderate-scale to large-scale antenna systems
- auteur
- Matthieu Crussière, H. Fu, M. Helard
- article
- 5th International Conference on Communications and Networking, COMNET 2015, Nov 2015, Hefei, China. pp.7566634, ⟨10.1109/COMNET.2015.7566634⟩
- Accès au bibtex
-
- titre
- Design of Frequency Reconfigurable Triband Antenna Using Capacitive Loading for Wireless Communications
- auteur
- Ines Rouissi, Imen Ben Trad, Jean Marie Floc'H, Hatem Rmili, H Trabelsi
- article
- LAPC 2015, Nov 2015, Loughborough, United Kingdom
- Accès au bibtex
-
- titre
- Small 3D Array Design Using Superdirective Antennas
- auteur
- Abdullah Haskou, Sylvain Collardey, Ala Sharaiha
- article
- Loughborough Antennas and Propagation Conference, Nov 2015, Loughborough, United Kingdom. ⟨10.1109/LAPC.2015.7366122⟩
- Accès au texte intégral et bibtex
-
- titre
- A strategy for research projects to impact standards and regulatory bodies: The approach of the EU-funded project MiWaveS
- auteur
- V. Frascolla, H. Miao, M. Shariat, E. Ohlmer, V. Kotzsch, L. Dussopt, E.C. Strinati, R. Sauleau, K. Ranta-Aho, J. Putkonen
- article
- IEEE Conference on Standards for Communications and Networking, CSCN 2015, Oct 2015, Tokyo, Japan. pp.24--29, ⟨10.1109/CSCN.2015.7390415⟩
- Accès au bibtex
-
- titre
- 40 GSPS All Optical ADC with ENOB of 6.6 using EO Polymer Optical Deflector and Spatial Quantizer
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Mathieu Halbwax, Jean-Pierre Vilcot, Hong Wu Li, Afshin S. Daryoush
- article
- International Topical Meeting on Microwave Photonics, IEEE MTT-S, Oct 2015, Paphos, Cyprus
- Accès au bibtex
-
- titre
- A new design of a compact CPW-Fed dual-band printed antenna for RFID applications
- auteur
- El Hamraoui, H. Abdelmounim, J. Zbitou, H. Bennis, Mohamed Latrach
- article
- 2015 International Conference on Wireless Networks and Mobile Communications (WINCOM), Oct 2015, Marrakech, Morocco. ⟨10.1109/WINCOM.2015.7381327⟩
- Accès au bibtex
-
- titre
- Modélisation d'une cellule de réseau réflecteur à l'aide des réseaux de neurones
- auteur
- Vincent Richard, Renaud Loison, Raphaël Gillard, M Romier
- article
- Assemblée générale "Interférences d'Ondes, Oct 2015, Lyon, France
- Accès au bibtex
-
- titre
- Cyclostationarity-based versus eigenvalues-based algorithms for spectrum sensing in cognitive radio systems: Experimental evaluation using GNU radio and USRP
- auteur
- Amor Nafkha, Babar Aziz, Malek Naoues, Adrian Kliks
- article
- 2015 Eight International Workshop on Selected Topics in Mobile and Wireless Computing, Oct 2015, Abu Dhabi, United Arab Emirates. ⟨10.1109/WiMOB.2015.7347977⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural Identifiability and Identification of Systems under Output Couplings
- auteur
- Safa Jedidi, Romain Bourdais, Jean Buisson, Marie-Anne Lefebvre
- article
- 17th IFAC Symposium on System Identification (SYSID 2015), Oct 2015, Pékin, China. pp.1409-1414
- Accès au bibtex
-
- titre
- Dimensionnement de cellule de réseau réflecteur par synthèse de filtre
- auteur
- A Grossetête, Erwan Fourn, Raphaël Gillard
- article
- Assemblée Générale GDR Ondes, Oct 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Hexagonal Dielectric Loaded Nantenna for Optical ITU-T C-Band Communication
- auteur
- Waleed Tariq Sethi, Hamsakutty Vettikalladi, Habib Fathallah, Mohamed Himdi
- article
- 11th IEEE International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Oct 2015, Abu Dhabi, United Arab Emirates. pp.604--607
- Accès au bibtex
-
- titre
- Phase shifter for antenna beam steering
- auteur
- R. Jindal, T. Razban
- article
- 2nd International Conference on Communication Systems, ICCS 2015, Oct 2015, Rajasthan, India. pp.0200341, ⟨10.1063/1.4942716⟩
- Accès au bibtex
-
- titre
- Recent Advances in Printed Reflectarrays and Reflectors
- auteur
- Raphaël Gillard
- article
- International Conference on Communication Systems , Oct 2015, Pilani, India
- Accès au bibtex
-
- titre
- Elaboration de couches minces transparentes et conductrices pour applications antennaires à faible impact visuel
- auteur
- Alexis Martin, Xavier Castel, Olivier Lafond, Mohamed Himdi
- article
- Workshop ‘Oxydes Conducteurs Transparents et X-Chromes’ du GDR OXYFUN, Oct 2015, Poitiers, France. pp.28-29
- Accès au bibtex
-
- titre
- Stability Analysis by means of Discrete Abstraction. Application to Voltage Stability of Distributed Generators
- auteur
- Marjorie Cosson, Hervé Guéguen, Didier Dumur, Cristina Stoica Maniu, Vincent Gabrion, Gilles Malarange
- article
- 5th IFAC Conference on Analysis and Designe of Hybrid Systems (ADHS), Oct 2015, ATLANTA, United States. pp.364-370, ⟨10.1016/j.ifacol.2015.11.201⟩
- Accès au texte intégral et bibtex
-
- titre
- Millimeter-Wave Digital Beamsteering in Highly Line-Of-Sight Environments for Massive MIMO Systems
- auteur
- Antoine Roze, Maryline Hélard, Matthieu Crussière, Charlotte Langlais
- article
- WWWRF35 Meeting, Oct 2015, Copenhague, Denmark
- Accès au bibtex
-
- titre
- UV-active TiO2 thin films for bactericidal activity in food industry environment
- auteur
- Marion Barthomeuf, Nyedna Policarpo, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- 5th Workshop NIMS – UR1 – CNRS – Saint Gobain, Oct 2015, Rennes, France. pp.37
- Accès au bibtex
-
- titre
- GROWTH AND RAMAN ANALYSIS OF SILICON AND GERMANIUM NANOWIRES
- auteur
- Mahmoud Israel, Regis Rogel, Alain Moréac, Jean-Pierre Landesman, Laurent Pichon
- article
- 5th workshop NIMS, Oct 2015, Rennes, France
- Accès au bibtex
-
- titre
- Loss reduction in KTa1-xNbxO3 ferroelectric layers: a twofold approach for microwave tunable device applications
- auteur
- Fatou Cissé, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Sophie Ollivier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- 5th Workshop NIMS – UR1 – CNRS – Saint Gobain, Oct 2015, Rennes, France. pp.15
- Accès au bibtex
-
- titre
- Photocatalytic and dielectric properties of Sr2Ta2O7-based oxide and oxynitride perovskite thin films produced by magnetron sputtering
- auteur
- Claire Le Paven, Laurent Le Gendre, Ratiba Benzerga, Simon Jacq, Ala Sharaiha, Franck Tessier, François Cheviré, Ahmed Ziani, Kazuhiro Takanabe, Anthony Ferri, S. Saitzek, R. Desfeux
- article
- 5th Workshop NIMS – UR1 – CNRS – Saint Gobain, Oct 2015, Rennes, France
- Accès au bibtex
-
- titre
- Embedded Network Combining CAN, ZigBee and DC-PLC for Motorhome
- auteur
- Fabienne Nouvel, Hussein Kdouh
- article
- VEHICULAR 2015, Oct 2015, St JULIANS, Malta
- Accès au texte intégral et bibtex
-
- titre
- Complex modulation computer-generated hologram with occlusion effect by a fast hybrid point-source/wave-field approach
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- Pacific Conference on Computer Graphics and Applications (Pacific Graphics) 2015, Oct 2015, Beijing, China. ⟨10.2312/PG.20151277⟩
- Accès au texte intégral et bibtex
-
- titre
- Carrier parity distribution influence on PAPR mitigation using Tones Reservation method
- auteur
- D.M. Lamarana, P. Jacques, F. Bader
- article
- 7th International Congress on Ultra Modern Telecommunications and Control Systems and Workshops, ICUMT 2015, Oct 2015, Brno, Czech Republic. pp.40--44, ⟨10.1109/ICUMT.2015.7382402⟩
- Accès au bibtex
-
- titre
- Miniaturization of an UWB Dual-Polarized Antenna
- auteur
- Nina Lorho, Guillaume Lirzin, Anne Chousseaud, Tchanguiz Razban, Adonis Bikiny, Sébastien Lestieux
- article
- IEEE International Conference on Ubiquitous Wireless Broadband, Oct 2015, Montréal, Canada. pp.1-5, ⟨10.1109/ICUWB.2015.7324474⟩
- Accès au bibtex
-
- titre
- Cooperative group key generation using IR-UWB multipath channels
- auteur
- Iulia Tunaru, Benoit Denis, Régis Perrier, Bernard Uguen
- article
- ICUWB 2015 - 2015 IEEE International Conference on Ubiquitous Wireless Broadband, Oct 2015, Montreal, Canada. pp.1-5, ⟨10.1109/ICUWB.2015.7324430⟩
- Accès au bibtex
-
- titre
- Reinforcement learning demonstrator for opportunistic spectrum access on real radio signals
- auteur
- Christophe Moy, Amor Nafkha, Malek Naoues
- article
- 2015 IEEE International Symposium on Dynamic Spectrum Access Networks (DySPAN), Sep 2015, Stockholm, Sweden. ⟨10.1109/DySPAN.2015.7343919⟩
- Accès au texte intégral et bibtex
-
- titre
- Image coding with incomplete transform competition for HEVC
- auteur
- Adrià Arrufat, Anne-Flore Perrin, Pierrick Philippe
- article
- Image Processing (ICIP), 2015 IEEE International Conference on, Sep 2015, Québec, France. ⟨10.1109/ICIP.2015.7351222⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of on-body channel models on positioning success rate with UWB Wireless Body Area Networks
- auteur
- Arturo Guizar, Claire Goursaud, Bernard Uguen
- article
- EAI International Conference on Body Area Networks (BodyNets), Sep 2015, Sydney, Australia. ⟨10.4108/eai.28-9-2015.2261545⟩
- Accès au texte intégral et bibtex
-
- titre
- Mode-dependent transform competition for HEVC
- auteur
- Adrià Arrufat, Pierrick Philippe, Olivier Déforges
- article
- Image Processing (ICIP), 2015 IEEE International Conference on, Sep 2015, Québec, Canada. pp.1598-1602, ⟨10.1109/ICIP.2015.7351070⟩
- Accès au texte intégral et bibtex
-
- titre
- Complex modulation computer-generated hologram by a fast hybrid point-source/wave-field approach
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- IEEE International Conference on Image Processing (ICIP 2015), Sep 2015, Quebec, Canada. pp.4962 - 4966, ⟨10.1109/ICIP.2015.7351751⟩
- Accès au texte intégral et bibtex
-
- titre
- ROI Encryption for the HEVC Coded Video Contents
- auteur
- Mousa Farajallah, Wassim Hamidouche, Olivier Deforges, Safwan El Assad
- article
- 2015 IEEE International Conference on Image Processing, Sep 2015, Québec, Canada. paper ID 388-nXVm-131, ⟨10.1109/icip.2015.7351373⟩
- Accès au bibtex
-
- titre
- A framework for view-dependent hologram representation and adaptive reconstruction
- auteur
- Kartik Viswanathan, Patrick Gioia, Luce Morin
- article
- IEEE International Conference on Image Processing, ICIP 2015, IEEE, Sep 2015, Québec city, Canada
- Accès au texte intégral et bibtex
-
- titre
- Méthode d'analyse de la stabilité des régulations locales des producteurs décentralisés- Application aux régulations Q(U)
- auteur
- Marjorie Cosson, Hervé Guéguen
- article
- 2ème journée scientifique de l'Institut RISEGrid, Sep 2015, PALAISEAU, France
- Accès au bibtex
-
- titre
- Real-Time Low Power Software HEVC Decoder on Embedded GPP: A Side-by-Side Comparison
- auteur
- Erwan Raffin, Erwan Nogues, Morgan Lacour, Maxime Pelcat, Daniel Menard, Karol Desnos, Jean François Nezan
- article
- Design and Architectures for Signal and Image Processing (DASIP), Sep 2015, Cracow, Poland
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Power Evaluation of LTE Wireless Baseband Processing on FPGA
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- The 2015 Conference on Design and Architectures for Signal and Image Processing, Sep 2015, Cracovie, Poland. ⟨10.1109/dasip.2015.7367265⟩
- Accès au texte intégral et bibtex
-
- titre
- Implementation of a Fast Fourier Transform Algorithm onto a Manycore Processor
- auteur
- Julien Hascoet, Jean-Francois Nezan, Andrew Ensor, Benoit Dupont de Dinechin
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2015, Cracow, Poland. ⟨10.1109/dasip.2015.7367270⟩
- Accès au texte intégral et bibtex
-
- titre
- Voltage stability of distributed generators by means of discrete abstration.
- auteur
- Marjorie Cosson, Hervé Guéguen, Didier Dumur, Cristina Stoica Maniu, Vincent Gabrion, Gilles Malarange
- article
- IEEE Multi-Conference on Systems and Control (MSC), Sep 2015, SYDNEY, Australia. pp.195-200, ⟨10.1109/cca.2015.7320632⟩
- Accès au texte intégral et bibtex
-
- titre
- NetANPI: A network selection mechanism for LTE traffic offloading based on the Analytic Network Process
- auteur
- Ivan Marino Martinez Bolivar, Victor Ramos
- article
- 2015 36th IEEE Sarnoff Symposium, Sep 2015, Newark, United States. pp.117-122, ⟨10.1109/SARNOF.2015.7324654⟩
- Accès au texte intégral et bibtex
-
- titre
- Cognitive Radio Management Benefiting from Dynamic Partial Reconfiguration
- auteur
- Xiguang Wu, Jacques Palicot, Pierre Leray
- article
- 4th International Conference on Telecommunications and Remote Sensing, ICTRS 2015, Sep 2015, Rhodes, Greece
- Accès au bibtex
-
- titre
- Fault-tolerant minimal retransmission mechanism with network coding
- auteur
- Samih Abdul-Nabi, Philippe Mary, Jean-François Hélard, Ayman Khalil
- article
- 23rd International Conference on Software, Telecommunications on Computer Networks, SoftCOM 2015, Sep 2015, Split - Bol (Island of Brac), Croatia
- Accès au bibtex
-
- titre
- Application of time reversal principles to wireless communications: from single user SISO to multiple-user large scale MIMO scenarios
- auteur
- Maryline Hélard, Matthieu Crussière, Jean-Christophe Prévotet, Yvan Kokar
- article
- Softcom 2015, Sep 2015, Solta Croatia
- Accès au bibtex
-
- titre
- Improved physical layer for energy-efficient device to device communications over cellular networks
- auteur
- Ming Liu, Matthieu Crussière, Jean-François Hélard
- article
- SoftCom 2015 Proceedings of IEEE International Conference of Software Telecommunications and Computers Networks, Sep 2015, Split-Bol, Croatia. ⟨10.1109/softcom.2015.7314099⟩
- Accès au bibtex
-
- titre
- Measurements of Cardiac and Cardiopulmonary Activities Using Contactless Doppler Radar
- auteur
- Sarah El-Samad, Dany Obeid, Gheorghe Zaharia, Sawsan Sadek, Ghais El Zein
- article
- 2015 3rd International Conference on Advances in Biomedical Engineering (ICABME), Sep 2015, Beilut, Lebanon. ⟨10.1109/icabme.2015.7323285⟩
- Accès au texte intégral et bibtex
-
- titre
- Improved Physical Layer for Energy-Efficient M2M Communications over Cellular Networks
- auteur
- Ming Liu, Matthieu Crussière, Jean-François Hélard
- article
- 23rd International Conference on Software, Telecommunications and Computer Networks (SoftCOM), Sep 2015, Split, Croatia. pp.264--268
- Accès au bibtex
-
- titre
- Electrical characterization of Si nanostructures/SiO2 interfaces by field-effect conductance method
- auteur
- Brice Le Borgne, Maxime Thomas, Anne-Claire Salaün, Regis Rogel, Laurent Pichon
- article
- EMRS 2015 Fall Meeting, sept 2015, Warsaw, Poland, Sep 2015, Warsaw, Poland
- Accès au bibtex
-
- titre
- Timing correction technique for fast and accurate state-based performance models
- auteur
- Sébastien Le Nours
- article
- Forum on specification & Design Languages, Sep 2015, Barcelone, Spain. paper#54
- Accès au bibtex
-
- titre
- Décodeur Video HEVC basse consommation
- auteur
- Erwan Nogues, Erwan Raffin, Maxime Pelcat, Daniel Ménard
- article
- XXVème colloque GRETSI, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Eigenvalue-Based Spectrum SensingWith Two Receive Antennas
- auteur
- Hussein Kobeissi, Amor Nafkha, Yves Louët
- article
- GRETSI 2015, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Reconnaissance faciale basée sur les ondelettes robuste et optimisée pour les systèmes embarqués
- auteur
- Pascal Cotret, Stéphane Chevobbe, Mehdi Darouich
- article
- GRETSI, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Expression analytique de l’EVM pour les signaux multiporteuses : Impact conjoint des non-linéarités de l’amplificateur de puissance et de la fonction de prédistorsion
- auteur
- Ali Cheaito, Matthieu Crussière, Yves Louët, Jean-François Hélard
- article
- GRETSI 2015, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- L'optimalité de l'OFDM en termes de performance en PAPR
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval
- article
- 25ème Colloque Gretsi 2015, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Diminution du facteur de crête d’un signal multiporteuses par décomposition selon deux signaux, symétrique et antisymétrique
- auteur
- Mamadou Lamanara Diallo, Jacques Palicot, Faouzi Bader
- article
- 25ème Colloque GRETSI 2015, Sep 2015, Lyon, France. 4 p
- Accès au bibtex
-
- titre
- Reconstruction d'un clone de visage 3D à partir de patchs de forme
- auteur
- Jérôme Manceau, Catherine Soladie, Renaud Seguier
- article
- Colloque GRETSI 2015, Sep 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Estimation de canal MMSE pour la modulation OFDM/OQAM
- auteur
- Vincent Savaux, Yves Louët, Faouzi Bader
- article
- Colloque GRETSI'15, Sep 2015, Lyon, France. 4 p
- Accès au texte intégral et bibtex
-
- titre
- Allocation des Fréquences pour les Interconnexions RF dans un Réseau sur Puce Multi-Coeurs Massivement Parallèle
- auteur
- Eren Unlu, Christophe Moy
- article
- XXVe Colloque GRETSI 2015, Sep 2015, Lyon, France. 4 p
- Accès au bibtex
-
- titre
- Apprentissage machine orienté QoS pour l'accès opportuniste au spectre
- auteur
- Navikkumar Modi, Philippe Mary, Christophe Moy
- article
- XXVe Colloque GRETSI 2015, Sep 2015, Lyon, France. 4 p
- Accès au bibtex
-
- titre
- HF propagation in a complex maritime environments from an asymptotic approach validated from a based-MoM rigorous code
- auteur
- Christophe Bourlier, Gildas Kubicke, Philippe Pouliguen
- article
- 2015 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2015, Turin, Italy. pp.ICEAA SESS36-6, ⟨10.1109/iceaa.2015.7297149⟩
- Accès au bibtex
-
- titre
- Low Computational cost method for scattering of large cavities based on ACA compression of Iterative Physical Optics
- auteur
- Antoine Thomet, Gildas Kubicke, Christophe Bourlier, Philippe Pouliguen
- article
- 2015 International Conference on Electromagnetics in Advanced Applications (ICEAA), Sep 2015, Turin, Italy. pp.ICEAA SESS36-8, ⟨10.1109/iceaa.2015.7297105⟩
- Accès au bibtex
-
- titre
- Bactericidal efficiency of UV-active TiO2 thin films on adhesion and viability of food-borne bacteria
- auteur
- Marion Barthomeuf, Perrine Raymond, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- SafePork 2015, Sep 2015, Porto, Portugal. 4 pp
- Accès au bibtex
-
- titre
- Simple electromagnetic modeling scenarios emulating typical propagation channels for V2V communications
- auteur
- J Narrainen, Philippe Besnier, M Gatsinzi Ibambe
- article
- Antennas and Propagation in Wireless Communications (APWC), 2015 IEEE-APS Topical Conference on , Sep 2015, Turin, Italy. ⟨10.1109/APWC.2015.7300167⟩
- Accès au bibtex
-
- titre
- Reconfigurable antennas radiations using plasma Faraday cage
- auteur
- Oumar Alassane Barro, Mohamed Himdi, Olivier Lafond
- article
- International Conference on Electromagnetics in Advanced Applications (ICEAA 2015), Sep 2015, Turin, Italy. pp.545-549, ⟨10.1109/ICEAA.2015.7297175⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband Antenna Array for Real-Time Data and Video Transmission
- auteur
- Jean Marie Floc'H, Bilal El Jaafari, Ahmed El Sayed
- article
- EuMC 2015, Sep 2015, Paris, France
- Accès au bibtex
-
- titre
- SIW Multilayer Rotman Lens Antenna in the 24-GHz band
- auteur
- Karim Tekkouk, Mauro Ettorre, Ronan Sauleau
- article
- 45th European Microwave Conference (EuMC), Sep 2015, Paris, France. pp.1503--1506
- Accès au bibtex
-
- titre
- Characterization of GaN-based HEMTs as Varactor Diode Devices
- auteur
- Abdelaziz Hamdoun, Langis Roy, Mohamed Himdi, Olivier Lafond
- article
- 45th European Microwave Conference (EuMC), Sep 2015, Paris, France. pp.1268--1271
- Accès au bibtex
-
- titre
- Radio Wave Propagation Characterization Between Adjacent Decks on Board Ships
- auteur
- H Farhat, H Kdouh, C Brousseau, Gheorghe I. Zaharia, G Grunfelder, Ghais El Zein
- article
- Vehicular Technology Conference VTC2015-Fall, Sep 2015, Boston, United States. ⟨10.1109/VTCFall.2015.7391107⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermal model of skin-equivalent phantoms at 60 GHz
- auteur
- Carole Leduc, Maxim Zhadobov, Ronan Sauleau
- article
- 45th European Microwave Conference (EuMC), Sep 2015, Paris, France. pp.698--701
- Accès au bibtex
-
- titre
- Toward Optimal Bitrate Allocation in the Scalable HEVC Extension: Application to UHDTV
- auteur
- Thibaud Biatek, Wassim Hamidouche, Travers Jean-François, Olivier Déforges
- article
- International Conference on Consumer Electronics - Berlin, Sep 2015, Berlin, Germany. ⟨10.1109/ICCE-Berlin.2015.7391264⟩
- Accès au bibtex
-
- titre
- On-body propagation characterization with an H-plane Substrate Integrated Waveguide (SIW) horn antenna at 60 GHz
- auteur
- Solofo Razafimahatratra, Julien Sarrazin, Theodoros Mavridis, Luca Petrillo, Philippe de Doncker, Carole Leduc, Maxim Zhadobov, Ronan Sauleau, Aziz Benlarbi-Delai
- article
- Conference EuMW 2015, Sep 2015, Paris (Palais des Congrès), France
- Accès au texte intégral et bibtex
-
- titre
- Characterization of GaN-based HEMTs as Varactor Diode Devices
- auteur
- Abdelaziz Hamdoun, Langis Roy, Mohamed Himdi, Olivier Lafond
- article
- 10th European Microwave Integrated Circuits Conference (eumic), 2015, Sep 2015, Paris, France. pp.417--420
- Accès au bibtex
-
- titre
- Energy Efficiency-Spectral Efficiency Tradeoff in Interference-Limited Wireless Networks with Shadowing
- auteur
- Ahmad Mahbubul Alam, Philippe Mary, Jean-Yves Baudais, Xavier Lagrange
- article
- 2015 IEEE 82nd Vehicular Technology Conference: VTC2015-Fall, Sep 2015, Boston, United States. 5 p., ⟨10.1109/vtcfall.2015.7390917⟩
- Accès au bibtex
-
- titre
- Frequency agile antenna at 10 GHz with high optical transparency
- auteur
- Alexis Martin, Mohamed Himdi, Olivier Lafond, Xavier Castel
- article
- EuMW 2015 ‘European Microwave Week’, Sep 2015, Paris, France. 4 p
- Accès au bibtex
-
- titre
- An efficient policy for D2D communications and energy harvesting in cognitive radios: Go Bayesian!
- auteur
- Sumit Jagdish Darak, Honggang Zhang, Jacques Palicot, Christophe Moy
- article
- 23rd European Signal Processing Conference - EUSIPCO 2015, Aug 2015, Nice, France. ⟨10.1109/eusipco.2015.7362580⟩
- Accès au bibtex
-
- titre
- Investigations of Capacitively-Coupled Plasmas by Electrostatic Probe Technique
- auteur
- Patrick Verdonck, Ronaldo D. Mansano, Marcos Massi, Rodrigo S. Pessoa, Luis A. M. Barea, Tayeb M-Brahim, Homero S. Maciel, Giuseppe A. Cirino, Raul M. Castro, Marcelo B. Pisani
- article
- 30th Symposium on Microelectronics Technology and Devices (SBMicro 2015), Aug 2015, Salvador, Brazil. ⟨10.1109/SBMicro.2015.7298131⟩
- Accès au bibtex
-
- titre
- MISO Estimation of Asynchronously Mixed BPSK Sources
- auteur
- Vincent Gouldieff, Kostas Berberidis, Jacques Palicot
- article
- 23rd European Signal Processing Conference - EUSIPCO 2015, Aug 2015, Nice, France. ⟨10.1109/eusipco.2015.7362407⟩
- Accès au bibtex
-
- titre
- Fresnel Zone Plate Array Fabricated by Maskless Lithography
- auteur
- Luis A. Barea, Antonio A. Von Zuben, Tayeb M-Brahim, Arlindo N. Montagnoli, Michel Hospital, N. Frateschi, Giuseppe A. Cirino
- article
- 30th Symposium on Microelectronics Technology and Devices (SBMicro 2015), Aug 2015, Salvador, Brazil. ⟨10.1109/SBMicro.2015.7298155⟩
- Accès au bibtex
-
- titre
- Adaptation of the Pedagogical Approaches for master students in Microelectronics in the Frame of a French-Chinese Joint Program
- auteur
- O. Bonnaud, Xuefei Zhong
- article
- 30th Symposium on Microelectronics Technology and Devices (SBMicro 2015), Aug 2015, Salvador, Brazil. ⟨10.1109/SBMicro.2015.7298143⟩
- Accès au bibtex
-
- titre
- IEEE 802.11ac Multi-User MIMO Capacity and Impact of Antenna Array Geometry based on Indoor Measurements
- auteur
- Khouloud Issiali, Valery Guillet, Ghais El Zein, Gheorghe I. Zaharia
- article
- 2015 IEEE 26th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC), Aug 2015, Hong Kong, Taiwan. ⟨10.1109/pimrc.2015.7343393⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Traffic-Aware Radio Interconnect for a 2048-core Chip Multiprocessor
- auteur
- Eren Unlu, Christophe Moy
- article
- 18th Euromicro Conference on Digital Systems Design, DSD 2015, Aug 2015, Funcal, Madeira, Portugal. 7 p., ⟨10.1109/dsd.2015.10⟩
- Accès au bibtex
-
- titre
- Global throughput maximisation of a hybrid unicast-broadcast network for linear services
- auteur
- P.A Fam, Matthieu Crussière, Jean-François Hélard, P Bretillon, Stéphane Paquelet
- article
- IEEE ISWCS'2015 Proceedings of IEEE International Symposium on Wireless Communication, Aug 2015, Bruxelles, Belgium. pp.1-5, ⟨10.1109/iswcs.2015.7454316⟩
- Accès au bibtex
-
- titre
- Ultra-Fast Blind Equalization for OFDM: Principle and Steps Towards Implementation
- auteur
- Vincent Savaux, Malek Naoues, Faouzi Bader, Jacques Palicot
- article
- International Symposium on Wireless Communication Systems - ISWCS'15, IEEE, Aug 2015, Bruxelles, Belgium. ⟨10.1109/ISWCS.2015.7454419⟩
- Accès au bibtex
-
- titre
- Impact of Clipping on EVM of the Predistorted Non-Linear Amplified Multicarrier Signals
- auteur
- Ali Cheaito, Jean-François Hélard, Matthieu Crussière, Yves Louet
- article
- Twelfth International Symposium on Wireless Communication Systems (ISWCS'15), Aug 2015, Bruxelles, Belgium. 5 p., ⟨10.1109/ISWCS.2015.7454452⟩
- Accès au bibtex
-
- titre
- Hybrid Spectrum Sensing Experimental Analysis Using GNU radio and USRP for Cognitive Radio
- auteur
- Amor Nafkha, Malek Naoues, Krzysztof Cichoń, Adrian Kliks, Babar Aziz
- article
- International Symposium on Wireless Communication Systems (ISWCS), Aug 2015, Bruxelles, Belgium. 5 p., ⟨10.1109/iswcs.2015.7454395⟩
- Accès au bibtex
-
- titre
- Global Throughput Maximization of a Hybrid Unicast-Broadcast Network for Linear Services
- auteur
- Pape Abdoulaye Fam, Matthieu Crussière, Jean-François Hélard, Pierre Brétillon, Stéphane Paquelet
- article
- 12th International Symposium on Wireless Communication Systems 2015, Aug 2015, Bruxelles, Belgium. ⟨10.1109/ISWCS.2015.7454316⟩
- Accès au texte intégral et bibtex
-
- titre
- Source stirring analysis in a reverberation chamber based on modal expansion of the electric field
- auteur
- Emmanuel Amador, Philippe Besnier
- article
- Electromagnetic Compatibility (EMC), 2015 IEEE International Symposium on, Aug 2015, Dresden, Germany. ⟨10.1109/ISEMC.2015.7256201⟩
- Accès au bibtex
-
- titre
- Experimental validation of the Statistical Energy Analysis for coupled reverberant rooms
- auteur
- Louis Kovalevsky, Robin S Langley, Philippe Besnier, Jérôme Sol
- article
- Electromagnetic Compatibility (EMC), 2015 IEEE International Symposium on, Aug 2015, Dresden, Germany. ⟨10.1109/ISEMC.2015.7256221⟩
- Accès au bibtex
-
- titre
- Various estimations of composite Q-factor with antennas in a reverberation chamber
- auteur
- Philippe Besnier, Christophe Lemoine, Jérôme Sol
- article
- Electromagnetic Compatibility (EMC), 2015 IEEE International Symposium on, Aug 2015, Dresden, Germany. ⟨10.1109/ISEMC.2015.7256344⟩
- Accès au bibtex
-
- titre
- The controlled stratification method to estimate extreme quantiles in the field of EMC modelling
- auteur
- Mourad Larbi, Philippe Besnier, Bernard Pecqueux
- article
- Electromagnetic Compatibility (EMC), 2015 IEEE International Symposium on, Aug 2015, Dresden, Germany. ⟨10.1109/ISEMC.2015.7256182⟩
- Accès au bibtex
-
- titre
- Predictive Method for Efficient Transmission Lines and Multilayered Power/Ground Planes Co-Modeling Using Multi-Conductor Transmission Lines
- auteur
- Afef Bouchaala, Lionel Courau, Philippe Galy, Olivier Bonnaud
- article
- Joint IEEE International Symposium on Electromagnetic Compatibility (EMC) and EMC Europe, Aug 2015, Dresden, Germany. pp.577--581
- Accès au bibtex
-
- titre
- Fast generation of complex modulation video holograms using temporal redundancy compression and hybrid point-source/wave-field approaches
- auteur
- Antonin Gilles, Patrick Gioia, Rémi Cozot, Luce Morin
- article
- SPIE Optics + Photonics 2015, Aug 2015, San Diego, United States. ⟨10.1117/12.2187045⟩
- Accès au texte intégral et bibtex
-
- titre
- Miniaturized Bendable 400 MHz Artificial Magnetic Conductor
- auteur
- Anthony Presse, Anne-Claude Tarot
- article
- META 2015, 6th International Conference on Metamaterials, Photonic Crystals and Plasmonics, Aug 2015, New York, United States
- Accès au bibtex
-
- titre
- Equivalent Circuit Model for Designing of Double Concentric Squares AMC
- auteur
- Mohamad Mantash, Anthony Presse, Anne-Claude Tarot
- article
- META 2015, 6th International Conference on Metamaterials, Photonic Crystals and Plasmonics, Aug 2015, New York, United States
- Accès au bibtex
-
- titre
- Application of time reversal principles to wireless communications:from single user SISO to multiple-user large scale MIMO scenarios
- auteur
- Maryline Hélard, Matthieu Crussière, Yvan Kokar
- article
- Softcom 2015, Aug 2015, Bandung, France
- Accès au bibtex
-
- titre
- Radar LGA scattering above a sea surface in a stratified medium solved by physical optics
- auteur
- Dusan Cvetkovic, Christophe Bourlier, Nicolas Pinel
- article
- 2015 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Jul 2015, Milan, Italy. paper #8326, ⟨10.1109/igarss.2015.7326387⟩
- Accès au bibtex
-
- titre
- PolSAR-Ap: Exploitation of Fully Polarimetric SAR Data for Application Demonstration
- auteur
- I. Hajnsek, Yves-Louis Desnos, Ballester J., S.R. Cloude, T Jagdhuber, E. Colin-Koeniguer, C. Lopez-Martinez, Juan M. Lopez-Sanchez, Marino A., Migliaccio M., F Nunziata, K.P. Papathanassiou, M Pardini, G Parrella, E. Pottier, N Trouve
- article
- IGARSS 2015, Jul 2015, Milan, Italy
- Accès au bibtex
-
- titre
- Nanocomposites with graphene: towards electromagnetic applications
- auteur
- Kevin Rubrice, Mohamed Himdi, Xavier Castel, Patrick Parneix
- article
- ANM 2015 ‘1st International Conference on Graphene Technology’, Jul 2015, AVEIRO, Portugal. 1 page
- Accès au bibtex
-
- titre
- A Method to Obtain Current Distributions on Small Antennas with Optimum Directivity
- auteur
- Sébastien Clauzier, Said Mikki, Yahia Antar, Ala Sharaiha, Philippe Pouliguen
- article
- 2015 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jul 2015, Vancouver, Canada. ⟨10.1109/aps.2015.7305092⟩
- Accès au bibtex
-
- titre
- Optimizing Iterative Physical Optics by using an ACA compression on interaction matrices
- auteur
- Antoine Thomet, Gildas Kubicke, Christophe Bourlier, Philippe Pouliguen
- article
- 2015 IEEE International Symposium on Antennas and Propagation and North American Radio Science Meeting, Jul 2015, Vancouver, Canada. pp.#3653, ⟨10.1109/aps.2015.7305138⟩
- Accès au texte intégral et bibtex
-
- titre
- Kite sonde measurements of the evaporation duct at TAPS 2013
- auteur
- Sally Garrett, Jacques Claverie
- article
- IEEE AP-S and URSI Symposium, IEEE et URSI, Jul 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- The effect of coral lagoons on evaporation duct structure
- auteur
- Andy Kulessa, Jorg Hacker, Jacques Claverie, Hedley Hansen
- article
- IEEE AP-S and URSI Symposium, IEEE et URSI, Jul 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- A compact and high-gain Ka-band multibeam continuous transverse stub antenna
- auteur
- Mauro Ettorre, Francesco Foglia Manzillo, Massimiliano Casaletti, Ronan Sauleau, Nicolas Capet
- article
- IEEE APS, Jul 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- Transverse circular polarized bessel beam launchers for near-field applications, by using a RLSA with an inward Hankel aperture distributions
- auteur
- S. Pavone, M. Ettorre, M. Albani
- article
- 2015 USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Jul 2015, Vancouver, France. pp.170-170
- Accès au bibtex
-
- titre
- A Design Methodology for Impedance-Matched Electrically Small Parasitic Superdirective Arrays
- auteur
- Abdullah Haskou, Sylvain Collardey, Ala Sharaiha
- article
- 2015 IEEE International Symposium on Antennas and Propagation and North American Radio Science Meeting, Jul 2015, Vancouver, Canada. ⟨10.1109/aps.2015.7305315⟩
- Accès au bibtex
-
- titre
- Time Modulated Array for dual function radar and communication
- auteur
- Jérome Euziere, Régis Guinvarc'H, Israel Hinostroza, Bernard Uguen, Raphaël Gillard
- article
- 2015 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting , Jul 2015, Vancouver, Canada. pp 806-807, ⟨10.1109/APS.2015.7304790⟩
- Accès au bibtex
-
- titre
- Evaporation ducts observed during the TAPS 2013 campaign
- auteur
- Jacques Claverie, Yvonick Hurtaud, Christophe Periard, Vivien Pourret, Andy Kulessa
- article
- IEEE AP-S and URSI Symposium, IEEE et URSI, Jul 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- Efficient analysis of metasurfaces in a planar layered medium
- auteur
- David Gonzalez-Ovejero, Goutam Chattopadhyay, Stefano Maci
- article
- 2015 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jul 2015, Vancouver, France. pp.1082-1083
- Accès au bibtex
-
- titre
- Physical optics modeling of a pillbox as a beam forming network for a large imaging system in satellite communications
- auteur
- Guido Valerio, Mauro Ettorre, Tony Makdissy, Ronan Sauleau
- article
- USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Jul 2015, Vancouver, Canada. ⟨10.1109/usnc-ursi.2015.7303453⟩
- Accès au bibtex
-
- titre
- Influence of the refractivity profiles vertical resolution on the assessment of propagation effects within the Marine Surface Boundary Layer (MSBL)
- auteur
- Jacques Claverie
- article
- IEEE AP-S and URSI Symposium, IEEE et URSI, Jul 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- A Wide-Band Miniaturized Loaded Inverted L Antenna
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- 2015 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Jul 2015, Vancouver, Canada. ⟨10.1109/aps.2015.7305357⟩
- Accès au bibtex
-
- titre
- Dynamic Spectrum Access with Tunable Bandwidth for Multi-standard Cognitive Radio Receivers
- auteur
- Sumit Jagdish Darak, Christophe Moy, Honggang Zhang, Jacques Palicot
- article
- TSP 2015, Jul 2015, Berlin, Germany. 6 p., ⟨10.1109/TSP.2015.7296425⟩
- Accès au bibtex
-
- titre
- Performance of DSTM MIMO Systems in Continuously Changing Rayleigh Channel
- auteur
- Hui Ji, Gheorghe Zaharia, Jean-François Hélard
- article
- International Symposium of Signals, Circuits & Systems (ISSCS 2015), Jul 2015, Iasi, Romania. ⟨10.1109/isscs.2015.7203999⟩
- Accès au texte intégral et bibtex
-
- titre
- Mesure de la charge topologique d'un faisceau twisté à l'aide d'une roue ajourée
- auteur
- O Emile, Janine Emile, B Varis de Lesegno, L Pruvost, C Brousseau
- article
- Colloque sur les lasers et l'optique quantique, SFO, Jul 2015, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Sondes intégrées résonantes de lumière : Micro-résonateurs sur polymères couplés aux technologies et procédés issus de la chimie, des plasmas, de la micro-fluidique et de la biophysique
- auteur
- Bruno Bêche, Nolwenn Huby, Alain Moréac, Véronique Vié, Pascal Panizza, Hervé Lhermite, Francine Solal
- article
- Optique 2015, Jul 2015, Rennes, France. pp.62-63
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation de couches minces ferroélectriques de BaSrTiO 3 pour applications microondes reconfigurables
- auteur
- Kevin Nadaud, Caroline Borderon, Raphaël Renoud, Hartmut W. Gundel, Raphaël Gillard, Erwan Fourn
- article
- 19èmes Journées Nationales Microondes, Jul 2015, Bordeaux, France. pp.954-957
- Accès au texte intégral et bibtex
-
- titre
- Internationalization of a Chinese Bachelor in Microelectronics: a Way to Answer to the Future Economic Needs
- auteur
- Olivier Bonnaud
- article
- 26th EAEEIE 2015 Annual Conference (European Association for Education in Electrical and Information Engineering), Jul 2015, Copenhagen, Denmark
- Accès au bibtex
-
- titre
- Pillbox Antenna with Monopulse Technique and Wide Scanning Capabilities for Tracking Applications
- auteur
- Karim Tekkouk, Mauro Ettorre, Ronan Sauleau
- article
- 2015 IEEE International Symposium on Antennas and Propagation & Usnc/Ursi National Radio Science Meeting, Jul 2015, Vancouver, Canada. pp.673--674
- Accès au bibtex
-
- titre
- Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
- article
- Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
- Accès au bibtex
-
- titre
- Sub-Optimal Initialization for Blind Equalization with Fast Convergence in OFDM/OQAM Modulation
- auteur
- Vincent Savaux, Faouzi Bader
- article
- European Conference on Networks and Communications, EuCNC'15, Jun 2015, Paris, France. ⟨10.1109/eucnc.2015.7194029⟩
- Accès au bibtex
-
- titre
- Millimeter-wave electromagnetic field exposure from mobile terminals
- auteur
- A. R. Guraliuc, M. Zhadobov, R. Sauleau, L. Marnat, L. Dussopt
- article
- EuCNC 2015 - European Conference on Networks and Communications, Jun 2015, Paris, France. pp.82-85, ⟨10.1109/EuCNC.2015.7194045⟩
- Accès au bibtex
-
- titre
- A DVFS based HEVC decoder for energy-efficient software implementation on embedded processors
- auteur
- Erwan Nogues, Berrada Romain, Maxime Pelcat, Daniel Menard, Erwan Raffin
- article
- IEEE International Conference on Multimedia and Expo (ICME), Jun 2015, Torino, Italy. ⟨10.1109/ICME.2015.7177406⟩
- Accès au bibtex
-
- titre
- LOW POWER SOFTWARE HEVC DECODER DEMO FOR MOBILE DEVICES
- auteur
- Erwan Nogues, Morgan Lacour, Erwan Raffin, Maxime Pelcat, Daniel Menard
- article
- IEEE International Conference on Multimedia and Expo (ICME), Jun 2015, Torino, Italy
- Accès au texte intégral et bibtex
-
- titre
- Energy Storage Control with Aging Limitation
- auteur
- Pierre Haessig, Hamid Ben Ahmed, Bernard Multon
- article
- PowerTech 2015, IEEE, Jun 2015, Eindhoven, Netherlands. ⟨10.1109/PTC.2015.7232683⟩
- Accès au texte intégral et bibtex
-
- titre
- Make-it-Real-And-Anticirculating Orthogonal Space-Time Coding for MIMO OFDM/OQAM
- auteur
- Dinh-Thuy Phan-Huy, Pierre Siohan, Maryline Hélard
- article
- 16th International Workshop on Signal Processing Advances in Wireless Communications SPAWC, Jun 2015, Stockholm, Sweden. ⟨10.1109/spawc.2015.7227000⟩
- Accès au bibtex
-
- titre
- Active Noise Control with digital PDM MEMS mics
- auteur
- Erwan Nogues, Raphaël Le Bidan, Dominique Pastor
- article
- IEEE International Symposium on Consumer Electronics (ISCE), Jun 2015, Madrid, Spain. ⟨10.1109/ISCE.2015.7177788⟩
- Accès au bibtex
-
- titre
- Recent advances in the characterization of forest structure and biomass in air- and space-borne configurations using polarimetric SAR tomography
- auteur
- Laurent Ferro-Famil, S. Tebaldini, E. Pottier
- article
- DRAGON 3 Symposium, Jun 2015, Interlaken, Switzerland
- Accès au bibtex
-
- titre
- Research Progress of PolSAR Technology in IECAS
- auteur
- Hong W., Chen E., E. Pottier
- article
- DRAGON 3 Symposium, Jun 2015, Interlaken, Switzerland
- Accès au bibtex
-
- titre
- Forest Height and above Ground Biomass Extraction from Airborne X-InSAR and P-PolSAR Data
- auteur
- Chen E, Li Z., Tian X., Feng Q., Li L., Zhao L., E. Pottier
- article
- DRAGON 3 Symposium, Jun 2015, Interlaken, Switzerland
- Accès au bibtex
-
- titre
- Achieving a Desired Deterministic Upper Bounde PAPR value using a Fast Adaptive Clipping
- auteur
- Mamadou Lamanara Diallo, Jacques Palicot, Faouzi Bader
- article
- 11th Advanced International Conference on Telecommunications (AICT 2015), Jun 2015, Bruxelles, Belgium. 6 p
- Accès au bibtex
-
- titre
- Decreasing SAR and enhancing transmission of an in-body biotelemetry capsule by reducing the near-field coupling with surrounding tissues
- auteur
- D Nikolayev, Maxim Zhadobov, Ronan Sauleau, P.A. Chapon, E Blond, P Karban
- article
- BioEM 2015, Jun 2015, Monterey, United States
- Accès au bibtex
-
- titre
- First steps towards safe use of 5th generation cellular mobile networks
- auteur
- A.R. Guraliuc, Maxim Zhadobov, Ronan Sauleau, Loïc Marnat, Laurent Dussopt
- article
- BioEM 2015 Asilomar Conference Center, Jun 2015, Californie, United States
- Accès au bibtex
-
- titre
- Analytical thermal model of a human skin-equivalent phantom for dosimetric assesment in the 60-GHz band
- auteur
- C Leduc, Maxim Zhadobov, Ronan Sauleau
- article
- BioEM 2015, Jun 2015, Monterey, United States
- Accès au bibtex
-
- titre
- Study of potential millimeter-wave-induced modifications in protein expression and neurite outgrowth of PC12 cells during neuronal differentiation
- auteur
- A Haas, Yann Le Page, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan
- article
- BioEM 2015, Jun 2015, Monterey, United States
- Accès au bibtex
-
- titre
- The Multidisciplinary Approach: a common trend for ULSI and Thin Film Technology
- auteur
- Olivier Bonnaud
- article
- ULSI VS TFT 2015, Jun 2015, Lake Tahoe, United States
- Accès au bibtex
-
- titre
- A Novel RSU-coordinated Multi-channel MAC for Vehicular Ad Hoc Networks
- auteur
- Xiaohuan Li, Bin-Jie Hu, Guillaume Andrieux
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Widely linear sphere decoding by exploiting the hidden properties of PSK signals
- auteur
- Yuehua Ding, Nanxi Li, Yide Wang, Suili Feng
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Energy and spectral tradeoff for uncoded system with MQAM modulation
- auteur
- Randa Jaouadi, Guillaume Andrieux, Jean-Yves Baudais, Jean-François Diouris
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Energy Consumption Improvement of OOK Transmitter Based on Minimum Energy Coding
- auteur
- Yue Peng, Guillaume Andrieux, Jean-François Diouris
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Performance of Linear Equalizers for OFDM System with Reception Diversity over Doubly Selective Channels
- auteur
- Weiqian Pan, Hua Yu, Yide Wang, Jun Zhang, Fei Ji, Fangjiong Chen
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- An adaptive trilateration localization algorithm based on RSSI model
- auteur
- Jinze Du, Yide Wang, Jean-François Diouris
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- An Improved D-S Theory Cooperative Spectrum Sensing Algorithm in Cognitive Wireless Sensor Networks
- auteur
- Shaoyang Men, Pascal Chargé, Sébastien Pillement
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- High-order Cumulant-based MUSIC for Near-field Source Localization
- auteur
- Jianzhong Li, Yide Wang, Meng Sun, Cédric Le Bastard, Gang Wei, Biyun Ma
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Analysis of two LUT structures in digital predistortion based on memory polynomial and LUT
- auteur
- Xiaowen Feng, Yide Wang, Anne-Sophie Descamps, Bruno Feuvrie
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Road parameters estimation By GPR using ESPRIT method
- auteur
- Meng Sun, Yide Wang, Jianzhong Li, Cédric Le Bastard, Nicolas Pinel
- article
- The third Sino-French Workshop on Information and Communication Technologies (SIFWICT 2015), Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Communicating and smart objects: Multidisciplinary topics for the innovative education in microelectronics and its applications
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- 2015 International Conference on Information Technology Based Higher Education and Training (ITHET), Jun 2015, Lisbon, Portugal. pp.1-5
- Accès au bibtex
-
- titre
- Chaos-based Confidentiality : Chaotic generators, and Chaos-based-Cryptosystems
- auteur
- Safwan El Assad
- article
- Global Summit on Computer & Information Technology, Jun 2015, Sousse, Tunisia. Keynote Speaker GSCIT 2015
- Accès au bibtex
-
- titre
- Difference of pedagogical approaches for Chinese and French master students in a French-Chinese microelectronics joint master diploma
- auteur
- Olivier Bonnaud
- article
- 2015 International Conference on Information Technology Based Higher Education and Training (ITHET), Jun 2015, Lisbon, Portugal. pp.1-4
- Accès au bibtex
-
- titre
- Méthode hybride AKI-ACA pour l’étude de la diffusion électromagnétique d’un faisceau Gaussien par une surface rugueuse
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- Journées Scientifiques ENVIREM 2015 : L’Environnement ElectroMagnétique des radars à l’horizon 2020 : quels enjeux en termes de modélisation et moyens de mesures ?, Jun 2015, Gif s/Yvette, France
- Accès au bibtex
-
- titre
- Simulation de la rétrodiffusion radar du manteau neigeux. Comparaison avec les données d'un radar SOL et TSX (projet NoSREx)
- auteur
- Michel Gay, Xuan-Vu Phan, Laurent Ferro-Famil, Fatima Karbou, Yves Durand, Alexandre Girard, Guy D 'Urso
- article
- L’Environnement ElectroMagnétique des radars à l’horizon 2020 : quels enjeux en termes de modélisation et moyens de mesures ?, Jun 2015, Gif sur Yvette, France
- Accès au texte intégral et bibtex
-
- titre
- Apport des modèles numériques de prévision météorologique à la prédiction de performances radar
- auteur
- Jacques Claverie, Yvonick Hurtaud
- article
- ENVIREM 2015, ONERA et Centrale-Supélec, Jun 2015, Gif sur Yvette, France
- Accès au texte intégral et bibtex
-
- titre
- Diffusion microondes cohérente par une surface de mer dans un milieu stratifié par une approche asymptotique
- auteur
- Dusan Cvetkovic, Christophe Bourlier, Nicolas Pinel
- article
- Journées Scientifiques ENVIREM 2015 : L’Environnement ElectroMagnétique des radars à l’horizon 2020 : quels enjeux en termes de modélisation et moyens de mesures ?, Jun 2015, Gif s/Yvette, France
- Accès au bibtex
-
- titre
- Upper Confidence Bound Learning Approach for Real HF Measurements
- auteur
- Laura Melian-Gutierrez, Navikkumar Modi, Christophe Moy, Iván Perez-Alvarez, Carlos Faouzi Bader, Santiago Zazo
- article
- IEEE International Conference on Communications - ICC'15, Jun 2015, Londres, United Kingdom. ⟨10.1109/iccw.2015.7247209⟩
- Accès au bibtex
-
- titre
- Preserving fairness in super dense WLANs
- auteur
- Imad Jamil, Laurent Cariou, Jean-François Hélard
- article
- ICC2015 Proceedings of IEEE International Conference on Communications, Jun 2015, Londres United Kingdom. pp.2276-2281, ⟨10.1109/ICCW.1015.7247520⟩
- Accès au bibtex
-
- titre
- A robust cooperative spectrum sensing method against faulty nodes in CWSNs
- auteur
- Shaoyang Men, Pascal Chargé, Sébastien Pillement
- article
- 2015 IEEE International Conference on Communication Workshop (ICCW), Jun 2015, Londres, United Kingdom. paper #1570088505, ⟨10.1109/iccw.2015.7247201⟩
- Accès au bibtex
-
- titre
- Approche de la miniaturisation d’antennes par la mise en œuvre de matériaux magnéo-diélectriques semi-denses et faibles pertes.
- auteur
- Emmanuel Le Guen, Jean-Luc Mattei, Thierry Le Gouguec, Anne-Claude Tarot
- article
- Journées Nationales Microondes 2015, Jun 2015, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Cellule triple-fente active pour application réseau réflecteur
- auteur
- Kevin Nadaud, Raphaël Gillard, Erwan Fourn, Caroline Borderon, Hartmut W. Gundel
- article
- 19èmes Journées Nationales Microondes, Jun 2015, Bordeaux, France. pp.396-399
- Accès au texte intégral et bibtex
-
- titre
- Optimisation par technique source-pull du rendement d'un redresseur doubleur de tension pour faibles puissances à 900 MHz
- auteur
- Jérôme Tissier, Aya Mabrouki, Mohamed Latrach, Zoya Popovic
- article
- 19èmes Journées Nationales Microondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Modèle thermique de fantôme équivalent pour les études dosimétriques dans la bande 60 GHz
- auteur
- C Leduc, Maxim Zhadobov, Ronan Sauleau
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Conception et caractérisation expérimentale d'une antenne à réseau transmetteur avec distance focale réduite
- auteur
- Luca Di Palma, A. Clemente, Laurent Dussopt, Ronan Sauleau, P. Potier, Philippe Pouliguen
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Apprentissage machine pour l'accès dynamique au spectre : de la théorie au prototypage sur signaux radio réels
- auteur
- Christophe Moy
- article
- Journée DGA-MI "Applications radio sur plate-forme SDR", Jun 2015, Bruz, France
- Accès au texte intégral et bibtex
-
- titre
- Schéma général d’hybridation de méthodes numériques d’analyse électromagnétique pour simuler des problèmes d’antennes environnées embarquées sur plate-forme
- auteur
- Benoît Le Lepvrier, Renaud Loison, Raphaël Gillard, Philippe Pouliguen, Patrick Potier, Romain Contreres, Laurent Patier, Maxime Romier
- article
- Journées Nationales Micro-ondes, Jun 2015, Talence, France
- Accès au texte intégral et bibtex
-
- titre
- Réseau d'antennes CTS pour applications satellitaires en bande Ka
- auteur
- Mauro Ettorre, Francesco Foglia Manzillo, Massimiliano Casaletti, Ronan Sauleau, Nicolas Capet
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Optimisation de la géométrie de dièdres absorbants pour une meilleure performance en incidence normale et oblique
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Philippe Pouliguen
- article
- 19èmes Journées Nationales Microondes, JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Ajustements statistiques pour l'étude du canal off-body en configuration NLoS à 2,4 GHz
- auteur
- Rizwan Masood, Christian Person, Ronan Sauleau, Patrice Pajusco
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France, Jun 2015, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- A Test Bed for Hybrid Broadcast Broadband Services
- auteur
- Jean Le Feuvre, Nguyen Viet-Than-Trung, Wassim Hamidouche, Marchal Patrick, Dupain Pascal
- article
- Media Synchronization Workshop (MediaSync) 2015, Jun 2015, Brussels, Belgium
- Accès au bibtex
-
- titre
- Antenne à réseau transmetteur reconfigurable en polarisation circulaire droit/gauche en bande Ka
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, P. Potier, Philippe Pouliguen
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Antennes optiquement transparentes et agiles en fréquence pour application en bande X
- auteur
- Alexis Martin, Xavier Castel, Olivier Lafond, Mohamed Himdi
- article
- JNM 2015 “19èmes Journées Nationales Microondes”, Jun 2015, Bordeaux, France. 4 p
- Accès au bibtex
-
- titre
- Antenne Dipôle à Polarisation Circulaire Reconfigurable pour les Communications sans fils
- auteur
- Bilal El Jaafari, Jean Marie Floc'H, Imen Ben Trad
- article
- JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Etude comparative de différents fantômes de l'humain en bandes HF et VHF
- auteur
- Jeanne Frère, Maxim Zhadobov, Ronan Sauleau, Christophe Lemoine, Alain Alcaras, Gwenaël Le Cadre
- article
- Journées Nationales Microondes JNM 2015, Jun 2015, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Rectenna 1,84 GHz à rendement optimisé par technique source-pull, pour des applications de récupération d'énergie ambiante
- auteur
- Jérôme Tissier, Mohamed Latrach, Zoya Popovic
- article
- 19èmes Journées Nationales Microondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Conception et optimisation d'antennes RLSA focalisantes en champ proche
- auteur
- Mauro Ettorre, Massimiliano Casaletti, Ronan Sauleau, S.C. Pavone, Matteo Albani
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Antenne à ondes de fuite reconfigurable à l'aide de matériaux ferroélectriques
- auteur
- Fatou Cissé, Yonathan Corredores, Xavier Castel, Ronan Sauleau, Stéphanie Députier, Valérie Bouquet, Maryline Guilloux-Viry
- article
- JNM 2015 “19èmes Journées Nationales Microondes”, Jun 2015, Bordeaux, France. 4 p
- Accès au bibtex
-
- titre
- Impact de la méthode d'alimentation d'antennes planaires sur les interactions avec le corps humain à 60 GHz
- auteur
- C Leduc, Maxim Zhadobov, Nacer Chahat, Ronan Sauleau
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Antenne Compacte et large Bande Pour des Applications Mobiles Réalisée en Impression 3D
- auteur
- Jean Marie Floc'H, Bilal El Jaafari, Ahmed El Sayed
- article
- JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Analyse électromagnétique rigoureuse et rapide d'un problème multi-échelle et variable de transmission entre deux antennes ULB
- auteur
- Zakaria Guelilia, Renaud Loison, Raphaël Gillard
- article
- Journées Nationales Micro-ondes, Jun 2015, Talence, France
- Accès au texte intégral et bibtex
-
- titre
- Nouvelle méthode de caractérisation des matériaux en environnement non anéchoïque
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Razvan D. Tamas, Philippe Pouliguen
- article
- 19èmes Journées Nationales Microondes, JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Etude et conception d'une Antenne Patch Carré Reconfigurable en fréquence pour les systèmes de télécommunications multistandards
- auteur
- Ines Rouissi, Jean Marie Floc'H, Hatem Rmili, H Trabelsi
- article
- JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Synthèse d'antennes réseaux raréfiés à ondes de fuite
- auteur
- Francesco Scattone, Mauro Ettorre, Benjamin Fuchs, Ronan Sauleau, N.J.G. Fonseca
- article
- Dix-neuvièmes Journées Nationales Micro-ondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Miniturisation d'un conducteur magnétique artificiel à l'aide de capacités interdigitées en bande UHF
- auteur
- Anthony Presse, Mohamad Mantash, Anne-Claude Tarot
- article
- Journnées Nationales Micro-Ondes 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Propagation Radar au-dessus d'une surface de mer rugueuse dans un milieu stratifié avec l'optique physique
- auteur
- Dusan Cvetkovic, Christophe Bourlier, Nicolas Pinel
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France. paper ID 9162
- Accès au bibtex
-
- titre
- Méthode rigoureuse PILE-ACA pour résoudre le problème de la diffraction EM par deux objets diélectriques imbriqués
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France. paper ID 9127
- Accès au bibtex
-
- titre
- Implémentation d'une boucle cartésienne numérique pour émetteur UHF radiologicielle
- auteur
- Daouda Ndong, Sylvain Reed, Jean-François Diouris, Bruno Feuvrie
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France. paper ID 9380
- Accès au bibtex
-
- titre
- Méthode rigoureuse EPILE hybridée à la FBSA et l'ACA pour le calcul rapide de la diffusion électromagnétique par une cible au-dessus d'une mer 1D
- auteur
- Gildas Kubicke, Christophe Bourlier, Sami Bellez
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France. paper ID 9028
- Accès au bibtex
-
- titre
- Optimisation de la méthode IPO par compression ACA pour des calculs de SER de cavités
- auteur
- Antoine Thomet, Gildas Kubicke, Christophe Bourlier, Philippe Pouliguen
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France. paper ID 9089
- Accès au bibtex
-
- titre
- Un répéteur passif utilisant un réflecteur plan rainuré pour les communications indoor à 60GHz
- auteur
- Duo Wang, Raphaël Gillard, Renaud Loison
- article
- JNM 2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Répartition de diffuseurs pour l'ajustement des caractéristiques d'un canal de propagation simulé dans un contexte V2V
- auteur
- J Narrainen, Philippe Besnier, M Gatsinzi Ibambe
- article
- Journées Nationales Microondes, Jun 2015, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Ligne de transmission multi-accès dans un contexte de réseau sur puce
- auteur
- Mohamad Hamieh, Myriam Ariaudo, Sébastien Quintanel, Yves Louët
- article
- JNM2015, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Mousses de verre recyclées pour des applications en hyperfréquence
- auteur
- Ratiba Benzerga, Vincent Laur, Ronan Lebullenger, L. Le Gendre, Patrick Queffelec, Ala Sharaiha
- article
- 19èmes Journées Nationales Microondes, Jun 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- Identifiabilité structurelle et identification de systèmes couplés par les sorties
- auteur
- Safa Jedidi, Romain Bourdais, Jean Buisson, Marie-Anne Lefebvre
- article
- JDMACS 2015, Jun 2015, Bourges, France
- Accès au texte intégral et bibtex
-
- titre
- Predictive Method for Efficient Package Power Distribution Networks Modeling using Multiconductor Transmission Lines
- auteur
- A Bouchaala, Lionel Courau, Philippe Galy, Olivier Bonnaud
- article
- APEMC'2015, May 2015, Taiwan, China
- Accès au bibtex
-
- titre
- Mini-NOVA: A Lightweight ARM-based Virtualization Microkernel Supporting Dynamic Partial Reconfiguration
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- 2015 IEEE International Parallel and Distributed Processing Symposium Workshops, May 2015, Hyderabad, India. pp.71-80, ⟨10.1109/ipdpsw.2015.72⟩
- Accès au texte intégral et bibtex
-
- titre
- Fault-aware configurable logic block for reliable reconfigurable FPGAs
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Stanislaw J. Piestrak
- article
- IEEE International Symposium on Circuits & Systems, May 2015, Lisbonne, Portugal. pp.2732-2735, ⟨10.1109/iscas.2015.7169251⟩
- Accès au texte intégral et bibtex
-
- titre
- Flexible PZT/aluminium thin films characterizations for energy harvesting at very low frequencies (around 1 Hz)
- auteur
- Raynald Seveno, Benoit Guiffard, Thibault Dufay, Jean-Christophe Thomas
- article
- ISAF 2015, May 2015, Singapour, Singapore. ⟨10.1109/ISAF.2015.7172677⟩
- Accès au bibtex
-
- titre
- A Dynamically Reconfigurable RF NoC for Many-Core
- auteur
- Alexandre Brière, Eren Unlu, Julien Denoulet, Andrea Pinna, Bertrand Granado, Francois Pêcheux, Yves Louët, Christophe Moy
- article
- ACM Great Lakes Symposium on VLSI, May 2015, Pittsburgh, United States. pp.139-144, ⟨10.1145/2742060.2742082⟩
- Accès au texte intégral et bibtex
-
- titre
- Linear Precoder Performance for Massive MIMO Systems in near LOS Environments: Application to mmWave Transmission
- auteur
- Antoine Rozé, Maryline Hélard, Matthieu Crussière, Charlotte Langlais
- article
- EW 2015 : 21th European Wireless Conference, May 2015, Budapest, Hungary. pp.1 - 6
- Accès au bibtex
-
- titre
- Towards multidisciplinarity for microelectronics education: a strategy of the French national network
- auteur
- Olivier Bonnaud, Laurent Fesquet
- article
- International Conference on Microelectronic Systems Education (MSE'15), May 2015, Pittsburgh, PA, United States. pp.1-4
- Accès au bibtex
-
- titre
- Energy Efficiency of a Parallel HEVC Software Decoder for Embedded Devices
- auteur
- Erwan Raffin, Wassim Hamidouche, Erwan Nogues, Maxime Pelcat, Daniel Menard, Tomperi Seppo
- article
- Computing Frontiers, May 2015, Ischia, Italy. pp.62:1--62:6, ⟨10.1145/2742854.2747286⟩
- Accès au bibtex
-
- titre
- A modified HEVC decoder for low power decoding
- auteur
- Erwan Nogues, Erwan Raffin, Maxime Pelcat, Daniel Menard
- article
- 12th ACM International Conference on Computing Frontiers, May 2015, Ischia, France. ⟨10.1145/2742854.2747284⟩
- Accès au bibtex
-
- titre
- Reducing Power Consumption by Switching between Serial Mode and Parallel Mode
- auteur
- Xiguang Wu, Jacques Palicot, Pierre Leray
- article
- 1st URSI Atlantic Radio Science Conference - URSI AT-RASC 2015, May 2015, Gran Canaria, Spain
- Accès au bibtex
-
- titre
- DSA with Reinforcement Learning in the HF band
- auteur
- Laura Melian-Gutierrez, Navikkumar Modi, Christophe Moy, Iván Perez-Alvarez, Carlos Faouzi Bader, Santiago Zazo
- article
- URSI Atlantic Radio Science Conference, AT-RASC 2015, May 2015, Gran Canaria, Spain. ⟨10.1109/ursi-at-rasc.2015.7302978⟩
- Accès au bibtex
-
- titre
- 1.84 GHz rectenna optimized by source-pull techniques, for ambient RF energy harvesting applications
- auteur
- Jérôme Tissier, Mohamed Latrach, Zoya Popovic
- article
- 1st URSI Atlantic Radio Science Conference (URSI AT-RASC), May 2015, Gran Canaria, Spain
- Accès au bibtex
-
- titre
- Efficiency optimization of a compact low-power voltage doubler rectifier using source-pull techniques
- auteur
- Jérôme Tissier, Mohamed Latrach, Zoya Popovic
- article
- 1st URSI Atlantic Radio Science Conference (URSI AT-RASC), May 2015, Las Palmas, Spain. ⟨10.1109/ursi-at-rasc.2015.7303005⟩
- Accès au bibtex
-
- titre
- Une méthode de fusion d’images multi-spectrales et panchromatiques, basée ihs, efficace par considération de la réponse spectrale du capteur worldview-2
- auteur
- Miloud Chikr El-Mezouar, F. Meskine, Nasreddine Taleb, Kidiyo Kpalma
- article
- Colloque international TELECOM’2015 & 9ème JFMMA, May 2015, Meknès, Morocco
- Accès au bibtex
-
- titre
- Comparaison entre les critères fonctionnel et électrique pour l’immunité électromagnétique conduite des mémoires EEPROM
- auteur
- Mohamed Amellal, Ali Ahaitouf, Mohamed Ramdani, Richard Perdriau
- article
- Colloque International TELECOM'2015 & 9èmes JFMMA, May 2015, Meknès, Maroc
- Accès au bibtex
-
- titre
- L’immunité des circuits intégrés au-delà de 1 GHz
- auteur
- Sjoerd Op 'T Land, Mohamed Ramdani
- article
- Colloque International TELECOM'2015 & 9èmes JFMMA, May 2015, Meknès, Maroc
- Accès au bibtex
-
- titre
- Antenna selection in a SIMO architecture for HF radio links
- auteur
- Yvon Erhel, Dominique Lemur, Martial Oger, Jérôme Le Masson
- article
- Ionospheric Effects Symposium IES 2015, May 2015, Alexandria (Va), United States. pp 3B14-3B21
- Accès au bibtex
-
- titre
- Preamble-Based LMMSE Channel Estimation in OFDM/OQAM Modulation
- auteur
- Ludovic Caro, Vincent Savaux, Yves Louët, Moïse Djoko-Kouam, Denys Boiteau
- article
- 81st Vehicular Technology Conference (VTC Spring), May 2015, Glasgow, United Kingdom. ⟨10.1109/vtcspring.2015.7146025⟩
- Accès au bibtex
-
- titre
- EVM Derivation for Multicarrier Signals: Joint Impact of Non-Linear Amplification and Predistortion
- auteur
- Ali Cheaito, Matthieu Crussière, Yves Louët, Jean-François Hélard
- article
- 2015 IEEE 81ST VEHICULAR TECHNOLOGY CONFERENCE (VTC SPRING), IEEE, May 2015, Glasgow, United Kingdom. 6 p., ⟨10.1109/vtcspring.2015.7145831⟩
- Accès au bibtex
-
- titre
- Growth and characterization of ferroelectric thin films for high frequency agile devices
- auteur
- Maryline Guilloux-Viry, Anne Waroquet, Fatou Cissé, Arnaud Le Febvrier, Valérie Demange, Stéphanie Députier, Valérie Bouquet, Xavier Castel, Ratiba Benzerga, Ronan Sauleau, Brice Gautier
- article
- E-MRS 2015 Spring Meeting ‘European Material Research Society’, May 2015, Lille, France. 1 page
- Accès au bibtex
-
- titre
- Low loss tunable devices by doping of ferroelectric films and 2D localization
- auteur
- Fatou Cissé, Yonathan Corredores, Arnaud Le Febvrier, Xavier Castel, Ronan Sauleau, Stéphanie Députier, Valérie Bouquet, Ratiba Benzerga, Maryline Guilloux-Viry
- article
- E-MRS 2015 Spring Meeting “European Material Research Society”, May 2015, Lille, France. 1 page
- Accès au bibtex
-
- titre
- Bactericidal efficiency of UV-active TiO2 thin films on adhesion and viability of pathogenic bacteria
- auteur
- Marion Barthomeuf, Perrine Raymond, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- E-MRS 2015 Spring Meeting “European Material Research Society”, May 2015, Lille, France. 1 page
- Accès au bibtex
-
- titre
- Individual carrier multiple peaks tone reservation based PAPR reduction algorithms
- auteur
- Ralph Mounzer, Matthieu Crussière, Youssef Nasser, Jean-François Hélard
- article
- VTC2015-Spring Proceedings of IEEE Vehicular Technology Conference, May 2015, Glasgow, United Kingdom. pp.1-6
- Accès au bibtex
-
- titre
- Deposition of strontium and tantalum-based oxide and oxynitride perovskite thin films
- auteur
- Simon Jacq, Laurent Le Gendre, Claire Le Paven-Thivet, Ratiba Benzerga, Franck Tessier, François Cheviré, Ala Sharaiha
- article
- European Materials Research Society - EMRS 2015, May 2015, Lille, France
- Accès au bibtex
-
- titre
- Performance Analysis of Time-Reversal Based Precoding Schemes in MISO-OFDM Systems
- auteur
- Mohamad Maaz Maaz, Maryline Hélard, Philippe Mary, Ming Liu
- article
- IEEE 81st Vehicular Technology Conference VTC2015-Spring, May 2015, Glasgow, United Kingdom. ⟨10.1109/vtcspring.2015.7146002⟩
- Accès au bibtex
-
- titre
- Pilot Adaptation for Broadband LTE-Like FBMC System in PMR Band
- auteur
- Vincent Savaux, Faouzi Bader
- article
- Vehicular Technology Conference 2015, May 2015, Glasgow, United Kingdom. 6 p., ⟨10.1109/vtcspring.2015.7146016⟩
- Accès au bibtex
-
- titre
- Large scale and wide band dielectric characterization of oxide and oxynitride strontium and tantalum-based perovskite ceramics and thin films
- auteur
- Simon Jacq, Claire Le Paven-Thivet, A. Ferri, Vincent Laur, Ratiba Benzerga, Laurent Le Gendre, S. Saitzek, R. Desfeux, Franck Tessier, François Cheviré, Ala Sharaiha
- article
- European Materials Research Society - EMRS 2015, May 2015, Lille, France
- Accès au bibtex
-
- titre
- Impact of EIRP Constraint on MU-MIMO 802.11ac Capacity Gain in Home Networks
- auteur
- Khouloud Issiali, Valéry Guillet, Ghaïs El Zein, Gheorghe I. Zaharia
- article
- Mediterranean Conference on Information & Communication Technologies (MEDICT) 2015, May 2015, Saïdia, Morocco. pp.75-84, ⟨10.1007/978-3-319-30301-7_9⟩
- Accès au texte intégral et bibtex
-
- titre
- Eco-Radio Intelligente
- auteur
- Christophe Moy, Jacques Palicot
- article
- Journée Eco-Radio du GdR ISIS, May 2015, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Méthodologie d'estimation de la puissance dynamique de systèmes de communications numériques sans fils pour cible FPGA
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- GDR ISIS, May 2015, Paris, France
- Accès au bibtex
-
- titre
- Optimisation technologique de transistors pour le développement de microcapteurs chimiques nano-fonctionnalisés
- auteur
- L. Donero, F. Le Bihan, L. Le Brizoual, Régis Rogel, A.A. El Mel, P.Y. Tessier
- article
- JNRDM 2015, May 2015, Bordeaux, France
- Accès au bibtex
-
- titre
- A slot-patch cell with low phase distortion for large band reflectarrays
- auteur
- Hassan Salti, Raphaël Gillard
- article
- International conference on Digital Information and Communication Technology and Its Applications, Apr 2015, Beyrouth, Lebanon
- Accès au bibtex
-
- titre
- Toward a statistical understanding of reflectarrays' bandwidth
- auteur
- Hassan Salti, T Selmi, Raphaël Gillard
- article
- 5th International Conference on Digital Information and Communication Technology and its Applications (DICTAP), Apr 2015, Beyrouth, Lebanon. ⟨10.1109/dictap.2015.7113198⟩
- Accès au bibtex
-
- titre
- Impact of Antennas and Correlated Propagation Channel on BD Capacity Gain for 802.11ac Multi-User MIMO in Home Networks
- auteur
- Khouloud Issiali, Valéry Guillet, Ghaïs El Zein, Gheorghe Zaharia
- article
- The International Conference on WIreless Technologies embedded and intelligent Systems (WITS 2015), Apr 2015, Fez, Morocco
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Power Estimation of FPGA-based Wireless Communication Systems
- auteur
- Jordane Lorandel, Jean-Christophe Prévotet, Maryline Hélard
- article
- The International Conference on WIreless Technologies, embedded and intelligent Systems WITS-2015, Apr 2015, Fès, Morocco
- Accès au texte intégral et bibtex
-
- titre
- An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform
- auteur
- Tian Xia, Jean-Christophe Prévotet, Fabienne Nouvel
- article
- Mediterranean Telecommunication Journal, Apr 2015, Fez, Morocco. pp.109-115
- Accès au texte intégral et bibtex
-
- titre
- FFT windows offset correction for OFDM receiver
- auteur
- Thomas Larhzaoui, Fabienne Nouvel, Jean-Yves Baudais
- article
- The International Conference on Wireless Technologies Embedded and Intelligent Systems (WITS), Apr 2015, Fez, Morocco
- Accès au bibtex
-
- titre
- Enhancement using chaos of a Steganography method in DCT domain
- auteur
- Milia Habib, Bassem Bakhache, Dalia Battikh, Safwan El Assad
- article
- 5th International Conference on Digital Information and Communication Technology and its Applications (DICTAP), Apr 2015, Beyrouth, Lebanon. pp. 204-209/paper ID 212, ⟨10.1109/dictap.2015.7113200⟩
- Accès au bibtex
-
- titre
- Practice-oriented pedagogical strategy of the French microelectronics and nanotechnologies network
- auteur
- Olivier Bonnaud
- article
- WSEAS'2015, Apr 2015, Kuala Lumpur, Malaysia
- Accès au bibtex
-
- titre
- A MULTI-SLICE MODEL OBSERVER FOR MEDICAL IMAGE QUALITY ASSESSMENT
- auteur
- Lu Zhang, Christine Cavaro-Ménard, P Le Callet, D Ge
- article
- ICASSP 2015 : International Conference on Acoustics, Speech, and Signal Processing, Apr 2015, Brisbane, Australia
- Accès au texte intégral et bibtex
-
- titre
- Selective video encryption using chaotic system in the SHVC extension
- auteur
- Wassim Hamidouche, Mousa Farajallah, Mickael Raulet, Olivier Deforges, Safwan El Assad
- article
- 2015 IEEE 40th International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2015, Brisbane, Australia. pp. 1762-1766, ⟨10.1109/icassp.2015.7178273⟩
- Accès au bibtex
-
- titre
- Buffer Merging Technique for Minimizing Memory Footprints of Synchronous Dataflow Specifications
- auteur
- Karol Desnos, Maxime Pelcat, Jean-François Nezan, Slaheddine Aridhi
- article
- International Conference on Acoustics, Speech and Signal Processing (ICASSP), Apr 2015, Brisbane, Australia. pp.1111-1115, ⟨10.1109/icassp.2015.7178142⟩
- Accès au texte intégral et bibtex
-
- titre
- COGNITIVE RADIO: AN ENABLER FOR DIGITAL MANUFACTURING
- auteur
- Christophe Moy
- article
- CEFIPRA/IFCPAR Workshop on Digital Manufacturing, Apr 2015, Hyderabad, India
- Accès au texte intégral et bibtex
-
- titre
- Efficient Method for Predicitive Modeling of Irregular Shaped Power Distribution Network with Discontinuities
- auteur
- A Bouchaala, Lionel Courau, Philippe Galy, Olivier Bonnaud
- article
- 2015 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC), Apr 2015, Kyoto, Japan. ⟨10.1109/ICEP-IAAC.2015.7111110⟩
- Accès au bibtex
-
- titre
- New Compact Broadband GSM/UMTS/LTE Antenna Realised by 3D Printing
- auteur
- Jean Marie Floc'H, Bilal El Jaafari, Ahmed El Sayed
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Design of a Frequency Reconfigurable Patch Antenna Using Capacitive loading and Varactor Diode
- auteur
- Ines Rouissi, Jean Marie Floc'H, Hatem Rmili, H. Trabelsi
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Compact and Efficient Ultra-Wideband Printed Quazi-Yagi Array Antenna for Indoor Applications
- auteur
- Ahmed El Sayed, Jean Marie Floc'H
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- SIW Pillbox Antenna Integrating Monopulse Phase Comparison Technique
- auteur
- Karim Tekkouk, Mauro Ettorre, Laurent Le Coq, Ronan Sauleau
- article
- 9th European Conference on Antennas and Propagation (EuCAP), Apr 2015, Lisbon, Portugal
- Accès au bibtex
-
- titre
- A Parasitic Three-Element Superdirective Electrically Small Antenna Array
- auteur
- Abdullah Haskou, Antonio Clemente, Ala Sharaiha, Christophe Delaveaud, Sylvain Collardey, Lionel Rudant
- article
- The 9th European Conference on Antennas and Propagation (EuCAP 2015), Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Radiation Pattern Synthesis for Monopuise Radar Applications using a Reconfigurable Transmitarray in X-Band
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Philippe Pouliguen
- article
- 9th European Conference on Antennas and Propagation (EuCAP), Apr 2015, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Study of Dipole Antennas' Characteristic Modes Through the Antenna Current Green's Function and the Singularity Expansion Method
- auteur
- Francois Sarrazin, Mikki Said, Yahia Antar, Philippe Pouliguen, Ala Sharaiha
- article
- European Conference on Antennas and Propagation (EuCAP), Apr 2015, Lisbonne, Portugal
- Accès au texte intégral et bibtex
-
- titre
- Active Reconfigurable Luneburg lens at 60GHz
- auteur
- O. Lafond, Mohamed Himdi, J. Bór, H. Merlet, P. Lebars
- article
- 9th European Conference on Antennas and Propagation (Eucap2015), Apr 2015, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Modeling and design of parallel-fed continuous transverse stub (CTS) arrays
- auteur
- Francesco Foglia Manzillo, Mauro Ettorre, Massimiliano Casaletti, Ronan Sauleau, Nicolas Capet
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Comparison of a cavity antenna with stacked patches and a metasurface-inspired design
- auteur
- Mario Martinis, Kouroch Mahdjoubi, Ronan Sauleau, Sylvain Collardey, Loïc Bernard
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- 60-GHz multi-layer multi-beam slotted waveguide array made by diffusion bonding technique
- auteur
- Karim Tekkouk, Jiro Hirokawa, Ronan Sauleau, Mauro Ettorre, M Sano, M Ando
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Smooth plate Luneburg lens with superstrate
- auteur
- J. Bór, O. Lafond, Mohamed Himdi, H. Merlet, P. Lebars
- article
- 9th European Conference On Antennas and Propagation (eucap2015), Apr 2015, Lisbon, Portugal
- Accès au bibtex
-
- titre
- A 60GHz Passive Repeater Array with Endfire Radiation Based on Metal Groove Unit-Cells
- auteur
- Duo Wang, Raphaël Gillard, Renaud Loison
- article
- the 9th European Conference on Antennas and Propagation (EuCAP2015), Apr 2015, Lisbon, Portugal
- Accès au texte intégral et bibtex
-
- titre
- Half-Massive Ceramics for Antenna Downsizing: Improvement of a Smart Magneto-Dielectric Material with Matching Permeability and Permittivity, and with Enhanced Low-Loss Frequency Range
- auteur
- Emmanuel Le Guen, Anne-Claude Tarot, Jean-Luc Mattei
- article
- EUCAP, Apr 2015, Lisbonne, Portugal
- Accès au texte intégral et bibtex
-
- titre
- A triple-slot active reflectarray cell using a ferroelectric capacitor
- auteur
- Kevin Nadaud, Raphaël Gillard, Erwan Fourn, Caroline Borderon, Hartmut Gundel
- article
- European Conference on Antennas and Propagation, Apr 2015, Lisbonnes, Portugal
- Accès au texte intégral et bibtex
-
- titre
- Absorption and Scattering Properties of a Receiving Patch Antenna
- auteur
- Manouan Aka Constant Niamien, Sylvain Collardey, Kouroch Mahdjoubi
- article
- European Conference on Antennas and Propagation (EuCAP 2015), Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Compact beam forming network of a switched phased array for projectile applications
- auteur
- Vincent Jaeck, Loïc Bernard, Kouroch Mahdjoubi, Ronan Sauleau, Sylvain Collardey, Philippe Pouliguen, Patrick Potier
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Considerations on SAR and efficiency for W-BAN antennas
- auteur
- Anja K. Skrivervik, Jovanche Trajkovikj, Mohsen Koohestani, Nuno Pires
- article
- European Conference on Antennas and Propagation (EuCAP), Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- A conical phased array for reliable and discrete communications
- auteur
- Vincent Jaeck, Loïc Bernard, Kouroch Mahdjoubi, Ronan Sauleau, Sylvain Collardey, Philippe Pouliguen, Patrick Potier
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Synthesis procedure for thinned leaky-wave phased array antennas
- auteur
- Francesco Scattone, Mauro Ettorre, Benjamin Fuchs, Ronan Sauleau, N Fonseca
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Physical-optics analysis and design of a beam-forming network coupled to an imaging-system configuration for Ka-band satellite applications
- auteur
- Etienne Girard, Guido Valerio, Mauro Ettorre, Ronan Sauleau, Hervé Legay
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Generation of a cosecant-squared radiation pattern with a superstrate-like leaky-wave antenna
- auteur
- Francesco Scattone, Mauro Ettorre, Ronan Sauleau, N Fonseca
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Vertically Polarized Electromagnetic Surface Waves Over a Smooth Sea in HF Band. Measurements and Comparisons with Theoretical Models
- auteur
- Mathilde Bellec, Christophe Bourlier, Pierre Yves Jezequel, Sébastien Palud, Franck Colombel, Stephane Avrillon, Philippe Pouliguen
- article
- European Conference on Antennas and Propagation, Apr 2015, Lisbonne, Portugal. paper #1570052209
- Accès au bibtex
-
- titre
- Scalar metasurface antennas with tilted beam
- auteur
- Maciej Smierzchalski, Massimiliano Casaletti, Mauro Ettorre, Ronan Sauleau, Nicolas Capet
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- 1-bit unit-cell for transmitarray applications in ka-band
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Philippe Pouliguen
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Parallel-plate-waveguide Luneburg lens through a holey plate metasurface
- auteur
- Cheikh Dieylar Diallo, Oscar Quevedo-Teruel, Guido Valerio, Hervé Legay, Ronan Sauleau
- article
- Conference EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Rigorous losses evaluation in the numerical analysis of SIW structures
- auteur
- Massimiliano Casaletti, Guido Valerio, Ronan Sauleau, Matteo Albani
- article
- EuCAP 2015, Apr 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- IETR millimeter-wave Compact Antenna Test Range implementation and validation
- auteur
- Laurent Le Coq, Benjamin Fuchs, Thomas Kozan, Sara Burgos, Per O. Iversen
- article
- European Conference on Antennas and Propagation, Apr 2015, Lisbonne, Portugal
- Accès au texte intégral et bibtex
-
- titre
- Phase Retrieval Procedure for Microwave Linear Arrays
- auteur
- Benjamin Fuchs, Laurent Le Coq
- article
- European Conference on Antennas and Propagation, Apr 2015, Lisbonne, Portugal
- Accès au texte intégral et bibtex
-
- titre
- WBAN Off-Body Channel Angular Structure Comparison between SAGE Estimation and Ray Tracing Simulation
- auteur
- Nicolas Amiot, Meriem Mhedhbi, Bernard Uguen, Raffaele Derrico
- article
- European Conference on Antenna and Propagation, Euraap, Apr 2015, Lisbon, Portugal
- Accès au texte intégral et bibtex
-
- titre
- A stable and unsupervised Fuzzy C-Means for data classification
- auteur
- Akar Taher, Kacem Chehdi, Claude Cariou
- article
- The International Conference on Quality Control by Artificial Vision 2015, Apr 2015, Le Creusot, France. pp.953414
- Accès au bibtex
-
- titre
- Antennes en matériaux composites pour éléments de carrosserie communicants
- auteur
- Xavier Castel, Mohamed Himdi, Patrick Parneix, Cyrille Le Meins, Franck Pautrot
- article
- CNRS'Innovatives, Mar 2015, Paris, France. 2 p
- Accès au bibtex
-
- titre
- Antennes TNT ‘tout composite’ intégrées dans le toit de véhicules
- auteur
- Xavier Castel, Mohamed Himdi, Franck Pautrot, Patrick Parneix, Cyrille Le Meins
- article
- CNRS'Innovatives, Mar 2015, Paris, France. 2 p
- Accès au bibtex
-
- titre
- Simulation de la rétrodiffusion radar d'un manteau neigeux. Comparaison avec les données du projet NoSREx
- auteur
- Michel Gay, Xuan-Vu Phan, Laurent Ferro-Famil, Fatima Karbou
- article
- Journées SHF, Glaciologie - Nivologie - Hydrologie de Montagne, Mar 2015, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Approche hybride pour l’étude de la diffraction EM par un objet parfaitement conducteur enfoui dans un sol diélectrique rugueux
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- URSI France, Journées scientifiques 2015: «Sonder la matière par les ondes électromagnétiques», Mar 2015, Paris, France
- Accès au bibtex
-
- titre
- Characterization of snow covers using SAR tomography - experimental results from the AlpSAR campaign
- auteur
- Badreddine Rekioua, Matthieu Davy, Laurent Ferro-Famil
- article
- Scientific days of URSI-France: probing matter with electromagnetic waves, Mar 2015, Edimburgh, United Kingdom
- Accès au bibtex
-
- titre
- Testvector pertinence for SCA conformance evaluation
- auteur
- Alain Ribault, Bruno Legeard, Christophe Moy, Frédéric Le Roy, Eddie Jaffuel
- article
- Wireless Innovation Forum Conference on Wireless Communications Technologies and Software Defined Radio - WinnComm'15, Mar 2015, San Diego, United States
- Accès au bibtex
-
- titre
- Effet bactéricide de couches minces photoactives de TiO2 sur différentes souches bactériennes issues de la filière viande
- auteur
- Marion Barthomeuf, Perrine Raymond, Xavier Castel, Laurent Le Gendre, Martine Denis, Christine Pissavin
- article
- SFM 2015 “11ème Congrès National de la Société Française de Microbiologie”, Mar 2015, Paris, France. 1 page
- Accès au bibtex
-
- titre
- Conception et développement d’un simulateur matériel de canal de propagation pour les systèmes hétérogènes de communications
- auteur
- Ghaïs El Zein, Gheorghe Zaharia, Guy Grunfelder, Bachir Habib, Mohammad Malli, Youssef Naser, Karim Kabalan
- article
- Séminaire du Comité Franco-Libanais du Programme CEDRE, Mar 2015, Beyrouth, Lebanon
- Accès au bibtex
-
- titre
- Novel Distributed Decoding Scheme for Efficient Resource Utilization in Network Coding
- auteur
- Samih Abdul-Nabi, Philippe Mary, Ayman Khalil, Jean-François Hélard
- article
- IEEE Wireless Communication and Networking Conference (WCNC), Mar 2015, New Orleans, United States. ⟨10.1109/wcnc.2015.7127608⟩
- Accès au bibtex
-
- titre
- Efficient MAC protocols optimization for future high density WLANs
- auteur
- Imad Jamil, Laurent Cariou, Jean-François Hélard
- article
- WCNC2015 Proceedings of IEEE Wireless and Networking Conférence, Mar 2015, New-Orleans, United States. pp.1054-1059, ⟨10.1109/wcnc.2015.7127615⟩
- Accès au bibtex
-
- titre
- On Measuring Superdirective Electrically Small Antenna Arrays
- auteur
- Abdullah Haskou, Ala Sharaiha, Sylvain Collardey
- article
- International Workshop on Antenna Technology (iWAT 2015), Mar 2015, seoul, South Korea. ⟨10.1109/iwat.2015.7365292⟩
- Accès au bibtex
-
- titre
- Effect of the substrate-holder bias voltage on the physical and chemical properties of a Si:H thin films prepared by DC magnetron sputtering
- auteur
- R. Cherfi, A. Benabdelmoumen, M. Kechouane, Tayeb Mohammed-Brahim
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France. pp.101-102
- Accès au bibtex
-
- titre
- Flexible n-type organic transistors using a new indenofluorene derivative performed on PEN substrate
- auteur
- Sarah Bebiche, Ismaïl Bouhadda, Cyril Poriel, Joëlle Rault-Berthelot, Tayeb Mohammed-Brahim, Emmanuel Jacques
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France. pp.143-144
- Accès au bibtex
-
- titre
- Thin film Transistrors on polyimide substrate under high deformations
- auteur
- Hanpeng Dong, Y Kervra, Nathalie . Coulon, Olivier de Sagazan, Emmanuel Jacques, Tayeb Mohammed-Brahim
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Silicon Germanium alloy (Si1-xGex) with x<7% as active layer in thin film transistors
- auteur
- Abdelkrim Fedala, Nathalie . Coulon, Claude Simon, Tayeb Mohammed-Brahim
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France. pp.99-100
- Accès au bibtex
-
- titre
- The use of very thin active layer to improve the characteristics of TFTs based on not single crystalline semiconductors
- auteur
- Mamadou Lamine Samb, Hanpeng Dong, Emmanuel Jacques, G. Sissoko, A Seidou-Maiga, Tayeb Mohammed-Brahim
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Correlation between quality and thickness of µc-Si film deposited below 180°C by PECVD and TFTS characteristics
- auteur
- R. Cherfi, Khalid Kandoussi, Nathalie . Coulon, Claude Simon, Tayeb Mohammed-Brahim
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Polycristalline silicon nanowires based TFTs compatible with CMOS technology for chemical integrated sensors
- auteur
- Rogel R., Emmanuel Jacques, Anne-Claire Salaün, Laurent Pichon
- article
- International Thin Film Transistors Conférences, ITC 2015, IETR,Département Microélectronique et Microcapteurs, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Electrical stability investigations of solution processed p-type semiconductor on polymeric insulator
- auteur
- Wenlin Kuai, Gertrude Wenga, Tayeb Mohammed-Brahim, Maxime Harnois, Emmanuel Jacques
- article
- Conference on Thin Film Transistors ITC 2015, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Micro-sensors nano-functionalized for chemical detection
- auteur
- Laetitia Donero, France Le Bihan, Laurent Le Brizoual, Abdel-Aziz El Mel, Pierre-Yves Tessier
- article
- 11th International Thin-Film Transistor Conference, ITC2015, Feb 2015, Rennes, France
- Accès au bibtex
-
- titre
- Tropical Forest Biomass Retrieval using P-Band PolTomSAR Data
- auteur
- Bassam El Hajj Chehade, Laurent Ferro-Famil, Dinh Ho Tong Minh, Thuy Le Toan, S. Tebaldini
- article
- POLinSAR 2015 Workshop, Jan 2015, Frascati, Italy
- Accès au bibtex
-
- titre
- Assessment of TangoSat and Biomass tomographic modes for the characterization of boreal forests using airborne SAR measurements
- auteur
- Laurent Ferro-Famil, S. Tebaldini
- article
- POLinSAR 2015 Workshop, Jan 2015, Frascati, Italy
- Accès au bibtex
-
- titre
- PolSAR-AP: Exploitation of Fully Polarimetric Data for Application Demonstration - Final Results
- auteur
- Irena Hajnsek, Yves-Louis Desnos, M Pardini, Kostas Papathanassiou, J. Ballester, S.R. Cloude, T Jagdhuber, C Colin-Koniguer, Carlos Lopez-Martinez, Juan M. Lopez-Sanchez, A Marino, Migliaccio M., F Nunziata, G Parrella, Éric Pottier, N Trouve
- article
- POLINSAR 2015, Workshop on Applications of SAR Polarimetry and Polarimetric Interferometry, ESA-ESRIN, Jan 2015, Frascatti, Italy
- Accès au bibtex
-
- titre
- Time-frequency polarimetric analyses of maritime environments in high resolution SAR data
- auteur
- Francesco Banda, Laurent Ferro-Famil, S. Tebaldini
- article
- POLinSAR 2015 Workshop, Jan 2015, Frascati, Italy
- Accès au bibtex
-
- titre
- A digital predistortion method based on nonuniform memory polynomial model using interpolated LUT
- auteur
- Xiaowen Feng, Bruno Feuvrie, Anne-Sophie Descamps, Yide Wang
- article
- IEEE Topical Conference on RF/Microwave Power Amplifiers for Radio and Wireless Applications (PAWR2015), Jan 2015, San Diego, CA, United States. paper#167, ⟨10.1109/pawr.2015.7139201⟩
- Accès au bibtex
-
- titre
- Investigation of sea ice and lake ice using Ground-Based SAR tomography
- auteur
- Temesgen Gebrie Yitayew, Laurent Ferro-Famil, Torbjorn Eltoft
- article
- Geoscience and Remote Sensing Symposium (IGARSS), 2015 IEEE International, 2015, Milan, Italy. pp.5276-5279, ⟨10.1109/IGARSS.2015.7327025⟩
- Accès au bibtex
-
- titre
- Potential accuracy of translation estimation between radar and optical images
- auteur
- M. Uss, B. Vozel, V. Lukin, K Chehdi
- article
- Image and Signal Processing for Remote Sensing XXI, 96430W (October 15, 2015), 2015, Toulouse, France. pp.96430W--96430W--12, ⟨10.1117/12.2194071⟩
- Accès au bibtex
-
- titre
- Slotted Ridged Waveguide Array Designed with A Reflection Cancelling Technique for Multibeam Applications in V-Band
- auteur
- Karim Tekkouk, Ronan Sauleau, Mauro Ettorre, Jiro Hirokawa, Makoto Sano, Makoto Ando
- article
- 2015 IEEE International Symposium on Antennas and Propagation & Usnc/Ursi National Radio Science Meeting, Vancouver, Jul 2015, 2015, Vancouver, Canada. pp.486--487
- Accès au bibtex
-
- titre
- Potentials of SAOCOM-CS Tomography for Boreal Forest Biomass Retrieval
- auteur
- Stefano Tebaldini, Laurent Ferro-Famil, Erik Blomberg, Maciej Soja, Lars Ulander
- article
- 6th International Workshop on Retrieval od Bio- and Geo-physical Parameters from SAR data for Land applications, 2015, Harwell, United Kingdom
- Accès au bibtex
-
- titre
- Realistic Ship Model for Extended Target Tracking Algorithms
- auteur
- Borja Errasti-Alcala, Walter Fuscaldo, Paolo Braca, Gemine Vivone
- article
- 2015 IEEE International Geoscience and Remote Sensing Symposium (igarss), Milan, 2015, Milan, Italy. pp.3135--3138
- Accès au bibtex
-
- titre
- Enhanced OMP algorithm for the detection and estimation of closely spaced moving objects in the presence of Doppler ambiguities
- auteur
- Linda Aouchiche, Guy Desodt, Claude Adnet, Laurent Ferro-Famil
- article
- Radar Conference, 2015 IEEE, 2015, Johannesburg, Saudi Arabia. pp.260-265, ⟨10.1109/RadarConf.2015.7411891⟩
- Accès au bibtex
-
- titre
- Comparison of methods for reflectarray diagnostic from far field measurements
- auteur
- Benjamin Fuchs, Laurent Le Coq, Laurent Ferro-Famil, Marco Donald Migliore
- article
- Antennas and Propagation USNC/URSI National Radio Science Meeting, 2015 IEEE International Symposium on, 2015, Paris, France. pp.398-399, ⟨10.1109/APS.2015.7304585⟩
- Accès au bibtex
-
- titre
- Location-Based Pseudonyms for Identity Reinforcement in Wireless ad hoc Networks
- auteur
- I. Tunaru, B. Denis, Bernard Uguen
- article
- 2015 IEEE 81st Vehicular Technology Conference (vtc Spring), Glasgow, 2015, Glasgow, United Kingdom
- Accès au bibtex
-
- titre
- Estimation of crop parameters using multi-temporal optical and radar polarimetric satellite data
- auteur
- Julie Betbeder, Rémy Fieuzal, Yannick Philippets, Laurent Ferro-Famil, Frédéric Baup
- article
- Proc. SPIE, 2015, Toulouse, France. pp.963702-963702-11, ⟨10.1117/12.2194781⟩
- Accès au bibtex
-
- titre
- Modeling of oxygen transfer in a rotating disks contactor
- auteur
- T.-E. Kirouani, Silvia Alexandrova, K. Dimitrov, A. Saboni, O. Chtioui, P. Jacques, P. Dhulster, Nikov I.
- article
- 4th Conf. EEEP'2015, 2015, Burgas, Bulgaria
- Accès au bibtex
-
- titre
- Unsupervised and stable LBG algorithm for data classification: application to aerial multicomponent images
- auteur
- A. Taher, K. Chehdi, Claude Cariou
- article
- Image and Signal Processing for Remote Sensing XXI, 96431I (October 15, 2015), 2015, Toulouse, France. pp.96431I--96431I--9, ⟨10.1117/12.2191448⟩
- Accès au bibtex
-
- titre
- Design of portable sensor to detect hazardous molecules in our environment
- auteur
- I. Mazerie, I. Bakas, M.-M. Chehimi, B. Carbonnier, Aurélie Girard, P. Didier, Florence Razan, Nathalie . Coulon, D. Floner, Florence Geneste
- article
- 17th Topical Meeting of International Society of Electrochemistry, , 2015, Saint Malo, France
- Accès au bibtex
-
- titre
- Snowpack characterization using SAR tomography - experimental results of the AlpSAR campaign
- auteur
- Badreddine Rekioua, Matthieu Davy, Laurent Ferro-Famil
- article
- Radar Conference (EuRAD), 2015 European, 2015, Paris, France. pp.33-36, ⟨10.1109/EuRAD.2015.7346230⟩
- Accès au bibtex
-
- titre
- Building profile reconstruction using TerraSAR-X data time-series and tomographic techniques
- auteur
- Martina Porfiri, Laurent Ferro-Famil, Jean-Marie Nicolas
- article
- Analysis of Multitemporal Remote Sensing Images (Multi-Temp), 2015 8th International Workshop on the, 2015, Annecy, France. pp.1-4, ⟨10.1109/Multi-Temp.2015.7245755⟩
- Accès au bibtex
-
- titre
- Compressed sensing approach for reflectarray diagnostic from far field measurements
- auteur
- Marco Donald Migliore, Benjamin Fuchs, Laurent Le Coq, Laurent Ferro-Famil
- article
- Radar Conference (EuRAD), 2015 European, 2015, Paris, France. pp.289-292, ⟨10.1109/EuRAD.2015.7346294⟩
- Accès au bibtex
-
- titre
- Oxygen transfer in a rotating disks contactor: experimental studies and model for kLa
- auteur
- T.-E. Kirouani, Silvia Alexandrova, I. Nikov, O. Chtioui, A. Saboni, P. Jacques, P. Dhulster, Dimitrov K.
- article
- 10th European Congress of Chemical Engineering, 3rd European Congress of Applied Biotechnology, 5th European Process Intensification Conference, 2015, Nice, France
- Accès au bibtex
-
- titre
- Study of soil respons under a vegetation layer using TomSAR data and ground-based TomSAR data
- auteur
- Nabil Lahlou, Laurent Ferro-Famil, Sophie Allain-Bailhache
- article
- Geoscience and Remote Sensing Symposium (IGARSS), 2015 IEEE International, 2015, Milan, Italy. pp.1324-1327, ⟨10.1109/IGARSS.2015.7326019⟩
- Accès au bibtex
-
- titre
- Performance prediction for 3D filtering of multichannel images
- auteur
- Oleksii Rubel, Ruslan A. Kozhemiakin, Sergey K. Abramov, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- Image and Signal Processing for Remote Sensing XXI, 96430D (October 15, 2015), 2015, Toulouse, France. pp.96430D--96430D--11, ⟨10.1117/12.2193976⟩
- Accès au bibtex
-
- titre
- On Noise Properties in Hyperspectral Images
- auteur
- Sergey K. Abramov, Mykhail L. Uss, Victoriya V. Abramova, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- 2015 IEEE International Geoscience and Remote Sensing Symposium (igarss), Milan, 2015, Milan, Italy. pp.3501--3504
- Accès au bibtex
-
- titre
- Unsupervised hierarchical partitioning of hyperspectral images: application to marine algae identification
- auteur
- B. Chen, K. Chehdi, E. de Oliveria, Claude Cariou, B Charbonnier
- article
- Image and Signal Processing for Remote Sensing XXI, 96430M (October 15, 2015), 2015, Toulouse, France. pp.96430M--96430M--13, ⟨10.1117/12.2194419⟩
- Accès au bibtex
-
- titre
- Tone Reservation based PAPR Reduction Technique with Individual Carrier Power Allocation for Multiple Peaks Reduction
- auteur
- R. Mounzer, Matthieu Crussière, Y. Nasser, J.-F Helard
- article
- 2015 IEEE 81st Vehicular Technology Conference (vtc Spring), 2015, Glasgow, United Kingdom
- Accès au bibtex
-
- titre
- LHCP/RHCP Reconfigurable Transmitarray in Ka-Band
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Phillipe Pouliguen
- article
- 2015 IEEE International Symposium on Antennas and Propagation & Usnc/Ursi National Radio Science Meeting, Vancouver, Jul 2015, 2015, Vancouver, Canada. pp.2219--2220
- Accès au bibtex
-
- titre
- Compression Ratio Prediction in Lossy Compression of Noisy Images
- auteur
- Alexander N. Zemliachenko, Sergey Abramov, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi
- article
- 2015 IEEE International Geoscience and Remote Sensing Symposium (igarss), Milan, 2015, Milan, Italy. pp.3497--3500
- Accès au bibtex
-
- titre
- Very high resolution imaging of the vertical structure of snowpack and sea ice
- auteur
- Stefano Tebaldini, Laurent Ferro-Famil, Badreddine Rekioua, Temesgen Gebrie Yitayew
- article
- 6th International Workshop on Retrieval od Bio- and Geo-physical Parameters from SAR data for Land applications, 2015, Harwell, United Kingdom
- Accès au bibtex
-
- titre
- Experimental Characterization of an X-Band Transmitarray with a Reduced Focal Distance
- auteur
- Luca Di Palma, Antonio Clemente, Laurent Dussopt, Ronan Sauleau, Patrick Potier, Phillipe Pouliguen
- article
- 2015 IEEE International Symposium on Antennas and Propagation & Usnc/Ursi National Radio Science Meeting, Vancouver, Jul 2015, 2015, Vancouver, Canada. pp.2139--2140
- Accès au bibtex
-
Book sections
- titre
- DCT-Based Color Image Denoising: Efficiency Analysis and Prediction
- auteur
- Vladimir Lukin, Sergey Abramov, Ruslan Kozhemiakin, Alexey Rubel, Mikhail Uss, Nikolay Ponomarenko, Victoriya Abramova, Benoit Vozel, Kacem Chehdi, Karen Egiazarian, Jaakko Astola
- article
- Color Image and Video Enhancement, Springer International Publishing, pp.55-80, 2015, ⟨10.1007/978-3-319-09363-5_3⟩
- Accès au bibtex
-
- titre
- Wireless Energy Transfer: On the efficiency of low power rectenna topologies
- auteur
- Aya Mabrouki, Mohamed Latrach
- article
- Luciano Mescia; Onofrio Losito; Francesco Prudenzano. Innovative Materials and Systems for Energy Harvesting Applications, IGI- GLOBAL, 2015, 9781466682542
- Accès au bibtex
-
- titre
- Textile-Based Rectennas
- auteur
- Mohammed Al-Husseini, Abdullah Haskou, Nadeen Rishani, Karim y Kabalan
- article
- Haider Khaleel. Advancement in Wearable and Flexible Antennas, , 2015, 978-1-84564-986-9
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- MODELISATION DES SYSTÈMES DE CONVERSION DE L'ÉNERGIE ÉLECTRIQUE DANS UN CONTEXTE PLURIDISCIPLINAIRE
- auteur
- Christophe Batard
- article
- Electronique. UNIVERSITE DE NANTES, 2015
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Implantation matérielle de moniteurs pour la vérification en ligne des systèmes informatiques
- auteur
- Solet Dimitry
- article
- 2015
- Accès au bibtex
-
- titre
- Optimal energy to spectral efficiency trade-off for uncoded MQAM system
- auteur
- Randa Jaouadi
- article
- 2015
- Accès au bibtex
-
- titre
- Travail collaboratif avec Matlab : retour d'expérience
- auteur
- François Yven
- article
- 2015
- Accès au bibtex
-
- titre
- Caractérisation des matériaux en environnement non anéchoïque
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, R.D. Tamas, Philippe Pouliguen
- article
- 2015
- Accès au bibtex
-
- titre
- Widely Linear Sphere Decoding by Exploiting the Hidden Properties of PSK Signals
- auteur
- Yuehua Ding
- article
- 2015
- Accès au bibtex
-
- titre
- Stabilité du réseau de distribution électrique - Analyse du point de vue automatique d'un système complexe, Journée des doctorants de 2ème année L2S
- auteur
- Marjorie Cosson, Hervé Guéguen, Gilles Malarange, Didier Dumur, Vincent Gabrion, Cristina Stoica Maniu
- article
- 2015
- Accès au bibtex
-
- titre
- Conception d’un déflecteur à guide d’onde à fuite électro-optique pour un convertisseur analogique-numérique tout optique fonctionnant à 40 Géch/s
- auteur
- Massinissa Hadjloum, Mohammed El Gibari, Afshin S. Daryoush, Hong Wu Li
- article
- 2015
- Accès au bibtex
-
- titre
- Mise en forme et caractérisation de polymères électro-optiques incorporant des nanoparticules
- auteur
- Den-God-Frez Palessonga, Mohammed El Gibari, Stéphane Ginestar, Hélène Terisse, Abdelhadi Kassiba, Hong Wu Li
- article
- 2015
- Accès au bibtex
-
- titre
- Transition coplanaire-microruban large bande pour le packaging d'un composant opto-hyperfréquence
- auteur
- Sara Bretin, Massinissa Hadjloum, Mohammed El Gibari, Hong Wu Li
- article
- 2015
- Accès au bibtex
-
- titre
- Finite Block Length Information Theory: What are the Practical Impacts on Wireless Communications?
- auteur
- Philippe Mary
- article
- 2015
- Accès au bibtex
-
- titre
- Detailed Evaluation of Tunable Image Quality HEVC Decoding
- auteur
- Erwan Raffin, Morgan Lacour, Erwan Nogues, Maxime Pelcat, Daniel Menard, Yi Liu
- article
- 2015
- Accès au bibtex
-
- titre
- Relâchement temporel et économie d'énergie. Un problème d'allocation des ressources
- auteur
- Jean-Yves Baudais
- article
- 2015
- Accès au bibtex
-
- titre
- Quatre ans de recherche pour une antenne innovante
- auteur
- Xavier Castel, Mohamed Himdi
- article
- 2015, p7
- Accès au bibtex
-
- titre
- Une antenne de télévision numérique embarquée
- auteur
- Xavier Castel, Mohamed Himdi
- article
- 2015, Avril-Mai 2015, N°920, page 8
- Accès au bibtex
-
- titre
- Le téléphone portable
- auteur
- Jean Marie Floc'H
- article
- 2015
- Accès au bibtex
-
- titre
- Matériaux multifonctionnels pour objets connectés
- auteur
- Xavier Castel
- article
- 2015, pp.2015-03 - N°285 - Objets connectés : la révolution des usages, Laboratoire du mois
- Accès au bibtex
-
- titre
- Fixed-point refinement, a guaranteed approach towards energy efficient computing
- auteur
- Olivier Sentieys, Daniel Menard, David Novo, Karthick Parashar
- article
- 2015
- Accès au bibtex
-
- titre
- Vêtements communicants
- auteur
- Jean Marie Floc'H
- article
- 2015
- Accès au bibtex
-
- titre
- HEVC Decoding with Tunable Image Quality - Subjective evaluation
- auteur
- Erwan Nogues, Erwan Raffin, Maxime Pelcat, Daniel Menard
- article
- 2015
- Accès au bibtex
-
- titre
- HEVC Decoding with Tunable Image Quality - Power saving and complexity reduction
- auteur
- Erwan Raffin, Erwan Nogues, Maxime Pelcat, Daniel Menard
- article
- 2015
- Accès au bibtex
-
Books
- titre
- Stéganographie basée chaos pour assurer la sécurité de l'information
- auteur
- Dalia Battikh, Safwan El Assad
- article
- Presses Académiques Francophones, 172 p., 2015, 978-3-8416-3623-2
- Accès au bibtex
-
- titre
- Bases de l’électronique analogique - Du composant au circuit intégré. Cours et exercices corrigés
- auteur
- Laurent Pichon
- article
- éllipses, 2015, Technosup, Claude CHEZE, 9782340004047, 312 pages
- Accès au bibtex
-
- titre
- Cognitive Radio and Networking for Heterogeneous Wireless Networks
- auteur
- Maria-Gabriella Di Benedetto, Andrea Cattoni, Jocelyn Fiorina, Faouzi Bader, Luca de Nardis
- article
- Springer, 405p., 2015, 978-3-319-01718-1
- Accès au bibtex
-
- titre
- Peculiarities of 3D Compression of Noisy Multichannel Images
- auteur
- Ruslan Kozhemiakin, Sergey Abramov, Vladimir Lukin, Igor Djurovic, Benoit Vozel
- article
- Stojanovic, R and Jozwiak, L and Jurisic, D. IEEE, pp.331--334, 2015, 978-1-4799-8999-7
- Accès au bibtex
-
Patents
- titre
- Method using power measurements for determining positioning data between two bodies
- auteur
- Benoit Denis, Raffaele d'Errico, Francesco Mani, Bernard Uguen
- article
- France, Patent n° : FR3044774B1. 2015
- Accès au bibtex
-
- titre
- Méthode de correction d'une trajectoire dans un dispositif d'aide au déplacement de personnes
- auteur
- François Pasteau, Marie Babel, Muriel Pressigout, Sylvain Guégan, Bazin Eric
- article
- France, N° de brevet: FR 3 021 400. 2015
- Accès au bibtex
-
- titre
- Système de communications dans une installation électrique comportant des batteries
- auteur
- Jérémie Jousse, Nicolas Ginot, Christophe Batard, Jean-Pierre Belliard
- article
- France, N° de brevet: FR3019946 (A1) (BOPI 2015-42), WO2015155041 (A1). 2015, Dépôt FR1453188
- Accès au bibtex
-
- titre
- Procédé d'accès opportuniste au spectre
- auteur
- Navikummar Modi, Christophe Moy, Philippe Mary
- article
- France, N° de brevet: 1556916. 2015
- Accès au bibtex
-
- titre
- Method for processing a sequence of holographic images for the purpose of transmitting a specific viewing angle of the holographic scene
- auteur
- Patrick Gioia, Kartik Viswanathan
- article
- France, Patent n° : WO/2015/097358. 2015
- Accès au bibtex
-
- titre
- Method for electromagnetic dosimetry
- auteur
- Maxim Zhadobov, Artem V. Boriskin
- article
- France, Patent n° : FR3039282. 2015
- Accès au bibtex
-
- titre
- Procédé de transmission d’une séquence de symboles de données, dispositif de transmission, signal, procédé de réception, dispositif de réception et programme d’ordinateur correspondants
- auteur
- Philippe Mary, Abdullah Haskou, Christian Brousseau
- article
- France, N° de brevet: WO2015079020A1; FR3014271A1. 2015
- Accès au bibtex
-
- titre
- Method for Transmitting a Sequence of Data Symbols, Transmission Device , Signal, Receiving Method, Corresponding Receiving Device and Corresponding Computer Program
- auteur
- Philippe Mary, Abdullah Haskou, Christian Brousseau
- article
- France, N° de brevet: WO2015079020 (A1). 2015
- Accès au bibtex
-
- titre
- Répartiteur de puissance compact bipolarisation, réseau de plusieurs répartiteurs, élément rayonnant compact et antenne plane comportant un tel répartiteur
- auteur
- H. Legay, Adrien Cottin, Ronan Sauleau, Patrick Potier
- article
- France, Patent n° : FR3012917 A1. 2015
- Accès au bibtex
-
- titre
- Coupleur en Té dans le plan E, répartiteur de puissance, réseau rayonnant et antenne comportant un tel coupleur
- auteur
- Hervé Legay, Adrien Cottin, Ronan Sauleau, Patrick Potier, P. Bosschard
- article
- France, Patent n° : FR3012918 A1. 2015
- Accès au bibtex
-
- titre
- Procédé et dispositif de transmission d'un signal multiporteuse programme et signal correspondants
- auteur
- Matthieu Crussière, Jean-François Hélard, Ralph Mounzer, Youssef Nasser
- article
- France, N° de brevet: 1554085. 2015
- Accès au bibtex
-
- titre
- Antenne boucle volumique compacte large bande
- auteur
- Lilia Manac'H, Xavier Castel, Mohamed Himdi, Cyrille Le Meins, Patrick Parneix, Emmanuel Outters
- article
- France, N° de brevet: WO2015/049389. 2015
- Accès au bibtex
-
Poster communications
- titre
- Stabilité du réseau de distribution électrique. Analyse de point de vue automatique de la stabilité d'un système complexe
- auteur
- Marjorie Cosson, Hervé Guéguen, Cristina Stoica Maniu, Didier Dumur, Vincent Gabrion, Gilles Malarange
- article
- Réunion plénière de l'Institut RISEGrid, Oct 2015, GIF SUR YVETTE, France
- Accès au bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
- article
- CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
- Accès au texte intégral et bibtex
-
- titre
- Application of the CBFM-ACA approach to the scattering from natural media consisting of randomly distributed discrete scatterers
- auteur
- Sami Bellez, Christophe Bourlier
- article
- The International Geoscience and Remote Sensing Symposium 2015, Jul 2015, MILAN, Italy. paper #8398, 2015, IGARSS 2015
- Accès au bibtex
-
- titre
- 3-D scattering from a PEC target buried beneath a dielectric rough surface using a hybrid formulation and a fast solver
- auteur
- Sami Bellez, Christophe Bourlier, Gildas Kubicke
- article
- The International Geoscience and Remote Sensing Symposium 2015, Jul 2015, MILAN, Italy. paper #8377, 2015, IGARSS 2015
- Accès au bibtex
-
- titre
- Energy and spectrum trade-off for uncoded MQAM in energy constrained system
- auteur
- Randa Jaouadi, Guillaume Andrieux, Jean-Yves Baudais, Jean-François Diouris
- article
- European Conference on Networks and Communications, Jun 2015, Paris, France. , paper 1570101365, 2015
- Accès au bibtex
-
- titre
- Nouveaux Matériaux Absorbants en Hyperfréquences
- auteur
- Chloé Mejean, Ratiba Benzerga, Ala Sharaiha, Claire Le Paven-Thivet, Laura Pometcu
- article
- Journée des Doctorants IETR, Jun 2015, Rennes, France. 2015
- Accès au bibtex
-
- titre
- Étude systématique de la commutation par voie optique de ligne de transmission microonde
- auteur
- T.T. Pham, L Frein, Cyril Hamel, G. Loas, Christophe Guitton, T Nguyen, Ronan Sauleau, Mehdi Alouini
- article
- Journées du Club Micro-ondes Optique, Jun 2015, Nantes, France
- Accès au bibtex
-
- titre
- Influence des paramètres de coupe et de la nature du métal usiné sur la rugosité de surface : conséquences sur le comportement tribologique
- auteur
- Hamoudi Bouhabila, A. Bouchoucha, Ratiba Benzerga, Claire Le Paven-Thivet
- article
- 27ème Journées Internationales Francophones de Tribologie (JIFT2015), May 2015, Nantes, France
- Accès au bibtex
-
- titre
- Magnetic field sensing using flexible diaphragm structures based on PZT thin films / polyurethane films
- auteur
- Benoit Guiffard, Raynald Seveno, Thibault Dufay, Samuel Baron
- article
- ISAF 2015, May 2015, Singapour, Singapore
- Accès au bibtex
-
- titre
- Sr2Ta2O7-based oxide and oxynitride perovskite films: reactive magnetron deposition and dielectric characterization
- auteur
- Simon Jacq, Claire Le Paven-Thivet, Ratiba Benzerga, Laurent Le Gendre, Vincent Laur, A. Ferri, S. Saitzek, Rachel Desfeux, Franck Tessier, François Cheviré, Ala Sharaiha
- article
- European Materials Research Society - EMRS 2015, May 2015, Lille, France
- Accès au bibtex
-
- titre
- New heterogeneous superstrate high gain antenna
- auteur
- Loïc Martin, Eduardo Motta-Cruz, Bruno Froppier, Tchanguiz Razban
- article
- 9th European Conference on Antennas and Propagation, Apr 2015, Lisbonne, Portugal. pp.2015, 2015, EUCAP 2015
- Accès au bibtex
-
- titre
- Propagation et diffusion Radar microondes par une surface de mer en incidence rasante et dans un milieu stratifié
- auteur
- Christophe Bourlier, Hongkun Li, Dusan Cvetkovic, Sami Bellez, Vincent Fabbro
- article
- URSI France, Journées scientifiques 2015: «Sonder la matière par les ondes électromagnétiques», Mar 2015, Paris, France. , 2015
- Accès au bibtex
-
- titre
- Caractérisation des matériaux en environnement non anéchoïque
- auteur
- Laura Pometcu, Ala Sharaiha, Ratiba Benzerga, Razvan D. Tamas, Philippe Pouliguen
- article
- Journée scientifiques Union Radio Scientifique Internationale URSI2015, Mar 2015, Paris, France. 2015
- Accès au bibtex
-
- titre
- UV210 optical microresonators on pedestal
- auteur
- Marion Specht, Nolwenn Huby, Hervé Lhermite, Bruno Bêche
- article
- La Journée de l’Ecole Doctorale SDLM, Jan 2015, Rennes, France. 2015
- Accès au texte intégral et bibtex
-
Proceedings
- titre
- Influence of Steam Pressure on the High Temperature Oxidation and Post-Cooling Mechanical Properties of Zircaloy-4 and M5 Cladding (LOCA Conditions)
- auteur
- M. Le Saux, V. Vandenberghe, P. Crébier, J. Brachet, D. Gilbon, J. Mardon, P. Jacques, A. Cabrera
- article
- Zirconium in the Nuclear Industry: 17th International Symposium, ASTM STP 1543, ASTM International; ASTM International, pp.1002-1053, 2015, ⟨10.1520/STP154320120196⟩
- Accès au bibtex
-
Reports
- titre
- Models of Architecture
- auteur
- Maxime Pelcat, Karol Desnos, Luca Maggiani, Yanzhou Liu, Julien Heulot, Jean-François Nezan, Shuvra S. Bhattacharyya
- article
- [Research Report] PREESM/2015-12TR01, 2015, IETR/INSA Rennes; Scuola Superiore Sant’Anna, Pisa; Institut Pascal, Clermont Ferrand; University of Maryland, College Park; Tampere University of Technology, Tampere. 2015
- Accès au texte intégral et bibtex
-
- titre
- Estimation du nombre de personnes présentes dans une pièce à partir de la concentration en dioxyde de carbone
- auteur
- Pierre Benech, Pierre Haessig
- article
- [Rapport de recherche] CentraleSupélec. 2015
- Accès au texte intégral et bibtex
-
Theses
- titre
- Diffusion électromagnétique par un sol : Prise en compte d'un fil enfoui par l'introduction d'une impédance effective dans un code FDTD
- auteur
- Clément Chanel
- article
- Electromagnétisme. UNIVERSITE DE NANTES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving spatial reuse in future dense high efficiency Wireless Local Area Networks
- auteur
- Imad Jamil
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0033⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable transmitarray antennas at millimeter-wave frequencies
- auteur
- Luca Di Palma
- article
- Signal and Image processing. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S092⟩
- Accès au texte intégral et bibtex
-
- titre
- Power allocation in overlaid DVB-LTE systems
- auteur
- Hiba Bawab
- article
- Electronics. INSA de Rennes; Université Libanaise, 2015. English. ⟨NNT : 2015ISAR0038⟩
- Accès au texte intégral et bibtex
-
- titre
- Phased array antenna with significant reduction of active controls
- auteur
- Francesco Scattone
- article
- Electronics. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S168⟩
- Accès au texte intégral et bibtex
-
- titre
- New tone reservation PAPR reduction techniques for multicarrier systems
- auteur
- Ralph Mounzer
- article
- Mechanical engineering [physics.class-ph]. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0029⟩
- Accès au texte intégral et bibtex
-
- titre
- Time reversal for mobile networks
- auteur
- Dinh-Thuy Phan Huy
- article
- Signal and Image processing. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0026⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation l’immunité électromagnétique des composants en vue de la gestion de l’obsolescence des systèmes et modules électroniques.
- auteur
- Mohamed Amellal
- article
- Electronique. INSA de Rennes; Université Sidi Mohamed ben Abdellah (Fès, Maroc), 2015. Français. ⟨NNT : 2015ISAR0037⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiple transforms for video coding
- auteur
- Adrià Arrufat Batalla
- article
- Signal and Image processing. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0025⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution à l'étude de l'échantillonnage non uniforme dans le domaine de la radio intelligente.
- auteur
- Samba Traore
- article
- Autre. CentraleSupélec, 2015. Français. ⟨NNT : 2015CSUP0023⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de la consommation énergétique de systèmes de communications numériques sans fil implantés sur cible FPGA
- auteur
- Jordane Lorandel
- article
- Electronique. INSA de Rennes, 2015. Français. ⟨NNT : 2015ISAR0036⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception d'antennes souples et de conducteurs magnétiques artificiels en bande UHF
- auteur
- Anthony Presse
- article
- Autre. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S087⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of smart antennas and MU-MIMO techniques for indoor radio engineering and planning tools
- auteur
- Khouloud Issiali
- article
- Electronics. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0039⟩
- Accès au texte intégral et bibtex
-
- titre
- Réseaux corporels sans fil en ondes millimétriques : antenne, propagation et interaction avec le corps
- auteur
- Caroles Leduc
- article
- Traitement du signal et de l'image [eess.SP]. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S084⟩
- Accès au texte intégral et bibtex
-
- titre
- Physical layer secret key generation for decentralized wireless networks
- auteur
- Iulia Tunaru
- article
- Signal and Image processing. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S081⟩
- Accès au texte intégral et bibtex
-
- titre
- Runtime multicore scheduling techniques for dispatching parameterized signal and vision dataflow applications on heterogeneous MPSoCs
- auteur
- Julien Heulot
- article
- Signal and Image processing. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0023⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy optimisation of communication techniques between communicating objects
- auteur
- Yue Peng
- article
- Electronics. UNIVERSITE DE NANTES, 2015. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Study and optimization of new differential space-time modulation schemes based on the Weyl group for the second generation of MIMO systems
- auteur
- Hui Ji
- article
- Electronics. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0021⟩
- Accès au texte intégral et bibtex
-
- titre
- OTFTs de type N à base de semiconducteurs π-conjugués : fabrication, performance et stabilité
- auteur
- Sarah Bebiche
- article
- Electronique. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S105⟩
- Accès au texte intégral et bibtex
-
- titre
- Co-conception matériaux hyperfréquences : étude et réalisation de couches minces accordables de BST en vue d’une application réseau réflecteur
- auteur
- Kevin Nadaud
- article
- Electronique. Université de Nantes, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Amélioration et accélération de l'Optique Physique Itérative pour le calcul de SER de cavités complexes
- auteur
- Antoine Thomet
- article
- Electromagnétisme. UNIVERSITE DE NANTES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Polymère souple pour antenne patch électriquement accordable en bande X : conception et caractérisations
- auteur
- Samuel Baron
- article
- Micro et nanotechnologies/Microélectronique. Université de Nantes, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Iterative receiver for MIMO-OFDM systems based on sphere decoding : convergence, performance and complexity tradeoffs
- auteur
- Rida El Chall
- article
- Electronics. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0019⟩
- Accès au texte intégral et bibtex
-
- titre
- DÉVELOPPEMENT DE NOUVELLES STRUCTURES ET D'ALGORITHMES APPLIQUANT L'ÉCHANTILLONNAGE ALÉATOIRE POUR DES SYSTÈMES DE TYPES RADIO LOGICIELLE ET RADIO COGNITIVE
- auteur
- Hayat Semlali
- article
- Sciences de l'ingénieur [physics]. UNIVERSITE DE NANTES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Centralized and distributed address correlated network coding protocols
- auteur
- Samih Abdul-Nabi
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0032⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation et application du codage réseau dans l'architecture des futurs réseaux sans fils
- auteur
- Samih Abdul-Nabi
- article
- Sciences de l'ingénieur [physics]. INSA RENNES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Microcrystalline silicon based thin film transistors fabricated on flexible substrate
- auteur
- Hanpeng Dong
- article
- Electronics. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S173⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient baseband digital predistortion techniques for linearizing power amplifier by taking into account nonlinear memory effect
- auteur
- Xiaowen Feng
- article
- Engineering Sciences [physics]. UNIVERSITE DE NANTES, 2015. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Croissance et caractérisation de nanofils de Si et Ge
- auteur
- Mahmoud Israel
- article
- Micro et nanotechnologies/Microélectronique. Université de Rennes1, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Chaos-based crypto and joint crypto-compression systems for images and videos
- auteur
- Mousa Farajallah
- article
- Engineering Sciences [physics]. UNIVERSITE DE NANTES, 2015. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception et réalisation d’une antenne plate pour la réception satellite
- auteur
- Amal Harrabi
- article
- Sciences de l'ingénieur [physics]. UNIVERSITE DE NANTES/UNIVERSITE DE TUNIS EL MANAR, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Augmentation de la performance des antennes miniatures inspirées par métamatériaux : conception d'antennes, inspirée par métamatériaux
- auteur
- Saber Dakhli
- article
- Micro et nanotechnologies/Microélectronique. Université de Rennes; Université de Tunis. Faculté des sciences de Tunis, 2015. Français. ⟨NNT : 2015REN1S029⟩
- Accès au texte intégral et bibtex
-
- titre
- Spectral resource optimization for MU-MIMO systems with partial frequency bandwidth overlay
- auteur
- Hua Fu
- article
- Electronics. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0014⟩
- Accès au texte intégral et bibtex
-
- titre
- Sécurité de l’information par stéganographie basée sur les séquences chaotiques
- auteur
- Dalia Battikh
- article
- Traitement du signal et de l'image [eess.SP]. INSA de Rennes; Université Libanaise, 2015. Français. ⟨NNT : 2015ISAR0013⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis and design of planar passive repeaters with quasi-endfire radiation for 60GHz indoor communications
- auteur
- Duo Wang
- article
- Networking and Internet Architecture [cs.NI]. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0011⟩
- Accès au texte intégral et bibtex
-
- titre
- Production-consumption system coordination by hybrid predictive approaches : application to a solar cooling system for buildings
- auteur
- Eunice Herrera Santisbon
- article
- Other. CentraleSupélec, 2015. English. ⟨NNT : 2015CSUP0006⟩
- Accès au texte intégral et bibtex
-
- titre
- Antenne hélice compacte directive à polarisation circulaire pour dispositif RFID
- auteur
- Narcisse Raimbault
- article
- Traitement du signal et de l'image [eess.SP]. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S009⟩
- Accès au texte intégral et bibtex
-
- titre
- Lossy and lossless image coding with low complexity and based on the content
- auteur
- Yi Liu
- article
- Signal and Image processing. INSA de Rennes, 2015. English. ⟨NNT : 2015ISAR0028⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de la consommation d’énergie En vue de la conception conjointe (matériel/logiciel) des applications embarquées. Application aux réseaux de capteurs sans fil (wsn).
- auteur
- Aina Randrianarisaina
- article
- Sciences de l'ingénieur [physics]. UNIVERSITE DE NANTES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude des chambres réverbérantes à brassage de modes en ondes millimétriques : application à l’étude des interactions ondes-vivant
- auteur
- Abdou Khadir Fall
- article
- Electronique. INSA de Rennes, 2015. Français. ⟨NNT : 2015ISAR0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Analyse et classification des signatures des véhicules provenant de capteurs magnétiques pour le développement des algorithmes « Intelligents » de gestion du trafic
- auteur
- David Guilbert
- article
- Traitement du signal et de l'image [eess.SP]. UNIVERSITE DE NANTES, 2015. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- New Expressions for Ergodic Capacities of Optical Fibers and Wireless MIMO Channels
- auteur
- Amor Nafkha, Nizar Demni, Remi Bonnefoi
- article
- 2015
- Accès au bibtex
-
2014
Journal articles
- titre
- Polysilicon nanowires FET as highly-sensitive pH-sensor: modeling and measurements
- auteur
- Anne-Claire Salaün, Laurent Pichon, Gertrude Wenga
- article
- Procedia Engineering, 2014, EUROSENSORS 2014, the 28th European Conference on Solid-State Transducers, 87, pp.911-917. ⟨10.1016/j.proeng.2014.11.303⟩
- Accès au texte intégral et bibtex
-
- titre
- Hybrid positioning data fusion in heterogeneous networks with critical hearability
- auteur
- Yassine Ali, Youssef, Joseph Nasser, Awad Mariette, Bernard Uguen
- article
- EURASIP Journal on Wireless Communications and Networking, 2014, pp.16. ⟨10.1186/1687-1499-2014-215⟩
- Accès au bibtex
-
- titre
- Metasurface transformation optics
- auteur
- M Jr Mencagli, E. Martini, David González-Ovejero, S. Maci
- article
- Journal of Optics, 2014, 16 (12), pp.125106. ⟨10.1088/2040-8978/16/12/125106⟩
- Accès au bibtex
-
- titre
- Geophysical information inputs for the EM systems performances computation in littoral environment
- auteur
- Yvonick Hurtaud, Jacques Claverie
- article
- Radio Science Bulletin, 2014, N° 351, pp 45-52. ⟨10.23919/URSIRSB.2014.7909844⟩
- Accès au bibtex
-
- titre
- From hybrid model predictive control to logical control for shading system: A support vector machine approach
- auteur
- Khang Le, Romain Bourdais, Hervé Guéguen
- article
- Energy and Buildings, 2014, 84, pp.352-359. ⟨10.1016/j.enbuild.2014.07.084⟩
- Accès au bibtex
-
- titre
- Improvement of the security of ZigBee by a new chaotic ALGORITHM
- auteur
- Bassem Bakhache, Joseph Ghazal, Safwan El Assad
- article
- IEEE Systems Journal, 2014, 8 (4), pp.1021-1030. ⟨10.1109/JSYST.2013.2246011⟩
- Accès au bibtex
-
- titre
- Toward 5G: when explosive bursts meet soft cloud
- auteur
- Xuan Zhou, Zhifeng Zhao, Rongpeng Li, Yifan Zhou, Tao Chen, Zhisheng Niu, Honggang Zhang
- article
- IEEE Network, 2014, 28 (6), pp.12-17. ⟨10.1109/MNET.2014.6963799⟩
- Accès au bibtex
-
- titre
- Distribution of energy absorption in an inhomogenous head model at 900 MHz
- auteur
- M. Haridim, B. Levin, S. Revich, S. Chulski, Ronan Sauleau, R Zemach
- article
- IEEE Electromagnetic Compatibility Magazine, 2014, 3 (4), pp.43-48. ⟨10.1109/MEMC.2014.7023197⟩
- Accès au bibtex
-
- titre
- Adaptation of Oh Model for Soil Parameters Retrieval Using Multi-Angular RADARSAT-2 Datasets
- auteur
- Hongquan Wang, Stéphane Méric, Sophie Allain, Eric Pottier
- article
- Journal of Surveying and Mapping Engineering, 2014, 2 (4), pp.65-74
- Accès au texte intégral et bibtex
-
- titre
- Living Lab Falls-MACVIA-LR: The falls prevention initiative of the European Innovation Partnership on Active and Healthy Ageing (EIP on AHA) in Languedoc-Roussillon
- auteur
- H. Blain, F. Abecassis, P.A. Adnet, B. Alomène, M. Amouyal, B. Bardy, M. Battesti, G. Baptista, P.L. Bernard, J. Berthe, C. Boubakri, J. Burille, Marie-Nöelle Calmels, B. Combe, D. Delignières, A. Dupeyron, G. Dupeyron, O. Engberink, F. Gressard, D. Hève, D. Jakovenko, C. Jeandel, M. Lapierre, M. Léglise, I. Laffont, C. Laurent, B. Lognos, M. Lussert, K. Mandrick, V. Marmelat, P. Martin-Gousset, A. Matheron, G. Mercier, C. Meunier, J. Morel, G. Ninot, F. Nouvel, J. Ortiz, M. Pasdelou, E. Pastor, J. Pélissier, S. Perrey, M. Picot, N. Pinto, S. Ramdani, F. Radier-Pontal, E. Royère, I. Rédini-Martinez, Jm Robine, E. Roux, J. Savy, Y. Stephan, D. Strubel, G. Tallon, K. Torre, M. Verdier, G. Vergotte, E. Viollet, C. Albinet, J. Ankri, Cédric Annweiler, A. Benetos, O. Beauchet, G. Berrut, P. Dargent, Leslie M. Decker, O. Hanon, M. Joël, F. Nourashemi, F. Puisieux, Y. Rolland, G. Ruault, B. Vellas, A. Vuillemin, C. Becker, N. Holand, J. Michel, T. Strandberg, A. Bedbrook, Sébastien Granier, T. Camuzat, R. Bourret, N. Best, O. Jonquet, J. de La Coussaye, Jacques Mercier, M. Nogues, M. Aoustin, P. Domy, J. Bringer, P. Augé, C. Bourquin, J. Bousquet
- article
- European Geriatric Medicine, 2014, 5 (6), pp.416-425. ⟨10.1016/j.eurger.2014.07.010⟩
- Accès au bibtex
-
- titre
- A two-hop IEEE 802.15.5 resource allocation mechanism
- auteur
- Samar Sindian, Matthieu Crussière, Jean-François Hélard, Ayman Khalil, Samhat Abed Ellatif
- article
- EURASIP Journal on Wireless Communications and Networking, 2014, ⟨10.1186/1687-1499-2014-197⟩
- Accès au bibtex
-
- titre
- A digital predistortion technique based on non-uniform MP model and interpolated LUT for linearizing PAs with memory effects
- auteur
- Xiaowen Feng, Bruno Feuvrie, Anne-Sophie Descamps, Yide Wang
- article
- Electronics Letters, 2014, 50 (24), pp.1882-1884. ⟨10.1049/el.2014.2130⟩
- Accès au bibtex
-
- titre
- Seeing the order in a mess: optical signature of periodicity in a cloud of plasmonic nanowires
- auteur
- D.M. Natarov, Marian Marciniak, Ronan Sauleau, A.I. Nosich
- article
- Optics Express, 2014, 22 (23)
- Accès au bibtex
-
- titre
- A Hybrid Approach for Receiving Antennas: Concepts and Applications
- auteur
- Constant Niamien, Manouan Aka Constant Niamien, Sylvain Collardey, Kourosh Mahdjoubi
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (11), pp.5462-5473. ⟨10.1109/TAP.2014.2355852⟩
- Accès au bibtex
-
- titre
- Optimization of electrical properties of parallel plate antenna for EMC testing
- auteur
- Kubík Zdeněk, Denys Nikolayev, Karban Pavel, Skála Jiří, Hromádka Miroslav
- article
- Journal of Computational and Applied Mathematics, 2014, 270, pp.283-293. ⟨10.1016/j.cam.2013.12.054⟩
- Accès au bibtex
-
- titre
- Raman analysis of gold catalyst vapor liquid solid germanium nanowires
- auteur
- M. Israel, Alain Moréac, R. Rogel, Jean-Pierre Landesman, L. Pichon
- article
- physica status solidi (c), 2014, 11 (11-12), pp.1618-1621. ⟨10.1002/pssc.201400041⟩
- Accès au bibtex
-
- titre
- Channel Estimation methods with low complexity for 3GPP/LTE
- auteur
- Moussa Diallo, Maryline Hélard
- article
- Revue Africaine de Recherche en Informatique et Mathématiques Appliquées, 2014, Volume 18, 2014, pp.93-116. ⟨10.46298/arima.1981⟩
- Accès au texte intégral et bibtex
-
- titre
- 2-D shapes description by using features based on the differential turning angle scalogram
- auteur
- Kidiyo Kpalma, Mingqiang Yang, Kamel Belloulata
- article
- Journal of pattern recognition research, 2014, 9 (1), pp.90 - 110. ⟨10.13176/11.571⟩
- Accès au texte intégral et bibtex
-
- titre
- Generation of non-diffractive Bessel beams by inward cylindrical traveling wave aperture distributions
- auteur
- Matteo Albani, Santi Concetto Pavone, Massimiliano Casaletti, Mauro Ettorre
- article
- Optics Express, 2014, 22 (15), pp.18354 - 18364. ⟨10.1364/OE.22.018354⟩
- Accès au texte intégral et bibtex
-
- titre
- A Lightweight Chaos-based Cryptosystem for Dynamic Security Management in Real-Time Overloaded Applications
- auteur
- Maryline Chetto, Safwan El Assad, Mousa Farajallah
- article
- INDERSCIENCE International Journal of Internet Technology and Secured Transactions., 2014, 5 (3)
- Accès au bibtex
-
- titre
- Transcriptome analysis reveals the contribution of thermal and the specific effects in cellular repsonse to millimeter wave exposure
- auteur
- Denis Habauzit, Catherine Le Quement, Maxim Zhadobov, C Martin, Marc Aubry, Ronan Sauleau, Yves Le Dréan
- article
- PLoS ONE, 2014, 9, 10
- Accès au bibtex
-
- titre
- Statistical analysis of Real Aperture Radar field backscattered from sea surfaces under moderate winds by Monte-Carlo simulations
- auteur
- Nicolas Pinel, Bertrand Chapron, Christophe Bourlier, Nicole Beaucoudrey (de), René Garello, Antoine Ghaleb
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, 52 (10), pp.6459-6470. ⟨10.1109/TGRS.2013.2296613⟩
- Accès au bibtex
-
- titre
- Effect of doping on the modification of polycrystalline silicon by spontaneous reduction of diazonium salts
- auteur
- A. Girard, Nathalie . Coulon, Christophe Cardinaud, Tayeb Mohammed-Brahim, Florence Geneste
- article
- Applied Surface Science, 2014, 314, pp.358-366. ⟨10.1016/j.apsusc.2014.07.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Loss reduction technique in ferroelectric tunable devices by laser micro-etching. Application to a CPW stub resonator in X-band
- auteur
- Yonathan Corredores, Quentin Simon, Ratiba Benzerga, Xavier Castel, Ronan Sauleau, Arnaud Le Febvrier, Stéphanie Députier, Maryline Guilloux-Viry, Lingyan Zhang, Gérard Tanné
- article
- IEEE Transactions on Electron Devices, 2014, 61 (12), pp.4166-4170. ⟨10.1109/TED.2014.2360846⟩
- Accès au texte intégral et bibtex
-
- titre
- On Maximum Bandwidth of Rectangular Cavity Antennas With Broadside Radiation Pattern
- auteur
- Mario Martinis, Kourosh Mahdjoubi, Ronan Sauleau, Sylvain Collardey, Loic Bernard, Guido Valerio
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.1709-1712. ⟨10.1109/LAWP.2014.2352274⟩
- Accès au bibtex
-
- titre
- Hybrid PN-ZP-DMT scheme for spectrum efficient POF transmissions
- auteur
- Linning Peng, Maryline Hélard, Sylvain Haese, Ming Liu, Jean-François Hélard
- article
- Journal of Lightwave Technology, 2014, 32 (18), pp.3149-3160
- Accès au bibtex
-
- titre
- Toward a Smart, Fully Conected Society: An Overview of the 32nd Meeting of the Wireless World Research Forum (For the Guest Editors)
- auteur
- Vasilis Friderikos, Maryline Hélard, Jazmín Porras, T Rao
- article
- IEEE Vehicular Technology Magazine, 2014, 9 (3), pp.24-26. ⟨10.1109/MVT.2014.2335392⟩
- Accès au bibtex
-
- titre
- Experimental performances study of a transportable GC-PID and two thermo-desorption based methods coupled to FID and MS detection to assess BTEX exposure at sub-ppb level in air
- auteur
- C. Liaud, N.T. Nguyen, R. Nasreddine, S. Le Calvé
- article
- Talanta, 2014, 127, pp.33-42. ⟨10.1016/j.talanta.2014.04.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental evaluation method for the probability distribution of the quality factor of mode-stirred reverberation chambers
- auteur
- Luk Arnaut, Mihai Ionut Andries, Jérôme Sol, Philippe Besnier
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (8), pp.4199-4208. ⟨10.1109/TAP.2014.2327642⟩
- Accès au bibtex
-
- titre
- Convergence Analysis of a joint SNR and Channel Estimator for Frequency Selective Channels in OFDM Context
- auteur
- Vincent Savaux, Moïse Djoko-Kouam, Yves Louët, Alexandre Skrzypczak
- article
- IET Signal Processing, 2014, 8 (6), pp.693-701. ⟨10.1049/iet-spr.2013.0407⟩
- Accès au bibtex
-
- titre
- Effect of the power cable on data transmission over a pulsewidth-modulated network
- auteur
- Marc-Anthony Mannah, Nicolas Ginot, Christophe Batard
- article
- IEEE Transactions on Industrial Electronics, 2014, 61 (8), pp.4238 - 4245. ⟨10.1109/TIE.2013.2288189⟩
- Accès au bibtex
-
- titre
- Lossy compression of hyperspectral images based on noise parameters estimation and variance stabilizing transform
- auteur
- Alexander N. Zemliachenko, Ruslan A. Kozhemiakin, Mikhail L. Uss, Sergey K. Abramov, Nikolay N. Ponomarenko, Vladimir V. Lukin, Benoît Vozel, Kacem Chehdi
- article
- Journal of applied remote sensing, 2014, 8 (1), http://spie.org/Publications/Journal/10.1117/1.JRS.8.083571. ⟨10.1117/1.JRS.8.083571⟩
- Accès au bibtex
-
- titre
- Closed-formApproximation for the Performance of Finite Sample-Based Energy Detection Using Correlated Receiving Antennas
- auteur
- Amor Nafkha, Babar Aziz
- article
- IEEE Wireless Communications Letters, 2014, PP (99), ⟨10.1109/LWC.2014.2348998⟩
- Accès au bibtex
-
- titre
- Raman analysis of gold catalyst vapor liquid solid germanium nanowires
- auteur
- Israel M., Alain Moréac, Rogel R., Jean-Pierre Landesman, Laurent Pichon
- article
- physica status solidi (c), 2014, 11 (11-12), pp.1618. ⟨10.1002/pssc.201400041⟩
- Accès au bibtex
-
- titre
- Pixel classification of large size hyperspectral images by affinity propagation
- auteur
- Kacem Chehdi, Mariem Soltani, Claude Cariou
- article
- Journal of applied remote sensing, 2014, 8 (1), pp.1-14. ⟨10.1117/1.JRS.8.083567⟩
- Accès au bibtex
-
- titre
- Some Limiting Aspects of Transmission Line Theory and Possible Improvements
- auteur
- Philippe Besnier, Sofiane Chabane, Marco Klingler
- article
- IEEE Electromagnetic Compatibility Magazine, 2014, 3 (2), pp.66-75. ⟨10.1109/MEMC.2014.6849549⟩
- Accès au bibtex
-
- titre
- Investigations on OFDM Signal for Range Ambiguity Suppression in SAR Configuration
- auteur
- Vishal V. Riché, Stéphane Meric, Jean-Yves Baudais, Eric Pottier
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, 52 (7), pp.4194-4197. ⟨10.1109/TGRS.2013.2280190⟩
- Accès au texte intégral et bibtex
-
- titre
- Adaptive multi-task compressive sensing for localisation in wireless local area network
- auteur
- Rongpeng Li, Zhifeng Zhao, Yuan Zhang, Jacques Palicot, Honggang Zhang
- article
- IET Communications, 2014, 8 (10), pp.1736-1744. ⟨10.1049/iet-com.2013.1019⟩
- Accès au bibtex
-
- titre
- Alien crosstalk elimination in digital subscriber line systems
- auteur
- Ahmad Al Amayreh, Jérôme Le Masson, Meryem Ouzzif, Maryline Hélard
- article
- IET Communications, 2014, 8 (10), pp.10. ⟨10.1049/iet-com.2013.0536⟩
- Accès au bibtex
-
- titre
- Polyurethane membranes for flexible centimeter-wave patch antennas
- auteur
- Samuel Baron, Benoit Guiffard, Ala Sharaiha
- article
- Journal of Micromechanics and Microengineering, 2014, 24 (7), pp.075020. ⟨10.1088/0960-1317/24/7/075020⟩
- Accès au bibtex
-
- titre
- Using a Modified Taylor Cell to Validate Simulation and Measurement of Field-to-Shorted-Trace Coupling
- auteur
- Sjoerd Op 'T Land, Mohamed Ramdani, Richard Perdriau, Yannis Braux, M'Hamed Drissi
- article
- IEEE Transactions on Electromagnetic Compatibility, 2014, 56 (4), pp.864-870. ⟨10.1109/TEMC.2014.2313231⟩
- Accès au texte intégral et bibtex
-
- titre
- Green's function retrieval and fluctuations of cross density of states in multiple-scattering media
- auteur
- Julien de Rosny, Matthieu Davy
- article
- EPL - Europhysics Letters, 2014, 106 (5), pp.54004. ⟨10.1209/0295-5075/106/54004⟩
- Accès au bibtex
-
- titre
- An overview of model observers
- auteur
- Lu Zhang, Christine Cavaro-Ménard, Patrick Le Callet
- article
- Innovation and Research in BioMedical engineering, 2014, -- (--), p.1-6. ⟨10.1016/j.irbm.2014.04.002⟩
- Accès au bibtex
-
- titre
- A Precise Lower Bound on Image Subpixel Registration Accuracy
- auteur
- Mikhail L. Uss, Benoit Vozel, Vitaliy A. Dushepa, Vladimir A. Komjak, Kacem Chehdi
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6570757&isnumber=6750066. ⟨10.1109/TGRS.2013.2272559⟩
- Accès au bibtex
-
- titre
- Microwave Plasma Process for SiCN:H Thin Films Synthesis with Composition Varying from SiC:H to SiN:H in H-2/N-2/Ar/Hexamethyldisilazane Gas Mixture
- auteur
- Mohammed Belmahi, Simon Bulou, Amanda Thouvenin, Ludovic De Poucques, Robert Hugon, Laurent Le Brizoual, Patrice Miska, Damien Genève, Jean-Luc Vasseur, Jamal Bougdira
- article
- Plasma Processes and Polymers, 2014, 11 (6), pp.551-558. ⟨10.1002/ppap.201300166⟩
- Accès au bibtex
-
- titre
- Retrieval of River Ice Thickness From C-Band PolSAR Data
- auteur
- Stéphane Mermoz, Sophie Allain, Monique Bernier, Eric Pottier, Karem Chokmani
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, 52 (6), pp.3052-3062
- Accès au bibtex
-
- titre
- Predictive and interactive controllers for solar absorption cooling systems in buildings
- auteur
- Eunice Herrera, Romain Bourdais, Hervé Guéguen
- article
- Journal of Process Control, 2014, 24 (6), pp.836-845. ⟨10.1016/j.jprocont.2014.03.008⟩
- Accès au bibtex
-
- titre
- OCEAN, a flexible adaptive Network-on-Chip for dynamic applications
- auteur
- Ludovic Devaux, Sébastien Pillement
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (4), pp. 337-357. ⟨10.1016/j.micpro.2014.02.002⟩
- Accès au bibtex
-
- titre
- Antennas and RF Front Ends for Cognitive Radio
- auteur
- Mohammed Al-Husseini, Ali El-Hajj, Mario Bkassiny, Said El-Khamy, Amor Nafkha
- article
- International Journal of Antennas and Propagation, 2014, 2014, Article ID 231027, 2 p. ⟨10.1155/2014/231027⟩
- Accès au bibtex
-
- titre
- Solid phantom for body-centric propagation measurements at 60 GHz
- auteur
- Anda R. Guraliuc, Maxim Zhadobov, Olivier de Sagazan, Ronan Sauleau
- article
- IEEE Transactions on Microwave Theory and Techniques, 2014, 62 (8), pp.1373-1380
- Accès au bibtex
-
- titre
- The prediction analysis of cellular radio access network traffic: From entropy theory to networking practice
- auteur
- Rongpeng Li, Zhifeng Zhao, Xuan Zhou, Jacques Palicot, Honggang Zhang
- article
- IEEE Communications Magazine, 2014, 52 (6), pp.234-240. ⟨10.1109/MCOM.2014.6829969⟩
- Accès au bibtex
-
- titre
- Internal energy distribution in electrospray ionization: Towards the evaluation of a thermal-like distribution from the multiplecollision model
- auteur
- David Rondeau, László Drahos, Károly Vékey
- article
- Rapid Communications in Mass Spectrometry, 2014, 28, pp.1273-1284. ⟨10.1002/rcm.6899⟩
- Accès au bibtex
-
- titre
- A simple method to stabilize radiation pattern over a large bandwidth
- auteur
- Haiyang Zhang, Yann Mahe, Tchanguiz Razban, Serge Toutain
- article
- International Journal of Microwave Science and Technology, 2014, 2014, pp.art. 712735. ⟨10.1155/2014/712735⟩
- Accès au bibtex
-
- titre
- Printed inverted-F antennas over lossy magneto-dielectric materials: Theoretical approach and validations
- auteur
- Constant Niamien, Manouan Aka Constant Niamien, Sylvain Collardey, Ala Sharaiha, Kourosh Mahdjoubi
- article
- IET Microwaves Antennas and Propagation, 2014, 8 (7), pp.513-522. ⟨10.1049/iet-map.2013.0244⟩
- Accès au bibtex
-
- titre
- Composite superconducting bulks for efficient heat dissipation during pulse magnetization
- auteur
- B Baskys, A Patel, S Hopkins, D Kenfaui, Xavier Chaud, M. Zhang, B Glowacki
- article
- Journal of Physics: Conference Series, 2014, 507 (1), ⟨10.1088/1742-6596/507/1/012003⟩
- Accès au bibtex
-
- titre
- Saliency Tree: A Novel Saliency Detection Framework
- auteur
- Zhi Liu, Wenbin Zou, Olivier Le Meur
- article
- IEEE Transactions on Image Processing, 2014, 23 (5), pp.1937-1952. ⟨10.1109/TIP.2014.2307434⟩
- Accès au bibtex
-
- titre
- Bifunctional organic/inorganic nanocomposites for energy harvesting, actuation and magnetic sensing applications
- auteur
- Tomas Fiorido, Jérémy Galineau, Vincent Salles, Laurence Seveyrat, Fouad Belhora, Pierre-Jean Cottinet, Ling Hu, Yang Liu, Benoit Guiffard, Agnès Bogner-van de Moortele, Thierry Epicier, Daniel Guyomar, Arnaud Brioude
- article
- Sensors and Actuators A: Physical , 2014, 211, pp.105-114. ⟨10.1016/j.sna.2014.02.010⟩
- Accès au bibtex
-
- titre
- Characterization of an OAM Flat Plate Antenna in the Millimeter Frequency Band
- auteur
- Ronan Niemiec, Christian Brousseau, Kouroch Mahdjoubi, Olivier Emile, Ménard Alain
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, pp.1011 - 1014. ⟨10.1109/LAWP.2014.2326525⟩
- Accès au bibtex
-
- titre
- Polarized infrared reflectivity of 2D sea surfaces with two surface reflections
- auteur
- Hongkun Li, Nicolas Pinel, Christophe Bourlier
- article
- Remote Sensing of Environment, 2014, 147, pp.145-155. ⟨10.1016/j.rse.2014.02.018⟩
- Accès au bibtex
-
- titre
- Two-dimensional radar backscattering modeling of oil slicks at sea based on the model of local balance: Validation of two asymptotic techniques for thick films
- auteur
- Nicolas Pinel, Christophe Bourlier, Irina Sergievskaya
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, 52 (5), pp.2326 - 2338. ⟨10.1109/TGRS.2013.2259498⟩
- Accès au bibtex
-
- titre
- Spectrum Sensing and Resource Allocation for Multicarrier Cognitive Radio Systems Under Interference and Power Constraints
- auteur
- Sener Dikmese, Sudharsan Srinivasan, Musbah Shaat, Faouzi Bader, Markku Renfors
- article
- EURASIP Journal on Advances in Signal Processing, 2014, 1 (68), pp.12. ⟨10.1186/1687-6180-2014-68⟩
- Accès au bibtex
-
- titre
- A new hybrid method for the analysis of surrounded antennas mounted on large platforms
- auteur
- Benoît Le Lepvrier, Renaud Loison, Raphaël Gillard, Philippe Pouliguen, Patrick Potier, Laurent Patier
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (5), pp.1-10. ⟨10.1109/TAP.2014.2307333⟩
- Accès au texte intégral et bibtex
-
- titre
- Detection and Characterization of Hedgerows Using TerraSAR-X Imagery
- auteur
- J. Betbeder, Jean Nabucet, E. Pottier, J. Baudry, Samuel S. Corgne, Laurence Hubert-Moy
- article
- Remote Sensing, 2014, 6 (5), pp.3752-3769. ⟨10.3390/rs6053752⟩
- Accès au texte intégral et bibtex
-
- titre
- MacroModel based DG-FDTD for Calculating Local Dosimetry in a Variable and Highly Multiscale Problem
- auteur
- Zakaria Guelilia, Renaud Loison, Raphaël Gillard
- article
- JPIER, 2014, 146, pp.5-24
- Accès au texte intégral et bibtex
-
- titre
- A heat balanced sigma-delta uncooled bolometer
- auteur
- Matthieu Denoual, D. Brouard, A. Veith, Olivier de Sagazan, Mathieu Pouliquen, Patrick Attia, E. Lebrasseur, Y. Mita, Gilles Allègre
- article
- Measurement Science and Technology, 2014, 25 (6), pp.065101. ⟨10.1088/0957-0233/25/6/065101⟩
- Accès au texte intégral et bibtex
-
- titre
- Electromechanical study of polyurethane films with carbon black nanoparticles for MEMS actuators
- auteur
- M. Roussel, Christophe Malhaire, Anne-Laure Deman, Jean-François Chateaux, L Petit, Laurence Seveyrat, Jérémy Galineau, Benoit Guiffard, Cédric Seguineau, Jean-Michel Desmarres, Julien Martegoutte
- article
- Journal of Micromechanics and Microengineering, 2014, 24 (5), pp.055011. ⟨10.1088/0960-1317/24/5/055011⟩
- Accès au bibtex
-
- titre
- On the Near-Field Shaping and Focusing Capability of a Radial Line Slot Array
- auteur
- Mauro Ettorre, Massimiliano Casaletti, Guido Valerio, Ronan Sauleau, Laurent Le Coq, Santi Concetto Pavone, Matteo Albani
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (4), pp.1991 - 1999. ⟨10.1109/TAP.2014.2301994⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of a PLC modem for data transmission over a PWM power supply
- auteur
- Christophe Batard, Nicolas Ginot, Marc-Anthony Mannah, Christophe Millet, Frédéric Poitiers
- article
- International Journal of Electronics, 2014, 101 (4), pp.516-530 (Published online: 24 May 2013). ⟨10.1080/00207217.2013.792121⟩
- Accès au bibtex
-
- titre
- 60 GHz foam-based antenna for near-field focusing
- auteur
- Jonathan Bor, Sébastien Clauzier, Olivier Lafond, Mohamed Himdi
- article
- Electronics Letters, 2014, 50 (8), pp.571-572
- Accès au bibtex
-
- titre
- Delay Outage Probability in Block Fading Channel and Relay-Assisted Hybrid-ARQ Network
- auteur
- Mohamad Maaz, Philippe Mary, Maryline Hélard
- article
- IEEE Wireless Communications Letters, 2014, 3 (2), pp.129-132
- Accès au bibtex
-
- titre
- Waveguide-integrated MEMS-based phase shifter for phased array antenna
- auteur
- Zargham Baghchehsaraei, Alexander Vorobyov, Jan Åberg, Erwan Fourn, Ronan Sauleau, Joachim Oberhammer
- article
- IET Microwaves Antennas and Propagation, 2014, 8 (4), pp.235-243. ⟨10.1049/iet-map.2013.0256⟩
- Accès au texte intégral et bibtex
-
- titre
- Predicting Spectrum Occupancies Using a Non-stationary Hidden Markov Model
- auteur
- Xianfu Chen, Honggang Zhang, Allen B. Mackenzie, Marja Matinmikko
- article
- IEEE Wireless Communications Letters, 2014, 3 (4), pp.2162-2337. ⟨10.1109/LWC.2014.2315040⟩
- Accès au bibtex
-
- titre
- Linear Phase VDF Design with Unabridged Bandwidth Control over the Nyquist Band
- auteur
- Sumit Jagdish Darak, A. Prasad Vinod, E.M.-K. Lai, Jacques Palicot, Honggang Zhang
- article
- IEEE Transactions on Circuits and Systems Part 2 Analog and Digital Signal Processing, 2014, xx (xx), ⟨10.1109/TCSII.2014.2319992⟩
- Accès au bibtex
-
- titre
- Efficient time domain threshold for sparse channel estimation in OFDM system
- auteur
- Hui Xie, Guillaume Andrieux, Yide Wang, Jean-François Diouris, Suili Feng
- article
- AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2014, 68 (4), pp.277-281 (online 05/10/2013). ⟨10.1016/j.aeue.2013.09.005⟩
- Accès au texte intégral et bibtex
-
- titre
- A Global-Local Synthesis Approach for Large Non-Regular Arrays
- auteur
- Thibault Clavier, Nima Razavi-Ghods, François Glineur, David Gonzalez-Ovejero, Eloy de Lera Acedo, Christophe Craeye, Paul Alexander
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (4), pp.1596-1606. ⟨10.1109/TAP.2013.2284816⟩
- Accès au bibtex
-
- titre
- TACT: A Transfer Actor-Critic Learning Framework for Energy Saving in Cellular Radio Access Networks
- auteur
- Rongpeng Li, Zhifeng Zhao, Xianfu Chen, Jacques Palicot, Honggang Zhang
- article
- IEEE Transactions on Wireless Communications, 2014, 13 (4), pp.2000-2011. ⟨10.1109/TWC.2014.022014.130840⟩
- Accès au bibtex
-
- titre
- Optimal space-time coding under iterative processing
- auteur
- Pierre-Jean Bouvet, Maryline Hélard
- article
- Annals of Telecommunications - annales des télécommunications, 2014, 69 (3/4), pp.229-238,. ⟨10.1007/s12243-013-0353-z⟩
- Accès au bibtex
-
- titre
- Accelerated Performance Evaluation of Fixed-Point Systems With Un-Smooth Operations
- auteur
- Karthick Nagaraj Parashar, Daniel Menard, Olivier Sentieys
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33 (4), pp.599-612. ⟨10.1109/TCAD.2013.2292510⟩
- Accès au texte intégral et bibtex
-
- titre
- Genome scan methods against more complex models: when and how much should we trust them?
- auteur
- Pierre de Villemereuil, Eric Frichot, Eric Bazin, Olivier François, Oscar Gaggiotti
- article
- Molecular Ecology, 2014, 23 (8), pp.2006-2019
- Accès au bibtex
-
- titre
- Unit Cell for Reflectarrays Operating With Independent Dual Circular Polarizations
- auteur
- Simon Mener, Raphaël Gillard, Ronan Sauleau, Cécile Cheymol, Patrick Potier
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.1176 - 1179
- Accès au texte intégral et bibtex
-
- titre
- Online Glocal Transfer for Automatic Figure-ground Segmentation
- auteur
- Wenbin Zou, Cong Bai, Kidiyo Kpalma, Joseph Ronsin
- article
- IEEE Transactions on Image Processing, 2014, PP (99), 14 p. ⟨10.1109/TIP.2014.2312287⟩
- Accès au bibtex
-
- titre
- Multitemporal classification of TerraSAR-X data for wetland vegetation mapping
- auteur
- Julie Betbeder, Sébastien Rapinel, Thomas Corpetti, Eric Pottier, Samuel Corgne, Laurence Hubert-Moy
- article
- Journal of applied remote sensing, 2014, 8 (1), pp.083648. ⟨10.1117/1.JRS.8.083648⟩
- Accès au bibtex
-
- titre
- Electrophoretic deposition of BaTiO3 thin films from stable colloidal aqueous solutions
- auteur
- Elsy Bacha, Raphaël Renoud, Terrisse Hélène, Caroline Borderon, Mireille Richard-Plouet, Hartmut Gundel, Luc Brohan
- article
- Journal of the European Ceramic Society, 2014, 34, pp.2239-2247. ⟨10.1016/j.jeurceramsoc.2014.02.023⟩
- Accès au bibtex
-
- titre
- Low-Return-Loss Printed Log-Periodic Dipole Antenna
- auteur
- Antoine Chauloux, Franck Colombel, Mohamed Himdi, Jean-Louis Lasserre, Philippe Pouliguen
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.503 - 506. ⟨10.1109/LAWP.2014.2310057⟩
- Accès au texte intégral et bibtex
-
- titre
- Performance Evaluation of an Automotive Distributed Architecture Based on HPAV Communication Protocol Using a Transaction Level Modeling Approach
- auteur
- Takieddine Majdoub, Sébastien Lenours, Olivier Pasquier, Fabienne Nouvel
- article
- 2014, Journal of Real-Time Image Processing, 9 (1), pp.281-295. ⟨10.1007/s11554-013-0323-8⟩
- Accès au bibtex
-
- titre
- NSCT edge Enhancement for SIFT key points extraction
- auteur
- Abdelkrim Ghaz, Kidiyo Kpalma, Abdennacer Bounoua
- article
- IOSR Journal of VLSI and Signal Processing (IOSR-JVSP), 2014, 4 (2), pp.84-90. ⟨10.9790/4200-04218490⟩
- Accès au bibtex
-
- titre
- Research on Energy-efficiency in Wireless Sensor Networks Based on Minimum Energy Coding
- auteur
- Yue Peng, Duo-Long Wu, Guillaume Andrieux, Jean-François Diouris, Lin Qing
- article
- Journal of Guangdong University of Technology, 2014, 31 (1), pp. 86-90. ⟨10.3969/j.issn.1007-7162.2014.01.017⟩
- Accès au bibtex
-
- titre
- Polarimetric Approaches for Persistent Scatterers Interferometry
- auteur
- Victor D. Navarro-Sanchez, Juan M. Lopez-Sanchez, Laurent Ferro-Famil
- article
- IEEE Transactions on Geoscience and Remote Sensing, 2014, 52 (3), pp.1667-1676. ⟨10.1109/TGRS.2013.2253111⟩
- Accès au bibtex
-
- titre
- Accuracy of Singularity Expansion Method in Time and Frequency Domains to Characterize Antennas in Presence of Noise
- auteur
- François Sarrazin, Janic Chauveau, Philippe Pouliguen, Patrick Potier, Ala Sharaiha
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (3), pp.1261 - 1269. ⟨10.1109/TAP.2013.2294216⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of textile on the propagation along the body at 60GHz
- auteur
- Anda R. Guraliuc, Maxim Zhadobov, Guido Valerio, Nacer Chahat, Ronan Sauleau
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (3), pp.1489-1494
- Accès au bibtex
-
- titre
- Spatial Modeling of the Traffic Density in Cellular Networks
- auteur
- Dongheon Lee, Sheng Zhou, Xiaofeng Zhong, Zhisheng Niu, Honggang Zhang
- article
- IEEE Wireless Communications, 2014, 21 (1), pp.80-88. ⟨10.1109/MWC.2014.6757900⟩
- Accès au bibtex
-
- titre
- Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain
- auteur
- Sabri Janfaoui, Claude Simon, Nathalie . Coulon, Tayeb Mohammed-Brahim
- article
- Solid-State Electronics, 2014, 93, pp.1-7. ⟨10.1016/j.sse.2013.12.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of the coarse-grained reconfigurable architecture DART with on-line error detection
- auteur
- Syed M. A. H. Jafri, Stanislaw J. Piestrak, Olivier Sentieys, Sébastien Pillement
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (2), pp.124-136. ⟨10.1016/j.micpro.2013.12.004⟩
- Accès au bibtex
-
- titre
- Application of a Channel Estimation Algorithm to Spectrum Sensing in a Cognitive Radio Context
- auteur
- Vincent Savaux, Moïse Djoko-Kouam, Yves Louët, Alexandre Skrzypczak
- article
- International Journal of Antennas and Propagation, 2014, 2014, Article ID 506457, 13 p. ⟨10.1155/2014/506457⟩
- Accès au bibtex
-
- titre
- HF radar detection of infrasonic waves generated in the ionosphere by the 28 March 2005 Sumatra earthquake
- auteur
- Alain Bourdillon, Giovanni Occhipinti, Jean-Philippe Molinié, Véronique Rannou
- article
- Journal of Atmospheric and Solar-Terrestrial Physics, 2014, 109, pp.75-79. ⟨10.1016/j.jastp.2014.01.008⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of ferroelectric/dielectric multilayers for tunable stub resonator applications at microwaves
- auteur
- Yonathan Corredores, Arnaud Le Febvrier, Xavier Castel, Ronan Sauleau, Ratiba Benzerga, Stéphanie Députier, Maryline Guilloux-Viry, Ali Mekadmini, Noham Martin, Gérard Tanné
- article
- Thin Solid Films, 2014, 553, pp.109-113. ⟨10.1016/j.tsf.2013.11.068⟩
- Accès au texte intégral et bibtex
-
- titre
- Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization
- auteur
- Claire Le Paven-Thivet, Yu Lu, H.V. Nguyen, Ratiba Benzerga, Laurent Le Gendre, Stéphane Rioual, Dhafira Benzegoutta, Franck Tessier, François Cheviré, Ala Sharaiha, C. Delaveaud, Xavier Castel
- article
- Thin Solid Films, 2014, 553, pp.76-80. ⟨10.1016/j.tsf.2013.11.124⟩
- Accès au bibtex
-
- titre
- Window increasing technique to discriminate mathematical and physical resonant poles extracted from antenna response
- auteur
- François Sarrazin, Philippe Pouliguen, Ala Sharaiha, Patrick Potier, Janic Chauveau
- article
- Electronics Letters, 2014, 50 (5), pp.343 - 344. ⟨10.1049/el.2013.3980⟩
- Accès au texte intégral et bibtex
-
- titre
- Dielectric long time relaxation of domains walls in PbZrTiO3 thin films
- auteur
- Caroline Borderon, Raphaël Renoud, Mostafa Ragheb, Hartmut W. Gundel
- article
- Applied Physics Letters, 2014, 104, pp.072902. ⟨10.1063/1.4866156⟩
- Accès au bibtex
-
- titre
- Electromagnetically induced torque on a large ring in the microwave range.
- auteur
- Olivier Emile, Christian Brousseau, Janine Emile, Ronan Niemiec, Kouroch Mahdjoubi, Bo Thide
- article
- Physical Review Letters, 2014, 112 (5), pp.053902. ⟨10.1103/PhysRevLett.112.053902⟩
- Accès au texte intégral et bibtex
-
- titre
- Polycrystalline silicon nanowires synthesis compatible with CMOS technology for integrated gas sensing applications
- auteur
- Régis Rogel, Emmanuel Jacques, Laurent Pichon, Anne-Claire Salaün
- article
- IEEE Transactions on Electron Devices, 2014, 61 (2), pp.598. ⟨10.1109/TED.2013.2295511⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of carrier injection in Si nanoparticle-SiOx film based MOS devices
- auteur
- Emmanuel Jacques, Laurent Pichon, Christophe Labbé, Larysa Khomenkova, Fabrice Gourbilleau
- article
- physica status solidi (c), 2014, 11 (2), pp.206. ⟨10.1002/pssc.201300379⟩
- Accès au bibtex
-
- titre
- N-type in-situ doping effect on vapour liquid solid silicon nanowires properties for gas sensing applications
- auteur
- Laurent Pichon, Régis Rogel, Emmanuel Jacques, Anne-Claire Salaün
- article
- physica status solidi (c), 2014, 11 (2), pp.344. ⟨10.1002/pssc.201300206⟩
- Accès au bibtex
-
- titre
- Blind Spectrum Sensing Using Symmetry Property of Cyclic Autocorrelation Function: From Theory to Practice
- auteur
- Lise Safatly, Babar Aziz, Amor Nafkha, Yves Louët, Youssef Nasser, Ali El Hajj
- article
- EURASIP Journal on Wireless Communications and Networking, 2014, 2014 (26), 13 p. ⟨10.1186/1687-1499-2014-26⟩
- Accès au bibtex
-
- titre
- Guard Time Optimization for Capacity Maximization of BPSK Impulse UWB Communications
- auteur
- Abdallah Hamini, Jean-Yves Baudais, Andrea M. Tonello, Jean-François Hélard
- article
- Journal of Communication, 2014, 9 (2), pp.188-197. ⟨10.12720/jcm.9.2.188-197⟩
- Accès au texte intégral et bibtex
-
- titre
- Ion beam sputtered aluminum based multilayer mirrors for extreme ultraviolet solar imaging
- auteur
- A. Ziani, Franck Delmotte, C. Le Paven-Thivet, E. Meltchakov, A. Jérome, M. Roulliay, F. Bridou, K. Gasc
- article
- Thin Solid Films, 2014, 552, pp.62 - 67. ⟨10.1016/j.tsf.2013.12.015⟩
- Accès au bibtex
-
- titre
- Understanding the Nature of Social Mobile Instant Messaging in Cellular Networks
- auteur
- Xuan Zhou, Zhifeng Zhao, Rongpeng Li, Yifan Zhou, Jacques Palicot, Honggang Zhang
- article
- IEEE Communications Letters, 2014, PP (99), ⟨10.1109/LCOMM.2014.012014.132592⟩
- Accès au bibtex
-
- titre
- Using Polynomial Wigner-Ville Distribution for Velocity Estimation in Remote Toll Applications
- auteur
- Stéphane Meric, Rébecca Pancot
- article
- IEEE Geoscience and Remote Sensing Letters, 2014, 11 (2), pp.409 - 413
- Accès au texte intégral et bibtex
-
- titre
- Multiresidue determination of 256 pesticides in lavandin essential oil by LC/ESI/sSRM: advantages and drawbacks of a sampling method involving evaporation under nitrogen
- auteur
- David Rondeau, Yoann Fillatre, Antoine Daguin, Alain Jadas-Hecart, Pierre-Yves Communal
- article
- Analytical and Bioanalytical Chemistry, 2014, 406 (5), pp.1541 - 1550. ⟨10.1007/s00216-013-7553-2⟩
- Accès au bibtex
-
- titre
- Application of Convex Relaxation to Array Synthesis Problems
- auteur
- Benjamin Fuchs
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62 (2), pp.634-640. ⟨10.1109/TAP.2013.2290797⟩
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic interactions analysis between two 3-D scatterers using the E-PILE method combined with the PO approximation
- auteur
- Mohammad Kouali, Gildas Kubicke, Christophe Bourlier
- article
- Progress In Electromagnetics Research B, 2014, 58, pp.123-138
- Accès au bibtex
-
- titre
- Technological Process to Control the Foam Dielectric Constant Application to Microwave Components and Antennas
- auteur
- Jonathan Bor, Olivier Lafond, Hervé Merlet, Philippe Le Bars, Mohamed Himdi
- article
- IEEE Transactions on Components, Packaging and Manufacturing Technology. Part A, Manufacturing Technology, 2014, 99, in press. ⟨10.1109/TCPMT.2013.2294871⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of Sparse Time-Modulated Array by Genetic Algorithm for Radar Applications
- auteur
- Jérome Euziere, Régis Guinvarc'H, Bernard Uguen, Raphaël Gillard
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.Pages 161-164. ⟨10.1109/LAWP.2014.2299285⟩
- Accès au bibtex
-
- titre
- Achieving Low-Complexity Maximum-Likelihood Detection for the 3D MIMO Code
- auteur
- Ming Liu, Matthieu Crussière, Maryline Hélard, Jean-François Hélard
- article
- EURASIP Journal on Wireless Communications and Networking, 2014, pp.1-27
- Accès au texte intégral et bibtex
-
- titre
- Co-saliency detection based on hierarchical segmentation
- auteur
- Zhi Liu, Wenbin Zou, Lina Li, Liquan Shen, Olivier Le Meur
- article
- IEEE Signal Processing Letters, 2014, 21 (1), pp.88-92. ⟨10.1109/LSP.2013.2292873⟩
- Accès au bibtex
-
- titre
- Assessing the performance of two unsupervised dimensionality reduction techniques on hyperspectral APEX data for high resolution urban land-cover mapping
- auteur
- Luca Demarchi, Frank Canters, Claude Cariou, Giorgio Licciardi, Jonathan Cheung-Wai Chan
- article
- ISPRS Journal of Photogrammetry and Remote Sensing, 2014, 87, pp.166-179. ⟨10.1016/j.isprsjprs.2013.10.012⟩
- Accès au bibtex
-
- titre
- Resource allocation in High Data Rate WPAN: a survey paper
- auteur
- Samar Sindian, Ayman Khalil, Abed Ellatif Samhat, Matthieu Crussière, Jean-François Hélard
- article
- Wireless Personal Communications, 2014, 74 (2), pp.909-932. ⟨10.1007/s11277-013-1330-6⟩
- Accès au bibtex
-
- titre
- Electronic sensor for pH measurements in nanoliters
- auteur
- Ismaïl Bouhadda, Olivier de Sagazan, France Le Bihan
- article
- Procedia Engineering, 2014, EUROSENSORS 2014, the 28th European Conference on Solid-State Transducers, 87, pp.915-918. ⟨10.1016/j.proeng.2014.11.304⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of total gas pressure in sputtered hydrogenated amorphous silicon
- auteur
- Abdelkrim Fedala, Aghilas Dad, Moussa Khefiani-Guellil, Sonia Tata, Claude Simon, Tayeb Mohammed-Brahim
- article
- physica status solidi (c), 2014, 11 (11-12), pp.1682--1685. ⟨10.1002/pssc.201400070⟩
- Accès au bibtex
-
- titre
- Closed-form approximations of the peak-to-average power ratio distribution for multi-carrier modulation and their applications
- auteur
- Marwa Chafii, Jacques Palicot, Rémi Gribonval
- article
- EURASIP Journal on Advances in Signal Processing, 2014, 2014 (1), pp.121. ⟨10.1186/1687-6180-2014-121⟩
- Accès au texte intégral et bibtex
-
- titre
- 2D Numerical Analysis of Metal/Insulator/Thin Film Silicon Systems for TFT's Applications: Investigation of Active Layer Properties on Quasi-Static Capacitance
- auteur
- Hadjira Tayoub, Asmaa Bensmain, B. Zebentout, Fatima Maachou, Zineb Benamara, Tayeb Mohammed-Brahim
- article
- Sensors & Transducers., 2014, 27, pp.347-353
- Accès au bibtex
-
- titre
- 1D-Var multilayer assimilation of X-band SAR data into a detailed snowpack model
- auteur
- Xuan Vu Phan, Laurent Ferro-Famil, Michel Gay, Y Durand, M Dumont, S Morin, S Allain, Guy d'Urso, A Girard
- article
- The Cryosphere, 2014, pp.1975-1987. ⟨10.5194/tc-8-1975-2014⟩
- Accès au texte intégral et bibtex
-
- titre
- Vertical Conduction in the New Field Effect Transistors:p-Type and n-Type Vertical Channel Thin Film Transistors
- auteur
- Olivier Bonnaud, Peng Zhang
- article
- International Journal of High Speed Electronics and Systems, 2014, 23 (3&4), 15 p. ⟨10.1142/S0129156414500232⟩
- Accès au bibtex
-
- titre
- Split and flow : reconfigurable capillary connection for digital microfluidic
- auteur
- Florian Lapierre, Maxime Harnois, Yannick Coffinier, Rabah Boukherroub, V. Thomy
- article
- Lab on a Chip, 2014, 14,, pp.3589-3593. ⟨10.1039/C4LC00650J⟩
- Accès au texte intégral et bibtex
-
- titre
- Determination of the topological charge of a twisted beam with a Fresnel bi-prism
- auteur
- Olivier Emile, Janine Emile, Christian Brousseau
- article
- Journal of Optics, 2014, Journal of Optics, 16 (12), pp.125703. ⟨10.1088/2040-8978/16/12/125703⟩
- Accès au texte intégral et bibtex
-
- titre
- A Planar Reconfigurable Radiation Pattern Dipole Antenna with Reflectors and Directors for Wireless Communication Applications
- auteur
- Imen Ben Trad, Jean Marie Floc'H, Hatem Rmili, M'Hamed Drissi, Fethi Choubani
- article
- International Journal of Antennas and Propagation, 2014, pp.593259. ⟨10.1155/2014/593259⟩
- Accès au bibtex
-
- titre
- Electron deficient dicyanovinylene-ladder-type pentaphenylene derivative for n-type Organic Field Effect Transistors
- auteur
- Emmanuel Jacques, Maxime Romain, Ali Yassin, Sarah Bebiche, Maxime Harnois, Tayeb Mohammed-Brahim, Joëlle Rault-Berthelot, Cyril Poriel
- article
- Journal of Materials Chemistry C, 2014, 2 (17), pp.3292-3302. ⟨10.1039/C3TC31925C⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvement of efficient coupling and optical resonances by using taper-waveguides coupled to cascade of UV210 polymer micro-resonators
- auteur
- Rigoberto Castro-Beltran, Nolwenn Huby, Goulc'Hen Loas, Hervé Lhermite, David Pluchon, Bruno Bêche
- article
- Journal of Micromechanics and Microengineering, 2014, 24, pp.125006. ⟨10.1088/0960-1317/24/12/125006⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of 60-GHz millimeter waves and corresponding heat effect on endoplasmic reticulum stress sensor gene expression
- auteur
- Catherine Le Quément, Christophe Nicolas Nicolaz, Denis Habauzit, Maxim Zhadobov, Ronan Sauleau, Yves Le Dréan
- article
- Bioelectromagnetics, 2014, 35 (6), pp.444--451. ⟨10.1002/bem.21864⟩
- Accès au bibtex
-
- titre
- Nanostructuration and band gap emission enhancement of ZnO film via electrochemical anodization
- auteur
- Amine Achour, M. A. Soussou, K. Ait Aissa, M Islam, N Barreau, E Faulques, Laurent Le Brizoual, Mohamed Abdou Djouadi, M. Boujtita
- article
- Thin Solid Films, 2014, 571 (Part 1), pp.168-174. ⟨10.1016/j.tsf.2014.10.061⟩
- Accès au texte intégral et bibtex
-
- titre
- Planar Elliptic Broadband Antenna with Wide Range Reconfigurable Narrow Notched Bands for Multi-Standard Wireless Communication Devices
- auteur
- Imen Ben Trad, Jean Marie Floc'H, Hatem Rmili, L Laadhar, M'Hamed Drissi
- article
- Progress In Electromagnetics Research, 2014, 145, pp.69-80. ⟨10.2528/PIER13122701⟩
- Accès au bibtex
-
- titre
- Approaches To Automatic Data Processing In Hyperspectral Remote Sensing
- auteur
- V. V. Lukin, S. K. Abramov, N. N. Ponomarenko, S. S. Krivenko, M. L. Uss, Benoit Vozel, Kacem Chehdi, K. O. Egiazarian, J. T. Astola
- article
- Telecommunications and Radio Engineering, 2014, 13, http://dl.begellhouse.com/journals/0632a9d54950b268,6b541fef7dc47de0,59013a284700b5c4.html. ⟨10.1615/TelecomRadEng.v73.i13.10⟩
- Accès au bibtex
-
- titre
- Approaches to prediction of speckle removal efficiency for DCT based filter
- auteur
- V. V. Lukin, O. S. Rubel, O. V. Naumenko, B. Vozel, K. Chehdi
- article
- Ukrainian Journal Of Remote Sensing, 2014, 3, http://ujrs.org.ua/ujrs/article/view/28
- Accès au bibtex
-
- titre
- Automatic Estimation Of Spatially Correlated Noise Variance In Spectral Domain For Images
- auteur
- V.V. Abramova, S. K. Abramov, V. V. Lukin, A. A. Roenko, Benoit Vozel
- article
- Telecommunications and Radio Engineering, 2014, 6, http://dl.begellhouse.com/journals/0632a9d54950b268,42c8914804282389,1b7a4d90381fd29c.html. ⟨10.1615/TelecomRadEng.v73.i6.40⟩
- Accès au bibtex
-
- titre
- A unified broadcast layer for horizon 2020 delivery of multimedia services
- auteur
- Matthieu Crussière, Catherine Douillard, Christian Gallard, Marie Le Bot, Benjamin Ros, Arnaud Bouttier, Alain Untersee
- article
- IEEE Transactions on Broadcasting, 2014, 60 (2), pp.193-207. ⟨10.1109/TBC.2014.2315764⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of total gas pressure in sputtered hydrogenated amourphous silicon
- auteur
- Abdelkrim Fedala, Aghilas Dad, Moussa Khefiani-Guellil, Sonia Tata, Claude Simon, Tayeb Mohammed-Brahim
- article
- physica status solidi (c), 2014, C11, 11-12, pp.1682-1685
- Accès au bibtex
-
- titre
- Chaos-based Spatial Steganography System for Images
- auteur
- Dalia Battikh, Safwan El Assad, Bassem Bakhache, Oliver Déforges, Mohamad Khalil
- article
- International Journal of Chaotic Computing, 2014, 3 (1), pp.36-44. ⟨10.20533/ijcc.2046.3359.2014.0005⟩
- Accès au bibtex
-
- titre
- Adaptive MIMO detection for circular signals by jointly exploiting the properties of both signal and channel
- auteur
- Yuehua Ding, Yide Wang, Nanxi Li, Suili Feng, Wei Feng
- article
- IEICE Transactions on Communications, 2014, pp.ID: 2014EBP3045
- Accès au bibtex
-
- titre
- Mechanical characterization and comparison of different NiTi/silicone rubber interfaces
- auteur
- Thierry Rey, Florence Razan, Eric Robin, Sylvain Faure, Jean-Benoît Le Cam, Grégory Chagnon, Aurélie Girard, Denis Favier
- article
- International Journal of Adhesion and Adhesives, 2014, 48, pp.67-74. ⟨10.1016/j.ijadhadh.2013.09.028⟩
- Accès au texte intégral et bibtex
-
- titre
- Drosophila Spag is the homolog of RNA polymerase II-associated protein 3 (RPAP3) and recruits the heat shock proteins 70 and 90 (Hsp70 and Hsp90) during the assembly of cellular machineries
- auteur
- H. Benbahouche Nel, I. Iliopoulos, I. Torok, J. Marhold, J. Henri, Andrey Kajava, R. Farkas, T. Kempf, M. Schnolzer, P. Meyer, I. Kiss, Edouard Bertrand, B. M. Mechler*, B. Pradet-Balade*
- article
- Journal of Biological Chemistry, 2014, 289 (9), pp.6236--47. ⟨10.1074/jbc.M113.499608⟩
- Accès au texte intégral et bibtex
-
- titre
- Annular Cavity Horn Antenna Provides a Fivefold Increase of the Power Density in BEM Experiments in the 60-GHz Band
- auteur
- Artem V. Boriskin, Maxim Zhadobov, Yves Le Drean, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.1693 - 1696. ⟨10.1109/LAWP.2014.2351780⟩
- Accès au bibtex
-
- titre
- A Pan-sharpening Based on the Non-Subsampled Contourlet transform: Application to Worldview-2 imagery
- auteur
- Miloud Chikr El-Mezouar, Kidiyo Kpalma, Nasreddine Taleb, Joseph Ronsin
- article
- IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2014, PP (99), 10 p. ⟨10.1109/JSTARS.2014.2306332⟩
- Accès au bibtex
-
- titre
- Array synthesis problems via convex relaxation
- auteur
- Benjamin Fuchs
- article
- APSURSI 2014 : Antennas and Propagation Society International Symposium, 2014, pp.1361 - 1362. ⟨10.1109/APS.2014.6905006⟩
- Accès au texte intégral et bibtex
-
- titre
- Robust blind deconvolution process for vehicle re-identification by an Inductive Loop Detector
- auteur
- David Guilbert, Sio Song Ieng, Cédric Le Bastard, Yide Wang
- article
- IEEE Sensors Journal, 2014, 14 (12), pp.4315-4322. ⟨10.1109/JSEN.2014.2345755⟩
- Accès au bibtex
-
- titre
- Miniaturized notch antenna based on lanthanum titanium perovskite oxide thin films
- auteur
- Hoaï-Nam Nguyen, Ratiba Benzerga, Christophe Delaveaud, Claire Le Paven-Thivet, Yu Lu, Ala Sharaiha, Laurent Le Gendre, Stéphanie Députier, Franck Tessier, François Cheviré, Xavier Castel
- article
- Thin Solid Films, 2014, 563, pp.36-39. ⟨10.1016/j.tsf.2014.04.011⟩
- Accès au bibtex
-
- titre
- Strain in ferroelectric polymers under low-frequency electric fields: Experiments and modeling
- auteur
- Daniel Guyomar, Rabah Belouadah, Benjamin Ducharne, Benoit Guiffard, Minh-Quyen Le, Kaori Yuse
- article
- Journal of Intelligent Material Systems and Structures, 2014, 25 (11), pp.1323-1330. ⟨10.1177/1045389X13505250⟩
- Accès au bibtex
-
- titre
- An Approach To Prediction Of Signal-Dependent Noise Removal Efficiency By Dct-Based Filter
- auteur
- V. V. Lukin, S. K. Abramov, A. Rubel, S. S. Krivenko, A. Naumenko, Benoit Vozel, Kacem Chehdi, K. O. Egiazarian, J. T. Astola
- article
- Telecommunications and Radio Engineering, 2014, 18, http://dl.begellhouse.com/journals/0632a9d54950b268,008354e75f70dae6,0dc7ea74705571d8.html. ⟨10.1615/TelecomRadEng.v73.i18.40⟩
- Accès au bibtex
-
- titre
- Gravimetric and differential thermal analysis of cullet-based glass foams
- auteur
- Azzedine Ayadi, Fayrouz Benhaoua, Laurent Le Gendre, Ratiba Benzerga, Nacira Stiti
- article
- Key Engineering Materials, 2014, 617, pp.113-116. ⟨10.4028/www.scientific.net/KEM.617.113⟩
- Accès au bibtex
-
- titre
- Analysis of a Compact and Superdirective Metamaterial-Inspired Monopole Antenna
- auteur
- Saber Dakhli, Hatem Rmili, Kourosh Mahdjoubi, Jean Marie Floc'H, Fethi Choubani
- article
- International Journal of Antennas and Propagation, 2014, 2014, pp.806379. ⟨10.1155/2014/806379⟩
- Accès au texte intégral et bibtex
-
- titre
- A fast EPILE+FBSA method combined with Adaptive Cross Approximation for the scattering from a target above a large ocean-like surface
- auteur
- Gildas Kubicke, Christophe Bourlier, Sami Bellez, Hongkun Li
- article
- Progress In Electromagnetics Research M, 2014, 37, 175-182 (paper 14052503). ⟨10.2528/pierm14052503⟩
- Accès au bibtex
-
- titre
- Enhancement of on-body propagation at 60 GHz using electro textiles
- auteur
- Anda R. Guraliuc, Maxim Zhadobov, Guido Valerio, Nacer Chahat, Ronan Sauleau
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.603-606. ⟨10.1109/LAWP.2014.2313019⟩
- Accès au bibtex
-
- titre
- Miniaturized antenna solution based on lossy planar microresonators to conjointly control radiation and selectivity
- auteur
- Biyun Ma, Anne Chousseaud, Serge Toutain
- article
- International Journal of Antennas and Propagation, 2014, pp.802815. ⟨10.1155/2014/802815⟩
- Accès au bibtex
-
- titre
- End-fire antenna for ban at 60 GHz: impact of bending, on-body performances, and study of an off-body scenario
- auteur
- Nacer Chahat, Anda R. Guraliuc, Carole Leduc, Maxim Zhadobov, Ronan Sauleau
- article
- Electronics, 2014, 3 (2), pp.221-233. ⟨10.3390/electronics3020221⟩
- Accès au bibtex
-
- titre
- Time delay and permittivity estimation by ground penetrating radar with support vector regression
- auteur
- Cédric Le Bastard, Yide Wang, Vincent Baltazart, Xavier Derobert
- article
- IEEE Geoscience and Remote Sensing Letters, 2014, 11 (4), pp.873-877. ⟨10.1109/LGRS.2013.2280500⟩
- Accès au bibtex
-
- titre
- Effect of total gas pressure in sputtered hydrogenated amourphous silicon.
- auteur
- Abdelkrim Fedala, Moussa Khefiani-Guellil, S Tata, C. Simon, T. Mohammed-Brahim
- article
- physica status solidi (c), 2014, C11 (11-12 )
- Accès au bibtex
-
- titre
- Measurements of Vertically Polarized Electromagnetic Surface Waves Over a Calm Sea in HF Band. Comparison to Planar Earth Theories
- auteur
- Mathilde Bellec, Stéphane Avrillon, Pierre Yves Jezequel, Sébastien Palud, Franck Colombel, Philippe Pouliguen
- article
- IEEE Transactions on Antennas and Propagation, 2014, 62, pp.3823 - 3828. ⟨10.1109/TAP.2014.2317493⟩
- Accès au texte intégral et bibtex
-
- titre
- Low-cost ku-band dual-polarized and beam switchable cross-type antenna array for satellite communications
- auteur
- Haiyang Zhang, Yann Mahe, Tchanguiz Razban
- article
- Microwave and Optical Technology Letters, 2014, 56 (11), pp.2656-2659. ⟨10.1002/mop.28670⟩
- Accès au bibtex
-
- titre
- A lightweight chaos-based crypto-system for dynamic security management in real-time overloaded applications
- auteur
- Maryline Chetto, Safwan El Assad, Mousa Farajallah
- article
- International Journal of Internet Technology and Secured Transaction, 2014, 5 (3), pp.262-274
- Accès au bibtex
-
- titre
- Energy detection approach for spectrum sensing in cognitive radio systems with the use of random sampling
- auteur
- Hayat Semlali, Najib Boumaaz, Abdallah Soulmani, Abdellilah Ghammaz, Jean-François Diouris
- article
- Wireless Personal Communications, 2014, 79 (2), pp.1053-1061. ⟨10.1007/s11277-014-1917-6⟩
- Accès au bibtex
-
- titre
- Thinning the active layer of TFTs
- auteur
- Mamadou Lamine Samb, H Dong, Emmanuel Jacques, G. Sissoko, A Seidou-Maiga, Tayeb Mohammed-Brahim
- article
- ECS Transactions, 2014, 64, 10, pp.9-16
- Accès au bibtex
-
- titre
- Foam Based Luneburg Lens Antenna at 60 GHz
- auteur
- Jonathan Bor, Olivier Lafond, Hervé Merlet, Philippe Le Bars, Mohamed Himdi
- article
- Progress In Electromagnetics Research Letters, 2014, 44, pp.1-7. ⟨10.2528/PIERL13092405⟩
- Accès au texte intégral et bibtex
-
- titre
- Metasurfing by Transformation Electromagnetics
- auteur
- Mario Mencagli, Enrica Martini, David Gonzalez-Ovejero, Stefano Maci
- article
- IEEE Antennas and Wireless Propagation Letters, 2014, 13, pp.1767-1770. ⟨10.1109/LAWP.2014.2364981⟩
- Accès au bibtex
-
- titre
- Study of Directive and Low Profile Metamaterial-Inspired Antenna
- auteur
- Saber Dakhli, Kouroch Mahdjoubi, Hatem Rmili, Jean Marie Floc'H, H. Zangar
- article
- Progress In Electromagnetics Research, 2014
- Accès au bibtex
-
- titre
- Effect of periodicity in the resonant scattering of light by finite sparse configurations of many silver nanowires
- auteur
- D.M. Natarov, Ronan Sauleau, Marian Marciniak, A.I. Nosich
- article
- Plasmonics, 2014, 9 (2), pp.389-407
- Accès au bibtex
-
- titre
- Hierarchical nanocomposite electrodes based on titanium nitride and carbon nanotubes for micro-supercapacitors
- auteur
- A. Achour, J.B. Ducros, L. Porto, M. Boujtita, E. Gautron, L. Le Brizoual, M.A. Djouadi, T. Brousse
- article
- Nano Energy, 2014, 7, pp.104 - 113. ⟨10.1016/j.nanoen.2014.04.008⟩
- Accès au bibtex
-
Conference papers
- titre
- Pan-sharpening techniques based on the NSCT and the IHS Transforms applied to high resolution images
- auteur
- Miloud Chikr El-Mezouar, Nasreddine Taleb, Kidiyo Kpalma
- article
- 2nd Arab American Frontiers of Science, Engineering, and Medicine Symposium, Dec 2014, Muscat, Oman
- Accès au bibtex
-
- titre
- Numerical Analysis of the size effect on a Printed 2D-irregular fractal-jet Antenna
- auteur
- D Oueslati, Hatem Rmili, C Dumouchel, Jean Marie Floc'H, L Laadhar
- article
- MMS 2014, Dec 2014, Marrakech, Morocco
- Accès au bibtex
-
- titre
- Compact bowtie dielectric resonator antenna for broadband applications
- auteur
- Hedi Raggad, Mohamed Latrach, Ali Gharsallah
- article
- Microwave Symposium (MMS), 2014 14th Mediterranean, Dec 2014, Marrakech, Morocco. ⟨10.1109/MMS.2014.7088791⟩
- Accès au bibtex
-
- titre
- A dual band compact antenna with SSR for wireless communication systems
- auteur
- O Benabdelouhab, I Aznabet, M. Aznabet, O Mirabet, Jean Marie Floc'H, M'Hamed Drissi, M Khalaldi
- article
- MMS 2014, Dec 2014, Marrakech, Morocco
- Accès au bibtex
-
- titre
- Broadband Design Array of Printed Dipole
- auteur
- Jean Marie Floc'H, Anne-Claude Tarot
- article
- MMS 2014, Dec 2014, Marrakech, Morocco
- Accès au bibtex
-