Publications HAL du labo/EPI Lab-STICC

2024

Journal articles

titre
Médiation dans le numérique, une pédagogie gagnante?
auteur
Cécile Plaud, Vincent Ribaud
article
Spirale - Revue de Recherches en Éducation , 2024, 73
Accès au bibtex
BibTex
titre
NAVIDRO, a CARES architectural style for configuring drone co-simulation
auteur
Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
article
ACM Transactions on Embedded Computing Systems (TECS), In press, ⟨10.1145/3651889⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04514108/file/3651889.pdf BibTex

Conference papers

titre
On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
auteur
Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapotre, Pascal Cotret, Gogniat Guy, Tim Guneysu
article
19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore
Accès au bibtex
BibTex
titre
RISC-V Embedded AI for IDS Applications
auteur
Pierre Garreau, Pascal Cotret, Julien Francq, Jean-Christophe Cexus, Loïc Lagadec
article
RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France
Accès au texte intégral et bibtex
https://hal.science/hal-04498047/file/bare_conf.pdf BibTex
titre
Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
Cyber On Board, Mar 2024, ile des Embiez, France
Accès au bibtex
BibTex
titre
Cache locking against cache-based side-channel attacks
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
Accès au bibtex
BibTex
titre
Scratchy : A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming Applications
auteur
Joseph W Faye, Naouel Haggui, Florent Kermarrec, Kevin J M Martin, Shuvra Bhattacharyya, Jean-François Nezan, Maxime Pelcat
article
DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich (Allemagne), Germany
Accès au texte intégral et bibtex
https://hal.science/hal-04509310/file/FAYEDASIP2024Scratchy%20%282%29.pdf BibTex
titre
Standalone Nested Loop Acceleration on CGRAs for Signal Processing Applications
auteur
Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
article
DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-04505187/file/DASIP24_HAL.pdf BibTex

2023

Journal articles

titre
A POSIX/RTEMS monitoring tool and a benchmark to detect real-time scheduling anomalies
auteur
Blandine Djika, Georges-Edouard Kouamou, Frank Singhoff, Alain Plantec
article
Ada User Journal, 2023, 44 (3)
Accès au bibtex
BibTex
titre
Modeling and enforcing access control policies in conversational user interfaces
auteur
Elena Planas, Salvador Martínez, Marco Brambilla, Jordi Cabot
article
Software and Systems Modeling, 2023, ⟨10.1007/s10270-023-01131-3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04308465/file/s10270-023-01131-3.pdf BibTex
titre
Stretchable piezoresistive textile yarn strain transducer for low deformation detection
auteur
Hankai Wu, Fabrice Seguin, Vladan Koncar, Cédric Cochrane, Laurent Dupont, Cyril Lahuec, Alexandre Khaldi
article
Sensors and Actuators A: Physical , 2023, 363, ⟨10.1016/j.sna.2023.114755⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04253877/file/Final%20manuscript_all%20vf.pdf BibTex
titre
Real-Time Fixed Priority Scheduling Synthesis using Affine DataFlow Graphs: from Theory to Practice
auteur
Alexandre Honorat, Hai Nam Tran, Thierry Gautier, Loïc Besnard, Shuvra S. Bhattacharyya, Jean-Pierre Talpin
article
ACM Transactions on Embedded Computing Systems (TECS), 2023, pp.1-30. ⟨10.1145/3615586⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04200195/file/memocode23.pdf BibTex
titre
A novel bi-anomaly-based intrusion detection system approach for industry 4.0
auteur
Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
article
Future Generation Computer Systems, 2023, ⟨10.1016/j.future.2023.03.024⟩
Accès au bibtex
BibTex
titre
ADEPT 2022 workshop: a summary of strengths and weaknesses of the AADL ecosystem
auteur
Frank Singhoff, Jérôme Hugues, Hai Nam Tran, Gianluca Bardaro, Dominique Blouin, Marco Bozzano, Patrick Denzler, Pierre Dissaux, Eric Senn, Xiong Xu, Zhibing Yang
article
Ada User Journal, 2023, 44 (2), pp.125-128
Accès au bibtex
BibTex
titre
Accelerating Random Forest on Memory-Constrained Devices through Data Storage Optimization
auteur
Camélia Slimani, Chun-Feng Wu, Stéphane Rubini, Yuan-Hao Chang, Jalil Boukhobza
article
IEEE Transactions on Computers, 2023, 72 (6), pp.1595 - 1609. ⟨10.1109/TC.2022.3215898⟩
Accès au bibtex
BibTex
titre
Detection of AIS messages falsifications and spoofing by checking messages compliance with TDMA protocol
auteur
Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
article
Digital Signal Processing, 2023, 136, pp.103983. ⟨10.1016/j.dsp.2023.103983⟩
Accès au bibtex
BibTex
titre
AnimUML: a Practical Tool for Partial Model Animation and Analysis
auteur
Frédéric Jouault, Valentin Besnard, Matthias Brun, Théo Le Calvar, Fabien Chhel, Mickaël Clavreul, Jérome Delatour, Maxime Méré, Matthias Pasquier, Ciprian Teodorov
article
Science of Computer Programming, 2023, pp.103050. ⟨10.1016/j.scico.2023.103050⟩
Accès au bibtex
BibTex
titre
A decision aid for the port placement problem in robot-assisted hysterectomy
auteur
Mohammad R Maddah, Jean-Marc Classe, Isabelle Jaffre, Keith A Watson, Katherine S Lin, Damien Chablat, Cédric Dumas, Caroline G.L. Cao
article
Laparoscopic, Endoscopic and Robotic Surgery, In press, ⟨10.1016/j.lers.2023.05.002⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04113365/file/1-s2.0-S2468900923000233-main.pdf BibTex
titre
A Dominating Tree Based Leader Election Algorithm for Smart Cities IoT Infrastructure
auteur
Nabil Kadjouh, Ahcène Bounceur, Madani Bezoui, Mohamed Essaid Khanouche, Reinhardt Euler, Mohammad Hammoudeh, Loïc Lagadec, Sohail Jabbar, Fadi Al-Turjman
article
Mobile Networks and Applications, 2023, 28, pp.718-731. ⟨10.1007/s11036-020-01599-z⟩
Accès au bibtex
BibTex

Conference papers

titre
TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design
auteur
Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier
article
2023 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), Dec 2023, Tianjin, China. pp.1-6, ⟨10.1109/AsianHOST59942.2023.10409311⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04419064/file/%5BHAL%5D_TrustSoC_Light_and_Efficient_Heterogeneous_SoC_Architecture_Secure_by_design.pdf BibTex
titre
Work-In-Progress: Could Tensorflow applications benefit from a mixed-criticality approach?
auteur
Alan Le Boudec, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Sébastien Levieux, Alexandre Skrzyniarz
article
Brief presentation at the 44th IEEE Real-Time Systems Symposium (RTSS), Dec 2023, Taipei, Taiwan
Accès au bibtex
BibTex
titre
Protecting a RISC-V embedded processor against physical and software attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France
Accès au bibtex
BibTex
titre
Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses
auteur
William Pensec, Vianney Lapôtre, Guy Gogniat
article
SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩
Accès au bibtex
BibTex
titre
GrIOt: Graph-based Modeling of HPC Application I/O Call Stacks for Predictive Prefetch
auteur
Louis-Marie Nicolas, Salim Mimouni, Philippe Couvée, Jalil Boukhobza
article
SC-W 2023: Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis - 8th International Parallel Data Systems Workshop, Nov 2023, Denver CO USA, France. pp.1195-1201, ⟨10.1145/3624062.3624189⟩
Accès au bibtex
BibTex
titre
Gigue: A JIT Code Binary Generator for Hardware Testing
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec
article
VMIL, Oct 2023, Cascais, Portugal. ⟨10.1145/3623507.3623553⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04469651/file/Gigue%20a%20JIT%20Code%20Binary%20Generator%20for%20Hardware%20Testing.pdf BibTex
titre
Training K-means on Embedded Devices: a Deadline-aware and Energy Efficient Design
auteur
Hafsa Kara Achira, Camélia Slimani, Jalil Boukhobza
article
31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.89-96
Accès au texte intégral et bibtex
https://hal.science/hal-04290802/file/EK_means_paper___revised_version%20%284%29.pdf BibTex
titre
Investigating Multi-tier and QoS-aware Caching based on ARC
auteur
Lydia Ait-Oucheggou, Stéphane Rubini, Abdella Battou, Jalil Boukhobza
article
31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.161-164
Accès au texte intégral et bibtex
https://hal.science/hal-04290816/file/QM_ARC_mascots_workshop%20%282%29.pdf BibTex
titre
Soufflez, c’est dépisté : systèmes multi-capteurs pour le diagnostic et le suivi personnalisé de patients par analyse d’haleine
auteur
Caroline Duc, Paul Le Maout, Nathalie Redon, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Jean-Luc Wojkiewicz
article
Colloque IMT Healthcare 4.0, IMT, Oct 2023, Saint-Etienne, France
Accès au bibtex
BibTex
titre
Towards evolving secured multi-model systems with model federation
auteur
Chahrazed Boudjemila, Fabien Dagnat, Salvador Martínez
article
MODELS 2023: 26th International Conference on Model-Driven Engineering Languages and Systems - ME 2023: 16th Workshop on Models and Evolution, Oct 2023, Vasteras, Sweden
Accès au texte intégral et bibtex
https://hal.science/hal-04254748/file/TowardsEvolvingSecuredMulti-ModelSystemswithModelFederation.pdf BibTex
titre
Monitoring Association Constraints in Model-Oriented Programming
auteur
Sylvain Guérin, Joël Champeau, Antoine Beugnard, Salvador Martínez
article
MODELS-C 2023: ACM/IEEE International Conference on Model Driven Engineering Languages and Systems Companion, Oct 2023, Vasteras, Sweden. ⟨10.1109/MODELS-C59198.2023.00068⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04240673/file/MLE_2023_AssociationPatterns.pdf BibTex
titre
Temporal Breakpoints for Multiverse Debugging
auteur
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
article
Software Language Engineering 2023, Oct 2023, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
Debugging Paxos in the UML Multiverse
auteur
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
article
MODELS-C/MoDeVVa, Oct 2023, Västerås, Sweden
Accès au bibtex
BibTex
titre
Modular and Distributed Architecture using an Embedded Digital Twin for Assistive Technologies Adaptation
auteur
Aurélian Houé, Cédric Seguin, Florent Frizon de Lamotte, Nathalie Julien, Willy Allègre
article
13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023),, Université Savoie Mont Blanc, Sep 2023, Annecy, France
Accès au texte intégral et bibtex
https://hal.science/hal-04327426/file/Paper_sohoma_2023_revised_version.pdf BibTex
titre
Digital Twin Architecture for Ambient Assisted Living
auteur
Abbas Ramadan, Florent Frizon de Lamotte, Nathalie Julien
article
13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023), Sep 2023, Annecy (74000), France. pp.124-134, ⟨10.1007/978-3-031-53445-4_11⟩
Accès au bibtex
BibTex
titre
Secured-by-design systems-on-chip: a MBSE Approach
auteur
Raphaële Milan, Loïc Lagadec, Théotime Bollengier, Lilian Bossuet, Ciprian Teodorov
article
Rapid System Prototyping, Sep 2023, Hambourg, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-04373771/file/RSP_2023.pdf BibTex
titre
Characterizing Intrusion Detection Systems On Heterogeneous Embedded Platforms
auteur
Camélia Slimani, Louis Morge-Rollet, Laurent Lemarchand, David Espes, Frédéric Le Roy, Jalil Boukhobza
article
26th Euromicro Conference on Digital System Design (DSD'2023), Sep 2023, Durres, Albania
Accès au bibtex
BibTex
titre
Using Hierarchical Approach to Speed-up RNS Base Extensions in Homomorphic Encryption Context
auteur
Morgane Vollmer, Karim Bigou, Arnaud Tisserand
article
ARITH: 2023 IEEE 30th International Symposium on Computer Arithmetic, Sep 2023, Portland, Oregon, United States
Accès au texte intégral et bibtex
https://hal.science/hal-04206377/file/final.pdf BibTex
titre
A software engineering perspective on digital twin: many candidates, none elected
auteur
Antoine Beugnard
article
DigitalTwin 2023, IEEE Smart World Congress, Aug 2023, Portsmouth, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-04183036/file/DT_whitepaper-4.pdf BibTex
titre
A RISC-V Instruction Set Extension for Flexible Hardware/Software Protection of Cryptosystems Masked at High Orders
auteur
Fabrice Lozachmeur, Arnaud Tisserand
article
66th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2023) "Reinventing Microelectronics", Aug 2023, Phoenix, AZ, United States
Accès au texte intégral et bibtex
https://hal.science/hal-04132900/file/mwcas.pdf BibTex
titre
A New Normative Approach to Intrusion Detection in Manufacturing 4.0
auteur
Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
article
22nd World Congress of the International Federation of Automatic Control, Jul 2023, Yokohama, Japan
Accès au bibtex
BibTex
titre
TrustSoC : Architecture SoC hétérogène légère et efficace sécurisée par conception
auteur
Raphaele Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino
article
Conférence francophone d’informatique en Parallélisme, Architecture et Système (COMPAS), LISTIC - Laboratoire d’Informatique, Systèmes, Traitement de l’Information et de la Connaissance, Jul 2023, Annecy, France
Accès au texte intégral et bibtex
https://hal.science/hal-04213598/file/COMPAS23_Proposition_d_architecture_de_SoC_heterogene_securisee_par_conception.pdf BibTex
titre
Modeling of Smart Batteries for the Realization of a Digital Twin Prototype
auteur
Fatemeh Taei, Mohammed Adel Hamzaoui, Nathalie Julien
article
9th International Conference on Control, Decision and Information Technologies (CoDIT 2023), Jul 2023, Rome, Italy. pp.2396-2401, ⟨10.1109/CoDIT58514.2023.10284101⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04457315/file/Manuscript.pdf BibTex
titre
Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
auteur
Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
article
2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
Accès au texte intégral et bibtex
https://hal.science/hal-04155139/file/silm2023-cache-protection.pdf BibTex
titre
Multi-Objective Optimization for an Online Re-Planning of Autonomous Vehicles
auteur
Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
article
9th International Workshop on Safety and Security of Intelligent Vehicles (SSIV at DSN'23), IEEE/IFIP, Jun 2023, Porto, Portugal. ⟨10.1109/DSN-W58399.2023.00029⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-04143307/file/ssiv2023porto.pdf BibTex
titre
Acceleration of contractor algebra on RISCV in the context of mobile robotic
auteur
Pierre Filiol, Luc Jaulin, Jean-Christophe Le Lann, Théotime Bollengier
article
Summer Workshop on Interval Methods, Jun 2023, Angers, France
Accès au bibtex
BibTex
titre
VUCA and resilience in engineering education - Lessons learned
auteur
Siegfried Rouvrais, Ann-Kristin Winkens, Carmen Leicht-Scholten, Haraldur Audunsson, Cecile Gerwel-Proches
article
19th International CDIO Conference, NTNU, Jun 2023, Trondheim, Norway
Accès au texte intégral et bibtex
https://hal.science/hal-04089351/file/CDIO%202023-VUCA-resilience.pdf BibTex
titre
Modélisation comportementale d'un data center pour le développement d'un jumeau numérique
auteur
Marouane El Mernissi, Roland Donat, Mohammed Hamzaoui, Nathalie Julien
article
CIGI Qualita MOSIM 2023, Jun 2023, Québec, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-04116913/file/Mod%C3%A9lisation%20comportementale%20d%27un%20data%20center%20pour%20le%20d%C3%A9veloppement%20d%27un%20jumeau%20num%C3%A9rique.pdf BibTex
titre
Aide à la conception de l’architecture d’un jumeau numérique par la modélisation des données
auteur
Doha Nefti, Mohammed Adel Hamzaoui, Nathalie Julien, Fayçal Balkaid
article
CIGI QUALITA MOSIM 2023 Propulser la performance, Jun 2023, Trois-Rivières, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-04121075/file/Template%20soumission%20.pdf BibTex
titre
Arithmetic for Crypto in FPGA: HDL or HLS?
auteur
Arnaud Tisserand
article
WRACH : Workshop on Randomness and Arithmetics for Cryptographic Hardware, Jun 2023, Roscoff, France
Accès au bibtex
BibTex
titre
Modeling heterogeneous IT infrastructures: a collaborative component-oriented approach
auteur
Benjamin Somers, Fabien Dagnat, Jean-Christophe Bach
article
EMMSAD 2023: 28th International working conference on Evaluation and Modeling Methods for Systems Analysis and Development, BPMDS 2023: 24th International Conference on Business Process Modeling, Development and Support, Jun 2023, Saragosse, Spain. pp.227-242, ⟨10.1007/978-3-031-34241-7_16⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04083449/file/main.pdf BibTex
titre
When in-core DIFT faces fault injection attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain
Accès au bibtex
BibTex
titre
Intelligent Diagnosis of Engine Failure in Air Vehicles Using the ALFA Dataset
auteur
Isadora Garcia Ferrão, Leandro da Silva, Sherlon da Silva, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Online reward adaptation for MDP-based distributed missions
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Anomaly-Based Intrusion Detection System for In-Flight and Network Security in UAV Swarm
auteur
Leandro da Silva, Isadora Garcia Ferrão, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Online reward adaptation for MDP-based distributed missions
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Branco
article
2023 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2023, Warsaw, Poland. pp.1059-1066, ⟨10.1109/icuas57906.2023.10156131⟩
Accès au bibtex
BibTex
titre
Detection of scheduling anomalies in real-time systems
auteur
Blandine Djika, Alain Plantec, Frank Singhoff, Georges-Edouard Kouamou
article
Journées nationales du GDR GPL 2023, Jun 2023, Rennes, France
Accès au bibtex
BibTex
titre
Multimodal perception for obstacle detection for flying boats - Unmanned Surface Vehicule (USV)
auteur
Ronan Douguet, Dominique Heller, Johann Laurent
article
IEEE OCEAN, Jun 2023, Limerick, Ireland. ⟨10.1109/OCEANSLimerick52467.2023.10244714⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04261088/file/IEEE_Oceans_Limerick_V3.pdf BibTex
titre
Débogage Multivers de Modèles UML
auteur
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
article
AFADL, Jun 2023, Rennes, France
Accès au bibtex
BibTex
titre
Les filles qui, l codent l créent et équilibre : des dispositifs pour valoriser le numérique et les sciences au féminin du primaire au lycée
auteur
Cécile Plaud, Vincent Ribaud
article
Forum Recherche et Education #07, Région académique de Bretagne, May 2023, Morlaix, France
Accès au bibtex
BibTex
titre
Human Model For Industrial System And Product Design In Industry 5.0: A Case Study
auteur
Arnaud Allemang--Trivalle, Jérémie Donjat, Gaëlic Bechu, Gilles Coppin, Oliver W. Klaproth, Andreas Mitschke, Arnd Schirrmann, Mathieu Chollet, Caroline Gl Cao
article
IISE Annual Conference & Expo 2023, May 2023, New Orleans, United States
Accès au bibtex
BibTex
titre
JIT Compiler Security through Low-Cost RISC-V Extension
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec
article
30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States
Accès au texte intégral et bibtex
https://hal.science/hal-04031296/file/main.pdf BibTex
titre
A Lightweight Intrusion Detection System against IoT Memory Corruption Attacks
auteur
Mohamed El Bouazzati, Russell Tessier, Philippe Tanguy, Guy Gogniat
article
26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), May 2023, Tallinn, Estonia. pp.118-123, ⟨10.1109/DDECS57882.2023.10139718⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04162998/file/Conference_DDECS_article___Detection_HIDS.pdf BibTex
titre
HeROfake: Heterogeneous Resources Orchestration in a Serverless Cloud – An Application to Deepfake Detection
auteur
Vincent Lannurien, Laurent d'Orazio, Olivier Barais, Esther Bernard, Olivier Weppe, Laurent Beaulieu, Amine Kacete, Stéphane Paquelet, Jalil Boukhobza
article
CCGrid 2023 - IEEE/ACM 23rd International Symposium on Cluster, Cloud and Internet Computing, May 2023, Bangalore, India. pp.154-165, ⟨10.1109/CCGrid57682.2023.00024⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04165179/file/HeROfake_Paper.pdf BibTex
titre
Taxonomy of Requirements Specification Templates
auteur
Hiba Hnaini, Raúl Mazo, Paola Vallejo, Jose Galindo, Joël Champeau
article
SoftEng 23, Apr 2023, Venice, Italy
Accès au texte intégral et bibtex
https://hal.science/hal-04105054/file/A_Taxonomy_of_Requirements_Specification_Templates%20%287%29.pdf BibTex
titre
A dual perspective of organizational resilience (OR) and information technology systems resilience (ITSR): an analysis of interdependencies and tensions
auteur
Joanne Gardner Legars, Jacques Simonin, Roger Waldeck, John Puentes
article
CABMR 2023: Resilience and Cybersecurity, Mar 2023, Paris, France. ⟨10.3897/aca.6.e107704⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04134344/file/ACA_article_107704.pdf BibTex
titre
A flexible and robust framework for the secure systems engineering of space missions
auteur
Tom Leclerc, Soumya Paul, Jussi Roberts, Fabien Dagnat, Florian Ledoux, Jean-Christophe Bach, Marcus Wallum, Nicky Mezzina, Daniel Fischer, Sylvain Guérin, Ihab Benamer, Pierre Jeanjean
article
17th International Conference on Space Operations 2023, Mar 2023, Dubai, United Arab Emirates
Accès au bibtex
BibTex
titre
Génération de correctifs pour les modèles partiels d'AnimUML
auteur
Mickaël Clavreul, Frédéric Jouault, Maxime Méré, Matthias Brun, Théo Le Calvar, Matthias Pasquier, Ciprian Teodorov
article
IHM/GL-IHM, 2023, Troyes, France
Accès au bibtex
BibTex

Book sections

titre
Serverless Cloud Computing: State of the Art and Challenges
auteur
Vincent Lannurien, Laurent D’orazio, Olivier Barais, Jalil Boukhobza
article
Serverless Computing: Principles and Paradigms, 162, Springer International Publishing, pp.275-316, 2023, Lecture Notes on Data Engineering and Communications Technologies, ⟨10.1007/978-3-031-26633-1_11⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-04114984/file/Serverless_SotA_Challenges_Chapter.pdf BibTex

Habilitation à diriger des recherches

titre
Approches exactes et heuristiques pour l’exploration d’architectures logicielles et matérielles
auteur
L Lemarchand
article
Informatique [cs]. Université de Bretagne Occidentale, Brest, FRA., 2023
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/tel-04323458/file/HDR_Laurent_Lemarchand_2023.pdf BibTex
titre
Contributions à la sécurité des systèmes embarqués face aux attaques logiques et physiques
auteur
Vianney Lapotre
article
Architectures Matérielles [cs.AR]. Université Bretagne Sud, 2023
Accès au texte intégral et bibtex
https://hal.science/tel-04155274/file/HDR.pdf BibTex
titre
G∀min∃: Exploring the Boundary Between Executable Specification Languages and Behavior Analysis Tools
auteur
Ciprian Teodorov
article
Software Engineering [cs.SE]. Université de Bretagne Occidentale (UBO), Brest, 2023
Accès au texte intégral et bibtex
https://hal.science/tel-04066483/file/HDR_Teodorov.pdf BibTex
titre
Mapping parallel applications on parallel architectures
auteur
Kevin J M Martin
article
Hardware Architecture [cs.AR]. Université Bretagne Sud, 2023
Accès au texte intégral et bibtex
https://hal.science/tel-04054474/file/hdrKevinMartin_HALv2.pdf BibTex

Other publications

titre
Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
auteur
Hai Nam Tran, Frank Singhoff
article
2023
Accès au bibtex
BibTex

Poster communications

titre
Drones d'Observations Légers pour la Fouille, détection et Identification Automatisée DOLFIA
auteur
Johann Laurent, Dominique Heller
article
Journée AID, Dec 2023, Paris, France. 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04359830/file/DOLFIA_poster_MATHILDE_DH.pdf BibTex
titre
Optimisation multi-objectif pour une replanification en ligne des trajectoires de véhicules autonomes
auteur
Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
article
COMPAS, Jul 2023, Annecy, France
Accès au bibtex
BibTex
titre
MoTIe : Monitoring of mobile Things with Intelligent and embedded adaptations for secure services
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
Compas 2023: Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2023, Annecy, France
Accès au texte intégral et bibtex
https://hal.science/hal-04394631/file/Poster_COMPAS_2023.pdf BibTex
titre
When in-core DIFT faces fault injection attacks
auteur
William Pensec, Vianney Lapotre, Guy Gogniat
article
RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04132319/file/2023-06-07-William-PENSEC-poster.pdf BibTex
titre
Energy Efficient Any-Time I/O Adaptive K-means
auteur
Hafsa Kara Achira, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-04303902/file/Poster_per3s_hafsa%20%287%29.pdf BibTex
titre
ADAPTING THE ARC CACHE MANAGEMENT POLICY TO FILE GRANULARITY
auteur
Hocine Mahni, Stéphane Rubini, Jalil Boukhobza, Sebastien Gougeaud, Philippe Deniel
article
7th Workshop on Performance and Scalability of Storage Systems (Per3S), May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-04255285/file/Poster_ARC_11.pdf BibTex
titre
Distributed Function Cache for Heterogeneous Serverless Cloud
auteur
Vincent Lannurien, Laurent D’orazio, Olivier Barais, Stephane Paquelet, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France. pp.1-1, 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04303898/file/Per3S_Poster%20%284%29.pdf BibTex
titre
PIGMMES: Partial Incremental Gaussian Mixture Model with Efficient Storage
auteur
Meriem Bouzouad, Yasmine Benhamadi, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-04303907/file/poster_per3s_GMM_2023%20%283%29.pdf BibTex
titre
Toward a CAD Tool for SYCL programming
auteur
Erwan Fabiani, Loic Lagadec, Alexandre Skrzyniarz, Chiara Relevat, Erell Cottour, Paul Allaire
article
IWOCL '23: International Workshop on OpenCL, Apr 2023, Cambridge, United Kingdom. ACM, pp.1-2, ⟨10.1145/3585341.3585358⟩
Accès au bibtex
BibTex

2022

Journal articles

titre
Run-time remapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs
auteur
Mostafa Rizk, Kevin J. M. Martin, Jean-Philippe Diguet
article
IEEE Transactions on Parallel and Distributed Systems, 2022, 33 (12), ⟨10.1109/TPDS.2022.3177957⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03702259/file/JournalPaperTPDS_R2_Final.pdf BibTex
titre
Specification of schedulability assumptions to leverage multiprocessor Analysis
auteur
Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, Alain Plantec, Hai Nam Tran, Pierre Dissaux
article
Journal of Systems Architecture, 2022, 133, pp.102761. ⟨10.1016/j.sysarc.2022.102761⟩
Accès au bibtex
BibTex
titre
Modèle multi-agent d’auto-organisation pour le butinage au sein d’une colonie d’abeilles
auteur
Jérémy Rivière, Thomas Alves, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac’h, Frank Singhoff, Vincent Rodin
article
Revue Ouverte d'Intelligence Artificielle, 2022, 3 (5-6), pp.423 - 450. ⟨10.5802/roia.38⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03868878/file/ROIA_2022__3_5-6_423_0.pdf BibTex
titre
An efficient and flexible stochastic CGRA mapping approach
auteur
Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
article
ACM Transactions on Embedded Computing Systems (TECS), 2022, 22 (1), Article No.: 8, pp 1-24. ⟨10.1145/3550071⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03763453/file/TECSStochasticMapping_authorVersion.pdf BibTex
titre
Near-Optimal Covering Solution for USV Coastal Monitoring using PAES
auteur
Hand Ouelmokhtar, Yahia Benmoussa, Jean-Philippe Diguet, Djamel Benazzouz, Laurent Lemarchand
article
Journal of Intelligent and Robotic Systems, 2022, 106 (1), pp.24. ⟨10.1007/s10846-022-01717-x⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03780408/file/00JINT_revised_paper.pdf BibTex
titre
Design of a Multimodal Oculometric Sensor Contact Lens
auteur
Jean-Louis de Bougrenet de la Tocnaye, Vincent Nourrit, Cyril Lahuec
article
Sensors, 2022, 22 (18), pp.6731. ⟨10.3390/s22186731⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03772668/file/sensors-22-06731-v2.pdf BibTex
titre
Security and Safety Concerns in Air Taxis: A Systematic Literature Review
auteur
Isadora Garcia Ferrão, David Espes, Catherine Dezan, Kalinka Regina Lucas Jaquie Castelo Branco
article
Sensors, 2022, 22 (18), pp.6875. ⟨10.3390/s22186875⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03841221/file/sensors-22-06875_published.pdf BibTex
titre
The Impact of Cache and Dynamic Memory Management in Static Dataflow Applications
auteur
Alemeh Ghasemi, Marcelo Ruaro, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
article
Journal of Signal Processing Systems, 2022, 94 (7), pp.721-738. ⟨10.1007/s11265-021-01730-7⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606524/file/JSPS_Impact%20of%20Cache_authorVersion.pdf BibTex
titre
SLRL: A Simple Least Remaining Lifetime File Eviction policy for HPC multi-tier storage systems
auteur
Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
Operating Systems Review, 2022, 56 (1), pp.70-76. ⟨10.1145/3544497.3544509⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03699021/file/cheops22-final92.pdf BibTex
titre
Energy-based USV maritime monitoring using multi-objective evolutionary algorithms
auteur
Hand Ouelmokhtar, Yahia Benmoussa, Djamel Benazzouz, Mohamed Abdessamed Ait-Chikh, Laurent Lemarchand
article
Ocean Engineering, 2022, 253, pp.111182. ⟨10.1016/j.oceaneng.2022.111182⟩
Accès au bibtex
BibTex
titre
Finding the polygon hull of a network without conditions on the starting vertex
auteur
Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Reinhardt Euler
article
Transactions on emerging telecommunications technologies, 2022, 33 (3), pp.e3696. ⟨10.1002/ett.3696⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02303242/file/rr_lpcn_bb.pdf BibTex
titre
A domain-specific language for the specification of UCON policies
auteur
Antonia Reina Quintero, Salvador Martínez, Ángel Jesús Varela-Vaca, María Teresa Gómez López, Jordi Cabot
article
Journal of information security and applications, 2022, 64, pp.103006. ⟨10.1016/j.jisa.2021.103006⟩
Accès au bibtex
BibTex
titre
The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection
auteur
Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy
article
Annals of Telecommunications - annales des télécommunications, 2022, ⟨10.1007/s12243-021-00906-3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03545078/file/Annals_of_Telecommunications__Kingsguard_2021-2.pdf BibTex
titre
Multipurpose Bio-Monitored Integrated Circuit in a Contact Lens Eye-Tracker
auteur
Loïc Massin, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, Jean-Louis de Bougrenet de La Tocnaye
article
Sensors, 2022, 22 (2), pp.595. ⟨10.3390/s22020595⟩
Accès au bibtex
BibTex
titre
Energy Efficient Hardware Loop Based Optimization for CGRAs
auteur
Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
article
Journal of Signal Processing Systems, In press, ⟨10.1007/s11265-022-01760-9⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704229/file/JSPS.pdf BibTex
titre
Multi-Level Modeling with Openflexo/FML
auteur
Sylvain Guérin, Joël Champeau, Jean-Christophe Bach, Antoine Beugnard, Fabien Dagnat, Salvador Martínez
article
Enterprise Modelling and Information Systems Architectures, 2022, 17, ⟨10.18417/emisa.17.9⟩
Accès au bibtex
BibTex

Conference papers

titre
FaST: An Efficient Framework For Visualizing Large-Scale Time Series
auteur
Manele Ait Habouche, Mickaël Kerboeuf, Goulven Guillou, Jean-Philippe Babau
article
2022 IEEE International Conference on Big Data (Big Data), Dec 2022, Osaka, Japan. pp.3745-3754, ⟨10.1109/BigData55660.2022.10020317⟩
Accès au bibtex
BibTex
titre
The Lannion report on Big Data and Security Monitoring Research
auteur
Laurent d'Orazio, Jalil Boukhobza, Omer Rana, Juba Agoun, Le Gruenwald, Hervé Rannou, Elisa Bertino, Mohand-Saïd Hacid, Taofik Saïdi, Georges Bossert, VanLong Nguyen Huu, Dimitri Tombroff, Makoto Onizuka
article
Workshop on Big Data for CyberSecurity (BigCyber-2022), Dec 2022, Osaka, Japan. pp.2960-2969, ⟨10.1109/BigData55660.2022.10020852⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03951141/file/SUPSEC_BigData.pdf BibTex
titre
Security Analysis
auteur
Drouot Bastien, Valery Monthe, Sylvain Guérin, Joël Champeau
article
17th International Conference on Risks and Security of Internet and Systems, CRiSIS 2022, Dec 2022, Sousse, Tunisia. pp.133-152, ⟨10.1007/978-3-031-31108-6_11⟩
Accès au bibtex
BibTex
titre
How IT Infrastructures Break: Better Modeling for Better Risk Management
auteur
Benjamin Somers, Fabien Dagnat, Jean-Christophe Bach
article
CRiSIS 2022: 17th International Conference on Risks and Security of Internet and Systems, Dec 2022, Sousse, Tunisia. pp.169-184, ⟨10.1007/978-3-031-31108-6_13⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03801086/file/crisis2022-camera_ready.pdf BibTex
titre
Security Analysis: From model to system analysis
auteur
Drouot Bastien, Valery Monthe, Sylvain Guérin, Joël Champeau
article
CRiSiS 2022 : International Conference on Risks and Security of Internet and Systems, Dec 2022, Sousse, Tunisia
Accès au texte intégral et bibtex
https://hal.science/hal-03866297/file/Property_verification_on_embedded_systems-1.pdf BibTex
titre
Design Space Exploration for TSP systems on multicore platforms under schedulability, security and safety constraints
auteur
Laurent Lemarchand, Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran
article
GT Optimisation des Systèmes Intégrés (OSI) - GDR SOC2 & RO, Dec 2022, Paris, France
Accès au bibtex
BibTex
titre
Déploiement dirigé par les modèles de jumeaux numériques dans les environnements intelligents
auteur
Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
article
HUT LaConf 2022 - L'interdisciplinarité au service des environnements intelligents, Nov 2022, Montpellier, France
Accès au texte intégral et bibtex
https://imt-mines-ales.hal.science/hal-03862279/file/Deploiement%20dirig%C3%A9%20par%20les%20mod%C3%A8les%20de%20JN%20%282%29.pdf BibTex
titre
Token-based Authentication and Access Delegation for HW-Accelerated telco Cloud Solution
auteur
Semih Ince, David Espes, Gogniat Guy, Renaud Santoro, Julien Lallet
article
IEEE 11th International Conference on Cloud Networking (CloudNet), Nov 2022, Paris, France
Accès au bibtex
BibTex
titre
Sensitive stretchable textile transducer based on Lycra1880/PEGDA/PEDOT:PSS
auteur
Hankai Wu, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Alexandre Khaldi
article
2022 IEEE Sensors, Oct 2022, Dallas (Texas), United States. pp.1-4, ⟨10.1109/SENSORS52175.2022.9967155⟩
Accès au bibtex
BibTex
titre
An analysis of software design understanding & motivation of engineering students
auteur
Jean-Christophe Bach, Antoine Beugnard, Jean-Loup Castaigne, Julien Mallet, Salvador Martínez, Maria-Teresa Segarra
article
MODELS 2022 Educators Symposium, Oct 2022, Montreal, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-03801001/file/MAPD_article-Camera_ready_version%20%281%29.pdf BibTex
titre
Practical multiverse debugging through user-defined reductions
auteur
Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
article
MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal Quebec Canada, Canada. pp.87-97, ⟨10.1145/3550355.3552447⟩
Accès au bibtex
BibTex
titre
From OCL-based model static analysis to quick fixes
auteur
Frédéric Jouault, Maxime Méré, Matthias Brun, Théo Le Calvar, Matthias Pasquier, Ciprian Teodorov
article
21st International Workshop on OCL and Textual Modeling / MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal (Canada), Canada. pp.889-893, ⟨10.1145/3550356.3561562⟩
Accès au bibtex
BibTex
titre
Porting a JIT Compiler to RISC-V: Challenges and Opportunities
auteur
Quentin Ducasse, Guillermo Polito, Pablo Tesone, Pascal Cotret, Loïc Lagadec
article
Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium
Accès au texte intégral et bibtex
https://hal.science/hal-03725841/file/default.pdf BibTex
titre
A software engineering point of view on digital twin architecture
auteur
Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
article
ETFA 2022 - IEEE 27th International Conference on Emerging Technologies and Factory Automation, Sep 2022, Stuttgart, Germany. ⟨10.1109/ETFA52439.2022.9921617⟩
Accès au texte intégral et bibtex
https://imt-mines-ales.hal.science/hal-03832378/file/a-software-engineering-point-of-view.pdf BibTex
titre
Stratégie de détection des Falsifications des Positions des Messages AIS Basée sur l'Application du Filtre IMM
auteur
Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
article
Gretsi'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France
Accès au texte intégral et bibtex
https://hal.science/hal-03859377/file/Gretsi_2022__article____Strat_gie_de_d_tection_des_Falsifications_des_Positions_des_Messages_AIS_Bas_e_sur_l_Application_du_Filtre_IMM.pdf BibTex
titre
Émulation de Systèmes Cyber-Physiques sur FPGA
auteur
Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
article
GRETSI'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.481-484
Accès au texte intégral et bibtex
https://hal.science/hal-03839578/file/louart972.pdf BibTex
titre
Observing the Impact of Multicore Execution Platform for TSP Systems Under Schedulability, Security and Safety Constraints
auteur
Ill-Ham Atchadam, L Lemarchand, Frank Singhoff, Hai Nam Tran
article
DECSOS, Sep 2022, Munich, Germany. pp.83--96, ⟨10.1007/978-3-031-14862-0_5⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03777799/file/decsos22_final_version.pdf BibTex
titre
Using Cognitive Work Analysis to deploy collaborative digital twins : application to predictive maintenance
auteur
Naomi Kamoise, Clément Guérin, Mohammed Hamzaoui, Nathalie Julien
article
European Safety and Reliability Conference, Aug 2022, Dublin, Ireland. ⟨10.3850/978-981-18-5183-4_S10-10-646-cd⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03774847/file/S10-10-646.pdf BibTex
titre
Data Extraction and Deep Learning Method for Predictive Maintenance in Vessel’s Engine Room
auteur
Cédric Seguin, Yohann Rioual, Jean-Philippe Diguet, Guy Gogniat
article
32nd European Safety and Reliability Conference (ESREL 2022)., Aug 2022, Dublin, Ireland. pp.1983-1990
Accès au texte intégral et bibtex
https://hal.science/hal-03769035/file/esrel2022.pdf BibTex
titre
Typology as a Deployment Tool for Digital Twins: Application to Maintenance in Industry
auteur
Alexandre Blanchet, Nathalie Julien, Mohammed Adel Hamzaoui
article
32nd European Safety and Reliability Conference (ESREL 2022), Aug 2022, Dublin, Ireland
Accès au texte intégral et bibtex
https://hal.science/hal-03834124/file/ESREL2022-word-template_VF_MH_.pdf BibTex
titre
A new interval arithmetic to generate the complementary of contractors
auteur
Pierre Filiol, Théotime Bollengier, Luc Jaulin, Jean-Christophe Le Lann
article
Summer Workshop on Interval Methods, Jul 2022, Hannover, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-03859346/file/paper_iota.pdf BibTex
titre
HLS-based Accelerated Simulation of Large Scale Cyber-Physical Systems on FPGAs
auteur
Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
article
IEEE International NEWCAS Conference, Jul 2022, Montreal, Canada. ⟨10.1109/NEWCAS52662.2022.9842250⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03839510/file/_Newcas_2022__HLS_based_Accelerated_Simulation_of_Large_Scale_Cyber_Physical_Systems_on_FPGAs.pdf BibTex
titre
Energy-Aware HEVC Software Decoding On Mobile Heterogeneous Multi-Cores Architectures
auteur
Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
article
PARMA-DITAM, Jun 2022, Budapest, Hungary. ⟨10.4230/OASIcs.PARMA-DITAM.2022.4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03695538/file/OASIcs-PARMA-DITAM-2022-4.pdf BibTex
titre
High-Level Synthesis for Hardware Implementation of Cryptography: Experience Feedback
auteur
Arnaud Tisserand
article
Journées nationales du GDR Sécurité Informatique, Jun 2022, Paris, France
Accès au bibtex
BibTex
titre
ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling
auteur
Marcelo Ruaro, Kevin J. M. Martin
article
DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Jun 2022, Budapest, Hungary. pp.39-46, ⟨10.1145/3522784.3522791⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704278/file/ManyGUI_RAPIDO22.pdf BibTex
titre
Smart Anomaly Detection and Monitoring of Industry 4.0 by Drones
auteur
William Pensec, David Espes, Catherine Dezan
article
2022 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2022, Dubrovnik, France. pp.705-713, ⟨10.1109/ICUAS54217.2022.9836057⟩
Accès au bibtex
BibTex
titre
Ultra-low Power Computing with CGRAs an architecture, compilation, and application triptych
auteur
Kevin J M Martin, Philippe Coussy
article
Workshop on Reconfigurable Computing (WRC), Jun 2022, Budapest, France
Accès au bibtex
BibTex
titre
Emerged and emerging NVM: technologies & challenges
auteur
Jalil Boukhobza
article
SUPSEC - le deuxième atelier sur la supervision de sécurité 2022, Jun 2022, Lannion, France
Accès au bibtex
BibTex
titre
Does a master's program in engineering require a final project?
auteur
Haraldur Audunsson, Siegfried Rouvrais, Ralph Rudd, Ragnar Kristjánsson, Olivier Matthieu Moschetta
article
CDIO 2022: 18th CDIO International Conference, Jun 2022, Reykjavik, Iceland
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03683564/file/FPCinEngineering.pdf BibTex
titre
If you please, draw me a resilient curriculum!
auteur
Siegfried Rouvrais, Inggriani Liem, Haraldur Audunsson, Cecile Gerwel Proches
article
CDIO 2022: 18th CDIO International Conference, Jun 2022, Reykjavik, Iceland
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03683550/file/RCFworkshop-V10.pdf BibTex
titre
Using the architecture of Socio-Technical System to analyse its vulnerability
auteur
Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
article
SOSE 2022: 17th Annual System of Systems Engineering Conference, Jun 2022, Rochester, United States. pp.361-366, ⟨10.1109/SOSE55472.2022.9812648⟩
Accès au bibtex
BibTex
titre
Using the architecture of Socio-Technical System to analyse its vulnerability
auteur
Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
article
System of Systems Engineering Conference, Jun 2022, Rochester, United States. ⟨10.1109/SOSE55472.2022.9812648⟩
Accès au bibtex
BibTex
titre
Towards Access Control Models for Conversational User Interfaces
auteur
Elena Planas, Salvador Martínez, Marco Brambilla, Jordi Cabot
article
EMMSAD 2022, Jun 2022, Leuven, Belgium. pp.310-317, ⟨10.1007/978-3-031-07475-2_21⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03685799/file/main.pdf BibTex
titre
Memory hierarchy in scheduling simulation: problems, implementation & return of experience
auteur
Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
article
Workshop CAPITAL 2022: sCalable And PrecIse Timing AnaLysis for multicore platforms, Jun 2022, Grenoble, France
Accès au bibtex
BibTex
titre
ROS communications profiling for bus load analysis from AADL
auteur
Eric Senn
article
11th European Congress on Embedded Real Time Systems 2022, 3AF SEE, Jun 2022, Toulouse, France
Accès au bibtex
BibTex
titre
La dimension interpersonnelle en situations complexes et incertaines
auteur
Siegfried Rouvrais, Gilles Jacovetti, Roger Waldeck
article
32ème Congrès de l’Association Internationale de Pédagogie Universitaire (AIPU), May 2022, Rennes, France
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03683586/file/AIPU2022.pdf BibTex
titre
Twenty Years of Automated Methods for Mapping Applications on CGRA
auteur
Kevin J M Martin
article
2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), May 2022, Lyon, France. ⟨10.1109/IPDPSW55747.2022.00118⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03704256/file/mainCGRA4HPC.pdf BibTex
titre
Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
auteur
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
article
Workshop CryptArchi 2022, May 2022, Porquerolles, France
Accès au bibtex
BibTex
titre
A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-Tracker
auteur
Loïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
article
ISCAS 2022: IEEE International Symposium on Circuits and Systems, May 2022, Austin, United States. pp.1140-1144, ⟨10.1109/ISCAS48785.2022.9937413⟩
Accès au bibtex
BibTex
titre
When IoT Data Meets Streaming in the Fog
auteur
Lydia Ait-Oucheggou, Mohammed Islam Naas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
ICFEC 2022 - IEEE 6th International Conference on Fog and Edge Computing (ICFEC), May 2022, Messina, Italy. pp.50-57, ⟨10.1109/ICFEC54809.2022.00014⟩
Accès au bibtex
BibTex
titre
An Extended Modeling Approach for Marine/Deep-Sea Observatory
auteur
Charbel Geryes Aoun, Loïc Lagadec, Mohammad Habes
article
The 8th International Conference on Advanced Machine Learning and Technologies and Applications (AMLTA2022), May 2022, The Caire, Egypt. pp.502-514, ⟨10.1007/978-3-031-03918-8_42⟩
Accès au bibtex
BibTex
titre
Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks
auteur
Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand
article
DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic
Accès au texte intégral et bibtex
https://hal.science/hal-03599317/file/ddecs-hal.pdf BibTex
titre
SLRL: a simple least remaining lifetime file evicition policy for HPC multi-tier storage systems
auteur
Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
CHEOPS Workshop on Challenges and Oportunities of Efficient and Performant Storage Systems at EuroSys 2022 - Seventeenth European Conference on Computer Systems, Apr 2022, Rennes, France. pp.33-39, ⟨10.1145/3503646.3524297⟩
Accès au bibtex
BibTex
titre
Long Short Term Memory-based anomaly detection applied to an industrial dosing pump
auteur
Anthony Fombonne de Galatheau, Alexandru Liviu Olteanu, Nathalie Julien, Steven Le Garrec
article
14th IFAC Workshop on Intelligent Manufacturing Systems, Mar 2022, Tel-Aviv, Israel. pp.240-245, ⟨10.1016/j.ifacol.2022.04.200⟩
Accès au bibtex
BibTex
titre
HoS-ML: Socio-Technical System ADL Dedicated to Human Vulnerability Identification
auteur
Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
article
ICECCS 2022: 26th International Conference on Engineering of Complex Computer Systems, Mar 2022, Hiroshima, Japan. ⟨10.1109/ICECCS54210.2022.00010⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03637271/file/ICECCS_22___FinalVersion%282%29.pdf BibTex
titre
HoS-ML: Socio-Technical System ADL Dedicated to Human Vulnerability Identification.
auteur
Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
article
ICECCS 2022: 26th International Conference on Engineering of Complex Computer Systems, Mar 2022, Hiroshima, Japan. pp.1-6, ⟨10.1109/ICECCS54210.2022.00010⟩
Accès au bibtex
BibTex
titre
Dolmen: FPGA Swarm for Safety and Liveness Verification
auteur
Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
article
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2022, Antwerp, Belgium. pp.1425-1430, ⟨10.23919/DATE54114.2022.9774528⟩
Accès au bibtex
BibTex
titre
Mitigating Transceiver and Token Controller Permanent Faults in Wireless Network-on-Chip
auteur
Navonil Chatterjee, Marcelo Ruaro, Kevin Martin, Jean-Philippe Diguet
article
Euromicro International Conference on Parallel, Distributed and Network-based Processing, Mar 2022, Valladolid, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-03609150/file/PDP22_Addressing_Permanent_Faults_in_Wireless_Network_on_Chip.pdf BibTex
titre
Real time heading sensors fusion and fault detection
auteur
Pia Mathias, Laurent D. Johann, Pierre Bomel, Hugo Kerhascoet
article
Chesapeake Sailing Yacht Symposium, Mar 2022, Annapolis, United States
Accès au texte intégral et bibtex
https://hal.science/hal-03633619/file/CSYS2022_article_v4.pdf BibTex
titre
Time Matters in Collective Decision Making
auteur
Siegfried Rouvrais, Roger Waldeck, Gilles Jacovetti
article
RocheBrune 2022 workshop, Jan 2022, Rochebrune, France
Accès au bibtex
BibTex
titre
PLATO N-DPU on-board software: an ideal candidate for multicore scheduling analysis
auteur
Philippe Plasson, Gabriel Brusq, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Pierre Dissaux
article
11th European Congress ERTSS Embedded Real Time Software and System, 2022, Toulouse, France
Accès au bibtex
BibTex

Book sections

titre
Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud
auteur
Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy
article
Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Contribution à la conception d’accélérateurs matériels pour systèmes autonomes intelligents
auteur
Catherine Dezan
article
Informatique. MATHSTIC UBO, 2022
Accès au texte intégral et bibtex
https://hal.science/tel-03917048/file/Rapport_HDR_CDEZAN_versionDiffusion.pdf BibTex

Special issue

titre
Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications: Part 2
auteur
Yuan-Hao Chang, Jalil Boukhobza, Song Han
article
ACM Transactions on Embedded Computing Systems (TECS), 21 (3), pp.1-2, 2022, ⟨10.1145/3531707⟩
Accès au bibtex
BibTex
titre
Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications
auteur
Yuan-Hao Chang, Jalil Boukhobza, Song Han
article
ACM Transactions on Embedded Computing Systems (TECS), 21 (1), pp.1-4, 2022, ⟨10.1145/3505283⟩
Accès au bibtex
BibTex

Lectures

titre
On-chip memories at the edge
auteur
Kevin J. M. Martin
article
Doctoral. France. 2022
Accès au texte intégral et bibtex
https://hal.science/hal-03710634/file/AMLE2022%20-%20On-chip%20memories%20at%20the%20edge%20-%20handout.pdf BibTex

Other publications

titre
Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
auteur
Hai Nam Tran, Frank Singhoff
article
2022
Accès au bibtex
BibTex
titre
High-performance language virtual machines: an analysis and challenges
auteur
Stéphane Ducasse, Guillermo Polito, Pablo Tesone, Gaël Thomas, Loïc Lagadec
article
2022
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03770053/file/VM-challenges-v3.pdf BibTex

Patents

titre
Procédé de configuration d'un circuit logique programmable, circuit logique programmable et dispositif pour implémenter le procédé
auteur
Loïc Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Théotime Bollengier
article
France, N° de brevet: FR3115134. 2022
Accès au bibtex
BibTex

Poster communications

titre
A Software Engineering Approach to Digital Twin Architecture : The HUman at home projecT and Twin Cooperation of roBot and human project case studies
auteur
Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
article
ETFA 2022 - IEEE 27th International Conference on Emerging Technologies and Factory Automation, Sep 2022, Stuttgart, Germany. , 2022
Accès au bibtex
BibTex
titre
Increasing the autonomy of an underwater ROV
auteur
Marko Vukšić, Tonko Kovacevic, Barbara Džaja, Predrag Đukić, Slaven Šitić, Hai Nam Tran, Vincent Rodin, Laurent Lemarchand, Valérie-Anne Nicolas, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Sea Tech Week, Sep 2022, Brest, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03778490/file/vuksic22.pdf BibTex
titre
Smart Home Model Verification with AnimUML
auteur
Frédéric Jouault, Ciprian Teodorov, Matthias Brun
article
STAF/MeSS, Jul 2022, Nantes, France
Accès au bibtex
BibTex
titre
Work-in-progress: Ontology-driven Generation of AADL architecture Models
auteur
Perig Dissaux, Catherine Dezan, Frank Singhoff, Jérome Legrand, Pierre Dissaux
article
GDR Soc2, Jun 2022, Strasbourg, France
Accès au bibtex
BibTex
titre
Model-driven deployment of Digital Twins for Smart Environments - The HUman at home projecT case study
auteur
Alireza Asvadi, Gaëlic Bechu, Antoine Beugnard, Caroline G. L. Cao, Christophe Lohr, Panagiotis Papadakis, Quentin Perez, Christelle Urtado, Sylvain Vauttier
article
Journées nationales du GDR GPL 2022, Jun 2022, Vannes, France. , 13, 2022, Actes des journées du GDR GPL 2022
Accès au texte intégral et bibtex
https://hal.science/hal-03701748/file/PosterHUT_GDRGPL_22.pdf BibTex
titre
A design space exploration approach to jointly optimize security and schedulability in TSP systems
auteur
Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Laurent Lemarchand
article
Colloque du GDR SOC2, Jun 2022, Strasbourg, France
Accès au bibtex
BibTex
titre
Multi-objective optimization at the EDge for Online and Real-time self-Adaptation of Autonomous vehicles
auteur
Evan Flecheau, Laurent Lemarchand, Catherine Dezan
article
Colloque du GDR SOC2, Jun 2022, Strasbourg, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03718161/file/MEDORAA_GDR.pdf BibTex
titre
Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
auteur
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
article
RISC-V Spring Week 2022, May 2022, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-04164321/file/4th-RISC-V-Meeting-2022-05-03-Mohamed-ElBouazzati-poster.pdf BibTex

Proceedings

titre
IWST 2022: International Workshop on Smalltalk Technologies 2022
auteur
Loïc Lagadec, Vincent Aranega
article
3325, 2022
Accès au bibtex
BibTex

Reports

titre
Remarkable Challenges of High-Performance Language Virtual Machines
auteur
Guillermo Polito, Stéphane Ducasse, Pablo Tesone, Luc Fabresse, G Thomas, M Bacou, Loïc Lagadec, Pascal Cotret
article
[Research Report] Inria Lille - Nord Europe. 2022
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03770065/file/main.pdf BibTex
titre
RISCLESS: A Reinforcement Learning Strategy to Exploit Unused Cloud Resources
auteur
Sidahmed Yalles, Mohamed Handaoui, Jean-Emile Dartois, Olivier Barais, Laurent d'Orazio, Jalil Boukhobza
article
[Research Report] ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne. 2022, pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-03652738/file/_ACM__RISCLESS__A_Reinforcement_Learning_Strategy_to_Guarantee_SLA_on_Cloud_Ephemeral_and_Stable_Resources__Copy_%20%281%29.pdf BibTex
titre
Euro-Asian Collaboration for Enhancing STEM Education
auteur
Siegfried Rouvrais, Gilles Jacovetti, Charlotte Langlais, Philippe Lenca, Doan Van Dien, Duong Van Hieu, Bui Van Hong, Le Tan Cuong, Tran The Van, Arlinta Barus, Inggriani Liem, Agus Muliantara, I Made Supartha Utama, Irwan Endrayanto, Muhammad Zuhri, Catur Candra, Somkid Amornsamankul, Tipaluck Krityakierne, Wasakorn Laesanklang, Jarutas Andritsch, Sirilak Bangchokdee, Yupawadee Somboonkul, Tanate Panrat, Panuwan Chantawannakul, Nuttee Suree, Vladimiras Dolgopolova, Miriam Tardell, Emilija Zilinskaite
article
[Research Report] IMT ATLANTIQUE; Lab-STICC. 2022
Accès au texte intégral et bibtex
https://hal.science/hal-03727580/file/Industry-engagement-and-competence-integration-1%281%29.pdf BibTex

2021

Journal articles

titre
Reuse in component-based prototyping: an industrial experience report from 15 years of reuse
auteur
Pierre Laborde, Steven Costiou, Éric Le Pors, Alain Plantec
article
Innovations in Systems and Software Engineering, In press
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03462995/file/molecule-isse.pdf BibTex
titre
Unified verification and monitoring of executable UML specifications. A transformation-free approach
auteur
Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
article
Software and Systems Modeling, 2021, ⟨10.1007/s10270-021-00923-9⟩
Accès au bibtex
BibTex
titre
PAMELA: an annotation-based Java Modeling Framework
auteur
Sylvain Guérin, Guillaume Polet, Caine Silva, Joel Champeau, Jean-Christophe Bach, Salvador Martínez, Fabien Dagnat, Antoine Beugnard
article
Science of Computer Programming, 2021, 210, pp.102668. ⟨10.1016/j.scico.2021.102668⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03217126/file/PAMELA_SoftwarePublication-accepted_authors_version.pdf BibTex
titre
Lattice-based Cryptosystems on FPGA: Parallelization and Comparison using HLS
auteur
Timo Zijlstra, Karim Bigou, Arnaud Tisserand
article
IEEE Transactions on Computers, 2021, ⟨10.1109/TC.2021.3112052⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03347174/file/article-ieeetc.pdf BibTex
titre
Multi-objective Optimization of Data Placement in a Storage-as-a-Service Federated Cloud
auteur
Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
article
Transactions on Storage, 2021, 17 (3), pp.1-32. ⟨10.1145/3452741⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349819/file/Chikhaoui_NoMarks.pdf BibTex
titre
Efficient model similarity estimation with robust hashing
auteur
Salvador Martínez, Sébastien Gérard, Jordi Cabot
article
Software and Systems Modeling, 2021, ⟨10.1007/s10270-021-00915-9⟩
Accès au bibtex
BibTex
titre
EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
auteur
Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
article
Operating Systems Review, 2021, 55 (1), pp.88-98. ⟨10.1145/3469379.3469391⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03276036/file/cheops_camera_ready.pdf BibTex
titre
Investigating Machine Learning Algorithms for Modeling SSD I/O Performance for Container-based Virtualization
auteur
Jean-Emile Dartois, Jalil Boukhobza, Anas Knefati, Olivier Barais
article
IEEE Transactions on Cloud Computing, 2021, 9 (3), pp.1103-1116. ⟨10.1109/TCC.2019.2898192⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02013421/file/Investigating_Machine_Learning_Algorithms_for_Modeling_SSD_I_O_Performance_for_Container_based_Virtualization.pdf BibTex
titre
HEVC hardware vs software decoding: An objective energy consumption analysis and comparison
auteur
Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
article
Journal of Systems Architecture, 2021, 115, pp.102004. ⟨10.1016/j.sysarc.2021.102004⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-03127340/file/hevc_decoding_performance_and_power_consumption_characterization_final_v....pdf BibTex
titre
Feasibility interval and sustainable scheduling simulation with CRPD on uniprocessor platform
auteur
Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
article
Journal of Systems Architecture, 2021, 115, pp.102007. ⟨10.1016/j.sysarc.2021.102007⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-03152032/file/jsa_final_draft-1.pdf BibTex
titre
DQN as an alternative to Market-based approaches for Multi-Robot processing Task Allocation (MRpTA)
auteur
Paul Gautier, Johann Laurent, Jean-Philippe Diguet
article
International Journal of Robotic Computing, In press, 3 (1)
Accès au texte intégral et bibtex
https://hal.science/hal-03251554/file/main_preprint.pdf BibTex
titre
Attacks toward Wireless Network-on-Chip and Countermeasures
auteur
Arnab Kumar Biswas, Navonil Chatterjee, Hemanta Kumar Mondal, Guy Gogniat, Jean-Philippe Diguet
article
IEEE Transactions on Emerging Topics in Computing, 2021, 9 (2), ⟨10.1109/TETC.2020.2973427⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02484906/file/Final_Submitted_Version_TETC20.pdf BibTex
titre
ECTM: A network-on-chip communication model to combine task and message schedulability analysis
auteur
Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
article
Journal of Systems Architecture, 2021, 114, pp.101931. ⟨10.1016/j.sysarc.2020.101931⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03164501/file/S1383762120301909.pdf BibTex
titre
Embedded Computation Architectures for Autonomy in Unmanned Aircraft Systems (UAS)
auteur
Luis Mejias, Jean-Philippe Diguet, Catherine Dezan, Duncan Campbell, Jonathan Kok, Gilles Coppin
article
Sensors, 2021, 21 (4), pp.1115. ⟨10.3390/s21041115⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03140570/file/sensors-21-01115-v3-1.pdf BibTex
titre
Back-to-Back Butterfly Network: an Adaptive Permutation Network for New Communication Standards
auteur
Hassan Harb, Cyrille Chavet
article
Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01628-w⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03119275/file/VLSI-D-20-00270_R1.pdf BibTex
titre
Floating Point CGRA based Ultra-Low Power DSP Accelerator
auteur
Rohit Prasad, Satyajit Das, Kevin Martin, Philippe Coussy
article
Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01630-2⟩
Accès au bibtex
BibTex
titre
Smart Contact Lens Applied to Gaze Tracking
auteur
Loic Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
article
IEEE Sensors Journal, 2021, 21 (1), pp.455-463. ⟨10.1109/JSEN.2020.3012710⟩
Accès au bibtex
BibTex
titre
IoT Data Replication and Consistency Management in Fog computing
auteur
Mohammed Islam Naas, Laurent Lemarchand, Philippe Raipin, Jalil Boukhobza
article
Journal of Grid Computing, 2021, 19 (3), pp.33. ⟨10.1007/s10723-021-09571-1⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349814/file/IoT_Data_Naas_Rev1.pdf BibTex
titre
Subutai: Speeding Up Legacy Parallel Applications Through Data Synchronization
auteur
Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Jarbas Silveira, Gustavo Sanchez, Johanna Sepulveda, Cesar Marcon, Jean-Phillipe Diguet
article
IEEE Transactions on Parallel and Distributed Systems, 2021, 32 (5), pp.1102-1116. ⟨10.1109/TPDS.2020.3040066⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03082831/file/SubutaiTPDSauthorVersion.pdf BibTex
titre
Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
auteur
Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
article
Operating Systems Review, 2021, 55 (1), pp.99-107. ⟨10.1145/3469379.3469392⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349823/file/CHEOPS_21_paper_6.pdf BibTex

Conference papers

titre
OAuth 2.0-based authentication solution for FPGA-enabled cloud computing
auteur
Semih Ince, David Espes, Guy Gogniat, Julien Lallet, Renaud Santoro
article
14th IEEE/ACM International Conference on Utility and Cloud Computing Companion, Dec 2021, Leicester, United Kingdom. ⟨10.1145/3492323.3495635⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606350/file/Papier%20UCC21%20%281%29.pdf BibTex
titre
Work-In-Progress: Models and tools to detect Real-Time Scheduling Anomalies
auteur
Blandine Djika, Frank Singhoff, Alain Plantec, Georges Edouard Kouamou
article
Brief presentation at the 42nd IEEE Real-Time Systems Symposium (RTSS), Dec 2021, Dortmund, Germany
Accès au bibtex
BibTex
titre
Experience Feedback on HLS Implementation of LWE PQC on FPGA
auteur
Arnaud Tisserand
article
Sécurité et intégrité des systèmes (GDR Sécu & SoC2), Nov 2021, Rennes, France
Accès au bibtex
BibTex
titre
AnimUML as a UML Modeling and Verification Teaching Tool
auteur
Frédéric Jouault, Valentin Sebille, Valentin Besnard, Théo Le Calvar, Ciprian Teodorov, Matthias Brun, Jérôme Delatour
article
MODELS 2021, Nov 2021, Fukuoka (virtual), Japan. pp.615-619
Accès au bibtex
BibTex
titre
Prototyping FPGA through overlays
auteur
Theotime Bollengier, Loïc Lagadec, Ciprian Teodorov
article
2021 IEEE International Workshop on Rapid System Prototyping (RSP), Oct 2021, Paris, France. pp.15-21, ⟨10.1109/RSP53691.2021.9806222⟩
Accès au bibtex
BibTex
titre
Extended RISC-V hardware architecture for future digital communication systems
auteur
Mael Tourres, Bertrand Le Gal, Jeremie Crenne, Philippe Coussy, Cyrille Chavet
article
2021 IEEE 4th 5G World Forum (5GWF), Oct 2021, Montreal, Canada. pp.224-229, ⟨10.1109/5GWF52925.2021.00046⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03586276/file/Extended_RISC-V_hardware_architecture_for_future_digital_communication_systems.pdf BibTex
titre
Timing analysis of TASTE models for reconfigurable software
auteur
Jean-Charles Roger, Pierre Dissaux, Jérôme Legrand, Mourad Dridi, Stéphane Rubini, Frank Singhoff
article
Model-Based Systems and Software Engineering (MBSE 2021) ESA Workshop, Sep 2021, Virtual, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03329757/file/abstract_mbse_2021.pdf BibTex
titre
A Usage-driven Approach to Characterize and Implement Industrial Digital Twins
auteur
Nathalie Julien, Eric Martin
article
ESREL 31st European Safety and Reliability Conference, Sep 2021, Angers, France
Accès au bibtex
BibTex
titre
Introducing CPU load Analysis from AADL Models for ROS applications : a use case
auteur
Eric Senn, Lucie Bourdon
article
2021 Forum on specification & Design Languages (FDL), Sep 2021, Antibes, France. pp.01-08, ⟨10.1109/FDL53530.2021.9568386⟩
Accès au bibtex
BibTex
titre
Evaluation and Optimization of Underwater Image Restoration Algorithms
auteur
Alan Le Boudec, Artur Mkrtchyan, Barbara Džaja, Vincent Rodin, Hai Nam Tran
article
International Conference on Smart and Sustainable Technologies (SpliTech), Sep 2021, Split, Croatia
Accès au texte intégral et bibtex
https://hal.science/hal-03406203/file/1.Evaluation_and_Optimization_of_Underwater_Image_Restoration_Algorithms.pdf BibTex
titre
CARES, a framework for CPS simulation : application to autonomous underwater vehicle navigation function
auteur
Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
article
2021 Forum on specification & Design Languages (FDL), Sep 2021, Antibes, France. pp.01-08, ⟨10.1109/FDL53530.2021.9568380⟩
Accès au bibtex
BibTex
titre
Carnac: Algorithm Variability for Fast Swarm Verification on FPGA
auteur
Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
article
2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Aug 2021, Dresden, Germany. pp.185-189, ⟨10.1109/FPL53798.2021.00038⟩
Accès au bibtex
BibTex
titre
Anomaly Detection Algorithm for Acoustics Phenomena
auteur
Thomas Barguil, Johann Laurent, Nicolas Bohelay, Dominique Heller
article
The 2021 World Congress in Computer Science, Computer Engineering, & Applied Computing (CSCE'21), Jul 2021, Las Vegas, United States
Accès au texte intégral et bibtex
https://hal.science/hal-03621200/file/Anomaly_Detection_Algorithm_for_Acoustics_Phenomena.pdf BibTex
titre
Efficient Leader Election in Wireless Sensor and IoT Networks
auteur
Reinhardt Euler, Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Abdelkader Laouid
article
31st European Conference on Operational Research (EURO 2021), Jul 2021, Athens, Greece
Accès au bibtex
BibTex
titre
Accelerating Random Forest on Memory-Constrained Devices through Data Storage Reorganization
auteur
Camélia Slimani, Stéphane Rubini, Chun-Feng Wu, Yuan-Hao Chang, Jalil Boukhobza
article
Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-04290830/file/COMPAS2021_paper_13.pdf BibTex
titre
Architecture matérielle programmable optimisée pour les systèmes de communications numériques
auteur
Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
article
Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
Accès au bibtex
BibTex
titre
A Framework for Fixed Priority Periodic Scheduling Synthesis from Synchronous Data-flow Graphs
auteur
Hai Nam Tran, Alexandre Honorat, Shuvra S Bhattacharyya, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
article
SAMOS XXI 2021 - 21st International Conference on embedded computer Systems: Architectures, MOdeling and Simulation, Jul 2021, Virtual, France. pp.1-12
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03488217/file/R_34_PDF.pdf BibTex
titre
Hardware Based Loop Optimization for CGRA Architectures
auteur
Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy
article
Applied Reconfigurable Computing. Architectures, Tools, and Applications, Jun 2021, Rennes, France. pp.65-80, ⟨10.1007/978-3-030-79025-7_5⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03345346/file/ARC_2021_HWloop_authorVersion.pdf BibTex
titre
Comparison of Value Iteration, Policy Iteration and Q-Learning for solving Decision-Making problems
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka R L J Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2021, Athens, Greece
Accès au bibtex
BibTex
titre
Euro-Asia Collaboration for Enhancing STEM Education
auteur
Anders Berglund, Valentina Dagiene, Vladimiras Dolgopolovas, Siegfried Rouvrais, Miriam Tardell
article
5th APSCE International Conference on Computational Thinking and STEM Education 2021 (CTE-STEM 2021), National Institute of Education, Nanyang Technological University, Jun 2021, Hong Kong (virtual conference), China. pp.136-140
Accès au texte intégral et bibtex
https://hal.science/hal-03242570/file/CTE_STEM_submission.pdf BibTex
titre
How to Characterize a Digital Twin: A Usage-Driven Classification
auteur
Nathalie Julien, Eric Martin
article
17th IFAC Symposium on Information Control Problems in Manufacturing, INCOM21, Jun 2021, Budapest, Hungary
Accès au bibtex
BibTex
titre
Gestion d'interaction Humain-Cobot pour l'exécution de tâches en cuisine
auteur
Samia Benferhat, Florent Frizon de Lamotte, Christophe Lohr, Jean-Luc Philippe
article
JETSAN 2021 - Colloque en Télésanté et dispositifs biomédicaux - 8ème édition, Université Toulouse III - Paul Sabatier [UPS], May 2021, Toulouse, Blagnac, France
Accès au texte intégral et bibtex
https://hal.science/hal-03501202/file/Jetsan_der_version_3.pdf BibTex
titre
EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
auteur
Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
article
CHEOPS 2021: Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Edinburgh (online), United Kingdom. pp.4:1-4:11, ⟨10.1145/3439839.3458731⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03215663/file/eziotracer_cheops_2021.pdf BibTex
titre
Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
auteur
Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
article
Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Online Event, United Kingdom. pp.1-9, ⟨10.1145/3439839.3458733⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03217669/file/3439839.3458733%20%281%29.pdf BibTex
titre
Analysing Student Engagement in an Online Course in the Context of Hybrid Learning Environment. An Empirical Study
auteur
Michael Wahiu, Fahima Djelil, Laurent Brisson, Jean-Marie Gilliot, Antoine Beugnard
article
CSEDU 2021 - 13th International Conference on Computer Supported Education, Apr 2021, On line, Czech Republic. ⟨10.5220/0010396901560162⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03208393/file/CSEDU%202021.pdf BibTex
titre
StorNIR , a multi-objective replica placement strategy for cloud federations
auteur
Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
article
SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, France. pp.50-59, ⟨10.1145/3412841.3441886⟩
Accès au bibtex
BibTex
titre
RaFIO: a random forest I/O-aware algorithm
auteur
Camélia Slimani, Chun-Feng Wu, Yuan-Hao Chang, Stéphane Rubini, Jalil Boukhobza
article
SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, South Korea. pp.521-528, ⟨10.1145/3412841.3441932⟩
Accès au bibtex
BibTex
titre
Une approche générique du jumeau numérique pilotée par les usages
auteur
Nathalie Julien, Eric Martin
article
s-mart2021 : 17ème colloque national S-mart AIP-PRIMECA, Mar 2021, Valenciennes, France
Accès au bibtex
BibTex
titre
Security property modeling
auteur
Hiba Hnaini, Luka Le Roux, Joël Champeau, Ciprian Teodorov
article
7th International Conference on Information Systems Security and Privacy, ICISSP 2021, Feb 2021, Vienne - Virtual Online, Austria. pp.694-701, ⟨10.5220/0010388206940701⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-03188864/file/103882.pdf BibTex
titre
Benchmarking Quantized Neural Networks on FPGAs with FINN
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec, Rob Stewart
article
DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-03085342/file/article_IEEE.pdf BibTex
titre
Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis
auteur
Hannah Badier, Christian Pilato, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
article
DATE'21 Design Automation and Test in Europe, Feb 2021, Grenoble (virtuel), France
Accès au texte intégral et bibtex
https://hal.science/hal-03228922/file/date21_birthmarking_camera_ready.pdf BibTex
titre
On Cache Limits for Dataflow Applications and Related Efficient Memory Management Strategies
auteur
Alemeh Ghasemi, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
article
DASIP 2021: Workshop on Design and Architectures for Signal and Image Processing, Jan 2021, Budapest -Online, Hungary. ⟨10.1145/3441110.3441573⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03125551/file/dasip21AuthorVersion.pdf BibTex

Other publications

titre
Cheddar tutorial: an example of real-time scheduling analysis with Cheddar
auteur
Frank Singhoff, Hai Nam Tran
article
2021
Accès au bibtex
BibTex

Patents

titre
Dispositif de prélèvement des gaz expirés par un patient
auteur
Jean-Luc Wojkiewicz, Nathalie Redon, Paul Le Maout, Cyril Lahuec
article
France, N° de brevet: WO2021250261. DI-TBN-20-002. 2021
Accès au bibtex
BibTex

Poster communications

titre
Classifieur embarqué pour la détection d’intrusions dans le contexte des véhicules autonomes
auteur
Mohammed-Amine Hsaini, Mohand Hamadouche, David Espes, Dezan Catherine
article
COMPAS, Jul 2021, Lyon, France
Accès au bibtex
BibTex
titre
Software-Defined Networking for Many-cores
auteur
Marcelo Ruaro, Kevin Martin, Fernando G Moraes
article
Colloque du GdR SOC2, Jun 2021, Rennes, France
Accès au texte intégral et bibtex
https://hal.science/hal-03294530/file/GDR_SOC_SDN.pdf BibTex
titre
Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
auteur
Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
article
15ème Colloque National du GDR SOC2, Jun 2021, Rennes, France
Accès au texte intégral et bibtex
https://hal.science/hal-04164388/file/poster-gdr-soc-colloque-2021.pdf BibTex
titre
Architecture programmable pour les systèmes de communications numériques
auteur
Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
article
GDR SoC2, Jun 2021, Rennes, France
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
Design and Comparison of Reward Functions in Reinforcement Learning for Energy Management of Sensor Nodes
auteur
Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
article
2021
Accès au bibtex
https://arxiv.org/pdf/2106.01114 BibTex

2020

Journal articles

titre
A laser emitting contact lens for eye tracking
auteur
Alexandre Khaldi, Emmanuel Daniel, Loïc Massin, Camilla Kärnfelt, Francesco Ferranti, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, J.-L. de Bougrenet de La Tocnaye
article
Scientific Reports, 2020, 10 (1), ⟨10.1038/s41598-020-71233-1⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02946988/file/Khaldi%20et%20al.%20-%202020%20-%20A%20laser%20emitting%20contact%20lens%20for%20eye%20tracking.pdf BibTex
titre
Industrie et pandémie, quelles mutations ?
auteur
Nathalie Julien, Eric Martin
article
France Forum, 2020
Accès au bibtex
BibTex
titre
Advances in Smalltalk technologies
auteur
Loïc Lagadec, Anne Etien, Jannik Laval
article
Science of Computer Programming, 2020, 199, pp.102518. ⟨10.1016/j.scico.2020.102518⟩
Accès au bibtex
BibTex
titre
Winter is here! A decade of cache-based side-channel attacks, detection & mitigation for RSA
auteur
Maria Mushtaq, Muhammad Asim Mukhtar, Vianney Lapotre, Muhammad Khurram Bhatti, Guy Gogniat
article
Information Systems, 2020, 92, pp.#101524. ⟨10.1016/j.is.2020.101524⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02537540/file/Winter%20is%20here%20A%20decade%20of%20cache-based%20side-channel%20attacks%20detection.pdf BibTex
titre
Information System Services Generation of Business Services Specification and Based on a System-of-Services Logical Architecture Pattern
auteur
Jacques Simonin, Pierre-Yves Pillain, Didier Gueriot, Johanne Vincent
article
International Journal of Cooperative Information Systems, 2020, 29 (03), pp.2050002. ⟨10.1142/S0218843020500021⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02953309/file/ArchitectureFonctionnelle-49-Pattern.pdf BibTex
titre
WHISPER A Tool for Run-time Detection of Side-Channel Attacks
auteur
Maria Mushtaq, Jeremy Bricq, Muhammad Khurram Bhatti, Ayaz Akram, Vianney Lapotre, Guy Gogniat, Pascal Benoit
article
IEEE Access, 2020, 8, pp.83871-83900. ⟨10.1109/ACCESS.2020.2988370⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02546630/file/09069285.pdf BibTex
titre
Meet the Sherlock Holmes’ of Side Channel Leakage: A Survey of Cache SCA Detection Techniques
auteur
Ayaz Akram, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
IEEE Access, 2020, 8, pp.70836-70860. ⟨10.1109/ACCESS.2020.2980522⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02508889/file/Meet_the_Sherlock_Holmes_of_Side_Channel_Leakage_A_Survey_of_Cache_SCA_Detection_Techniques.pdf BibTex
titre
Work-based learning models in engineering curricula: insight from the French experience
auteur
Siegfried Rouvrais, Bernard Remaud, Morgan Saveuse
article
European Journal of Engineering Education, 2020, 45 (1), pp.89-102. ⟨10.1080/03043797.2018.1450846⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02959674/file/Work%20based%20learning%20models%20in%20engineering%20curricula%20insight%20from%20the%20French%20experience%281%29.pdf BibTex
titre
Development of a new scleral contact lens with encapsulated photodetectors for eye tracking
auteur
Loïc Massin, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Laure Adam, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye
article
Optics Express, 2020, 28 (19), pp.28635. ⟨10.1364/oe.399823⟩
Accès au bibtex
BibTex
titre
Efficient plagiarism detection for software modeling assignments
auteur
Salvador Martínez, Manuel Wimmer, Jordi Cabot
article
Computer Science Education, 2020, 30 (2), pp.187-215. ⟨10.1080/08993408.2020.1711495⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02498433/file/PlagiarismDectectionForMDE-Accepted.pdf BibTex
titre
Automated Exploration of Homomorphic Encryption Scheme Input Parameters
auteur
Cyrielle Feron, Loïc Lagadec, Vianney Lapotre
article
Journal of Information Security and Applications, 2020, 55, pp.102627. ⟨10.1016/j.jisa.2020.102627⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02960569/file/journal.pdf BibTex
titre
FLUSH + PREFETCH: A Countermeasure Against Access-driven Cache-based Side-Channel Attacks
auteur
M Asim Mukhtar, Maria Mushtaq, M Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
Journal of Systems Architecture, 2020, 104, pp.101698. ⟨10.1016/j.sysarc.2019.101698⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02417391/file/1-s2.0-S1383762119305053-main.pdf BibTex
titre
Object Miners: Acquire, Capture and Replay Objects to Track Elusive Bugs.
auteur
Steven Costiou, Mickaël Kerboeuf, Clotilde Toullec, Alain Plantec, Sté́phane Ducasse
article
The Journal of Object Technology, 2020, 19 (1), pp.1:1. ⟨10.5381/jot.2020.19.1.a1⟩
Accès au bibtex
BibTex
titre
Fully Parallel Circular-Shift Rotation Network for Communication Standards
auteur
Hassan Harb, Cyrille Chavet
article
IEEE Transactions on Circuits and Systems II: Express Briefs, In press, pp.1-1. ⟨10.1109/TCSII.2020.2997691⟩
Accès au bibtex
BibTex
titre
Embedded Bayesian Network Contribution for a Safe Mission Planning of Autonomous Vehicles
auteur
Catherine Dezan, Sara Zermani, Chabha Hireche
article
Algorithms, 2020, 13 (7), pp.155. ⟨10.3390/a13070155⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02889797/file/MDPI_BN_2020_published_corr.pdf BibTex

Conference papers

titre
An Iterative Approach to Automate the Tuning of Continuous Controller Parameters
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
2020 IEEE 18th International Conference on Embedded and Ubiquitous Computing (EUC), Dec 2020, Guangzhou, China. pp.1-8, ⟨10.1109/EUC50751.2020.00008⟩
Accès au bibtex
BibTex
titre
ReLeaSER: A Reinforcement Learning Strategy for Optimizing Utilization Of Ephemeral Cloud Resources
auteur
Mohamed Handaoui, Jean-Emile Dartois, Jalil Boukhobza, Olivier Barais, Laurent d'Orazio
article
CloudCom 2020 - 12th IEEE International Conference on Cloud Computing Technology and Science, Dec 2020, Bangkok, Thailand. pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-02989286/file/2020003050.pdf BibTex
titre
15 years of reuse experience in evolutionary prototyping for the defense industry
auteur
Pierre Laborde, Steven Costiou, Éric Le Pors, Alain Plantec
article
International Conference on Software and Systems Reuse, Dec 2020, Hammamet, Tunisia
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02966691/file/ICSR_15years.pdf BibTex
titre
Preparing 5.0 engineering students for an unpredictable post-COVID world
auteur
Siegfried Rouvrais, Cecile Gerwel Proches, Haraldur Andunsson, Nathalie Chelin, Inggriani Liem, Luis Tudela Villalonga
article
WEEF/GEDC 2020 : World Engineering Education Forum and the Global Engineering Deans Council, Nov 2020, Cape Town, South Africa. ⟨10.1109/WEEF-GEDC49885.2020.9293661⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02959143/file/Final-WEEF2020-Eastem.pdf BibTex
titre
Decision Skills in Engineering Programs -a Key for a VUCA Era
auteur
Siegfried Rouvrais, Audunsson Haraldur, Liliane Esnault, Víkingur Friðgeirsson Thordur
article
WEEF/GEDC 2020 : World Engineering Education Forum and the Global Engineering Deans Council, Nov 2020, Cape Town, South Africa. ⟨10.1109/WEEF-GEDC49885.2020.9293669⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02959152/file/Decision-Skills-Rouvrais.pdf BibTex
titre
Scaling up a project-based SQL course
auteur
Vincent Ribaud
article
20120 IEEE 32th Conference on Software Engineering Education and Training (CSEE&T), Nov 2020, Munich, Germany
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911766/file/Scaling%20up%20a%20project-based%20SQL%20course.pdf BibTex
titre
Comparison of Market-based and DQN methods for Multi-Robot processing Task Allocation (MRpTA)
auteur
Paul Gautier, Laurent D. Johann, Jean-Philippe Diguet
article
IEEE International Conference on Robotic Computing (IRC), Nov 2020, Taichung, Taiwan
Accès au texte intégral et bibtex
https://hal.science/hal-02510990/file/IRC-Preprint.pdf BibTex
titre
New Dataset for Industry 4.0 to Address the Change in Threat Landscape
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
article
15th International Conference on Risks and Security of Internet and Systems (CRISIS), Nov 2020, Paris, France. pp.273-288, ⟨10.1007/978-3-030-68887-5_16⟩
Accès au bibtex
BibTex
titre
Enhancement of a Business Model with a Business Contextual Risk Model
auteur
Zakariya Kamagaté, Jacques Simonin, Yvon Kermarrec
article
International Conference on Risks and Security of Internet and Systems, Nov 2020, Paris, France. pp.325-334, ⟨10.1007/978-3-030-68887-5_20⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03141542/file/CRISIS2020-018_v1Enhancement%20of%20a%20business%20model%20with%20a%20Business%20Contextual%20Risk%20Model.pdf BibTex
titre
Salamander: a Holistic Scheduling of MapReduce Jobs on Ephemeral Cloud Resources
auteur
Mohamed Handaoui, Jean-Emile Dartois, Laurent Lemarchand, Jalil Boukhobza
article
CCGRID 2020 - 20th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, Nov 2020, Melbourne, Australia. pp.1-10
Accès au texte intégral et bibtex
https://hal.science/hal-02497029/file/PID6379855.pdf BibTex
titre
A novel Network-on-Chip security algorithm for tolerating Byzantine faults
auteur
Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Jean-Michel Dricot, Guy Gogniat
article
2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2020, Frascati, Italy. pp.1-6, ⟨10.1109/DFT50435.2020.9250906⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606356/file/A_novel_Network-on-Chip_security_algorithm_for_tolerating_Byzantine_faults.pdf BibTex
titre
Modular Deployment of UML Models for V&V Activities and Embedded Execution
auteur
Valentin Besnard, Frédéric Jouault, Matthias Brun, Ciprian Teodorov, Philippe Dhaussy
article
MoDeVVa, Oct 2020, Virtual Event, Canada. ⟨10.1145/3417990.3419227⟩
Accès au bibtex
BibTex
titre
Detecting human vulnerably in socio-technical systems: a naval case study
auteur
Paul Perrotin, Salah Sadou, David Hairion, Antoine Beugnard
article
MoDELS 2020: 23rd ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, Oct 2020, Virtual Event, Canada. ⟨10.1145/3417990.3420045⟩
Accès au bibtex
BibTex
titre
Designing, Animating, and Verifying Partial UML Models
auteur
Frédéric Jouault, Valentin Besnard, Théo Le Calvar, Ciprian Teodorov, Matthias Brun, J. Delatour
article
23rd International Conference on Model Driven Engineering Languages and Systems (MODELS 2020), Oct 2020, Virtual event, Canada. pp.211-217, ⟨10.1145/3365438.3410967⟩
Accès au bibtex
BibTex
titre
Toward Secured IoT Devices: a Shuffled 8-Bit AES Hardware Implementation
auteur
Ghita Harcha, Vianney Lapotre, Cyrille Chavet, Philippe Coussy
article
IEEE International Symposium on Circuits and Systems (ISCAS), Oct 2020, Seville, Spain. ⟨10.1109/ISCAS45731.2020.9180599⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02511667/file/iscas-v0.pdf BibTex
titre
An Interruptible Task Allocation Model : Application to a Honey Bee Colony Simulation
auteur
Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
article
PAAMS 2020: 18th International Conference on Practical Applications of Agents and Multi-Agent Systems, Oct 2020, L'aquila, Italy. pp.3-15, ⟨10.1007/978-3-030-49778-1_1⟩
Accès au bibtex
BibTex
titre
When security affects schedulability of TSP systems: trade-offs observed by design space exploration
auteur
Ill-Ham Atchadam, Laurent Lemarchand, Hai Nam Tran, Frank Singhoff, Karim Bigou
article
25th international conference on emerging technologies and factory automaton, ETFA 2020, IEEE Industrial Electronics Society, Sep 2020, Vienna, Austria
Accès au bibtex
BibTex
titre
A seamless DFT/FFT self-adaptive architecture for embedded radar applications
auteur
Julien Mazuet, Michel Narozny, Catherine Dezan, Jean-Philippe Diguet
article
The International Conference on Field-Programmable Logic and Applications (FPL), Aug 2020, Gothenburg (virtual ), Sweden. ⟨10.1109/FPL50879.2020.00029⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03047989/file/FPL2020_camera_ready.pdf BibTex
titre
Menhir: Generic High-Speed FPGA Model-Checker
auteur
Émilien Fournier, Ciprian Teodorov, Loïc Lagadec
article
2020 23rd Euromicro Conference on Digital System Design (DSD), Aug 2020, Kranj, Slovenia. pp.65-72, ⟨10.1109/DSD51259.2020.00022⟩
Accès au bibtex
BibTex
titre
Contract-based design patterns: a design by contract approach to specify security patterns
auteur
Caine Silva, Sylvain Guérin, Raul Mazo, Joël Champeau
article
15th International Conference on Availibitlity, Reliability ans Security, ARES 2020, Association for Computing Machinery (ACM), Aug 2020, Virtual Event, Ireland. pp.1-9, ⟨10.1145/3407023.3409185⟩
Accès au bibtex
BibTex
titre
On the Performance Evaluation of LoRaWAN with Re-transmissions under Jamming
auteur
I. Martinez, F. Nouvel, S. Lahoud, Tanguy Philippe, M.E. Helou
article
2020 IEEE Symposium on Computers and Communications, ISCC 2020, Jul 2020, Rennes, France. pp.9219644, ⟨10.1109/ISCC50000.2020.9219644⟩
Accès au bibtex
BibTex
titre
STUART: ReSilient archiTecture to dynamically manage Unmanned aeriAl vehicle networks undeR atTack
auteur
Isadora Ferrão, Daniel F Pigatto, João Fontes, Natassya Silva, David Espes, Catherine Dezan, Kalinka R L J Castelo Branco
article
8th Workshop on Communications in Critical Embedded Systems (WoCCES), Jul 2020, Rennes, France
Accès au bibtex
BibTex
titre
Co-orientation©: a collaborative method to guide students' decision-making to a right first job
auteur
Nathalie Chelin, Siegfried Rouvrais
article
EDULEARN 2020 : 12th International Conference on Education and New Learning Technologies, Jul 2020, Palma, Spain. ⟨10.21125/edulearn.2020.0618⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02959184/file/Co-orientation-V4.pdf BibTex
titre
Career decision-making learning activities in stem: an integrated capsule model earmarked for higher and VET educations
auteur
Nathalie Chelin, Maria del Mar Socias Camacho, Siegfried Rouvrais, Gréta Matthíasdóttir
article
EDULEARN 2020 : 12th International Conference on Education and New Learning Technologies, Jul 2020, Palma, Spain. ⟨10.21125/edulearn.2020.2064⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02959196/file/IntegratedCapsules4CDM-V14.pdf BibTex
titre
Reward Tuning for self-adaptive Policy in MDP based Distributed Decision-Making to ensure a Safe Mission Planning
auteur
Mohand Hamadouche, Catherine Dezan, Kalinka R L J Castelo Branco
article
6th International Workshop on Safety and Security Intelligent Vehicle (SSIV), Jun 2020, Valence, Spain
Accès au bibtex
BibTex
titre
Modèle d'Interruption pour la Répartition des Tâches : Application à une simulation de colonie d'abeilles
auteur
Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
article
Rencontres des Jeunes Chercheur·ses en Intelligence Artificielle (RJCIA 2020 @ PFIA), Jun 2020, Angers, France
Accès au texte intégral et bibtex
https://hal.science/hal-03211869/file/Alves_RJCIA_Soumission16.pdf BibTex
titre
UNIVERSITY-INDUSTRY COLLABORATION THEMES IN STEM HIGHER EDUCATION: AN EURO-ASEAN PERSPECTIVE
auteur
Siegfried Rouvrais, Gilles Jacovetti, Panuwan Chantawannakul, Nuttee Suree, Sirilak Bangchokdee
article
16 th International CDIO Conference, Jun 2020, Gothenburg (on line), Sweden. pp.91-102
Accès au texte intégral et bibtex
https://hal.science/hal-02959169/file/CDIO2020-EASTEM-V11.pdf BibTex
titre
Des dispositifs pédagogiques pour œuvrer à l’égalité femmes hommes dans le numérique. Retours d’expériences des acteurs et actrices.
auteur
Pascale Gautron, Cécile Plaud, Vincent Ribaud
article
IMT4ET Innovative Methods and Tools for Educational Technology, May 2020, Rennes, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911755/file/LCLC%20Brest%20et%20LFQ%203.pdf BibTex
titre
Quand des étudiantes de licence deviennent enseignantes en informatique: leçons du dispositif «Les filles qui… »
auteur
Cécile Plaud, Vincent Ribaud
article
Humanistica 2020, May 2020, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911760/file/SoumissionHumanistica2020.pdf BibTex
titre
Back-to-Back Butterfly Network, an Adaptive Permutation Network for New Communication Standards
auteur
Hassan Harb, Cyrille Chavet
article
IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2020, Barcelonne, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-02493104/file/Harb_1581.pdf BibTex
titre
Energy Efficient Acceleration of Floating Point Applications onto CGRA
auteur
Satyajit Das, Rohit Prasad, Kevin Martin, Philippe Coussy
article
ICASSP, May 2020, Barcelona, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-02614908/file/EnergyEfficientAccelerationFloatingPointApplicationsontoCGRA.pdf BibTex
titre
Underwater exploration by AUV using deep neural network implemented on FPGA
auteur
Tanguy Le Pennec, Maher Jridi, Catherine Dezan, Franck Florin, Ayman Alfalou
article
Pattern Recognition and Tracking XXXI, Apr 2020, Online Only, United States. pp.23, ⟨10.1117/12.2558606⟩
Accès au bibtex
BibTex
titre
Les filles qui… et L Codent L Créent : constituer un bien commun de médiation en informatique
auteur
Pascale Gautron, Cécile Plaud, Maude Pupin, Vincent Ribaud, Yann Secq
article
Ludovia#CH - Université de printemps, Apr 2020, Yverdon-les-bains, Suisse
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911731/file/LesFillesQui%26LCLC-ConstitutionBienCommun.pdf BibTex
titre
6 leçons de robotique et des robolympiades pour les sciences
auteur
Vincent Ribaud
article
Forum national "Activons les sciences en classe !, Apr 2020, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911720/file/R%C3%A9sum%C3%A9-Forum%20national%20_Activons%20les%20sciences%20en%20classe_.pdf BibTex
titre
Chaining model transformations to develop a system model verification tool : : application to capella state machines and data flows models
auteur
Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
article
SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, Apr 2020, Brno Czech Republic, Czech Republic. pp.1654-1657, ⟨10.1145/3341105.3374093⟩
Accès au bibtex
BibTex
titre
TRANSPIRE: An energy-efficient TRANSprecision floating-point Programmable archItectuRE
auteur
Rohit Prasad, Satyajit Das, Kevin Martin, Giuseppe Tagliavini, Philippe Coussy, Luca Benini, Davide Rossi
article
Design, Automation and Test in Europe Conference (DATE), Mar 2020, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-02510931/file/TRANPIREforHAL.pdf BibTex
titre
Towards a Hardware DSL Ecosystem: RubyRTL and Friends
auteur
Jean-Christophe Le Lann, Hannah Badier, Florent Kermarrec
article
OSDA'2020 Open Source Hardware Design, colocated with DATE'20, Mar 2020, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-02513256/file/osda_2020.pdf BibTex
titre
A Domain-specific Modeling Framework for Attack Surface Modeling
auteur
Tithnara Nicolas Sun, Bastien Drouot, Fahad R Golra, Joël Champeau, Sylvain Guerin, Luka Le Roux, Raul Mazo, Ciprian Teodorov, Lionel Van Aertryck, Bernard L ' Hostis
article
ICISSP 2020 : 6th International Conference on Information Systems Security and Privacy, Feb 2020, Valetta, Malta. pp.341 - 348, ⟨10.5220/0008916203410348⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02502387/file/2020_A%20Domain-specific%20Modeling%20Framework%20for%20Attack%20Surface%20Modeling_ICISSP.pdf BibTex
titre
Chaining Model Transformations for System Model Verification : Application to Verify Capella Model with Simulink
auteur
Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
article
8th International Conference on Model-Driven Engineering and Software Development, Feb 2020, Valletta, Malta. pp.279-286, ⟨10.5220/0008902302790286⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02866122/file/Chaining_Model_Transformations_to_Verify_Capella_Models__modelSward___short__%282%29.pdf BibTex
titre
6 leçons de robotique pour les sciences
auteur
Ashwarya Arora, Boulc'H Julie, Caza Céline, Gourvil Magali, Karchaoui Ikhlass, Lemeunier Amandine, Cécile Plaud, Vincent Ribaud, Rolland Lisa, Schall Noémie, Segalen Elsa
article
DIDAPRO L'informatique objet d'enseignements, Feb 2020, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-02482472/file/6leconsDeRobotiqueAvecScratch.pdf BibTex
titre
Partenaires informatiques pour la classe
auteur
Ashwarya Arora, Cassandra Balland, Salomé Brousseau, Morgane Coat, Laurie-May Constantin, Layla Corain, Tinaïg Daniel, Meryem El Bouti, Louise Hergoualc'H, Ikhlass Karchaoui, Gwendoline Kervot, Audrey Lidec, Alix Machard, Océane Pierry, Cécile Plaud, Vincent Ribaud, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo
article
DIDAPRO 8 L'informatique objet d'enseignements, Feb 2020, Lille, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02911662/file/PartenairesInformatiquesPourLaClasse.pdf BibTex
titre
Combined Real-Time, Safety and Security Model Analysis
auteur
P Dissaux, Frank Singhoff, L Lemarchand, Hai Nam Tran, Ill-Ham Atchadam
article
9th European Congress ERTSS Embedded Real Time Software and System, Feb 2020, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02433963/file/dissaux2020.pdf BibTex
titre
Automatic Verification of BPMN Models
auteur
Mihal Brumbulli, Emmanuel Gaudin, Ciprian Teodorov
article
10th European Congress on Embedded Real Time Software and Systems (ERTS 2020), Jan 2020, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02441878/file/20200129_paper.pdf BibTex

Special issue

titre
Performance Evaluation: Special issue of the 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)
auteur
André-Luc Beylot, Jalil Boukhobza
article
Performance Evaluation, 142, pp.102123, 2020, ⟨10.1016/j.peva.2020.102123⟩
Accès au bibtex
BibTex

Books

titre
Le jumeau numérique
auteur
Nathalie Julien, Eric Martin
article
Dunod. Dunod, 2020, 978-2-10-080028-5
Accès au bibtex
BibTex
titre
Algorithmique et programmation objet
auteur
Mickaël Kerboeuf
article
Ellipses, 2020, Références sciences, 9782340037984
Accès au bibtex
BibTex

Patents

titre
Lentille de contact pour le pointage automatique de la direction d'un œil d'un individu, système de détection associé
auteur
Jean-Louis de Bougrenet de La Tocnaye, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Francesco Ferranti, Thierry Djenizian
article
France, N° de brevet: WO2020212394. DI-TBN-19-001. 2020
Accès au bibtex
BibTex

Poster communications

titre
Les filles qui …
auteur
Vincent Ribaud
article
DIDAPRO 8 L'informatique objet d'enseignements, Feb 2020, Lille, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02912821/file/Poster1-Agir-AvecNom.pdf BibTex

Reports

titre
A Decision Skills Framework for Higher and VET students, continuously integrative for educational programme addressing VUCA contexts
auteur
Siegfried Rouvrais, Katie Jordan, Matthew Stewart, Sophie Gaultier Lebris, Axel Joder, Maria Agredano, Maria del Mar Socias Camacho, Lluis Tudela, Antoine Beugnard, Nathalie Chelin, Sarah Ghaffari, Roger Waldeck, Liliane Esnault, Haraldur Auðunsson, Þórður Víki Gur Friðgeirsson, Grét Matthíasdóttir, Sheila Dunn
article
[Research Report] IMT Atlantique; Lab-STICC; IMT Atlantique. 2020
Accès au texte intégral et bibtex
https://hal.science/hal-03727542/file/O3-Year3.pdf BibTex
titre
DAhoy: A Decision Skills Framework for Higher and VET Education to face VUCA situations
auteur
Siegfried Rouvrais, Nathalie Chelin, Sheila Dunn, Liliane Esnault, Þórður Víki Gur Friðgeirsson, Axel Joder, Katie Jordan, Sophie Gaultier Lebris, Lluis Tudela
article
[Research Report] IMT Atlantique; Lab-STICC. 2020
Accès au texte intégral et bibtex
https://hal.science/hal-03727557/file/Dahoy-brochure-V9.pdf BibTex
titre
Position paper: the use of retro-construction tools
auteur
Vincent Ribaud, Philippe Saliou
article
[Research Report] Lab-STICC_UBO_CACS_MOCS. 2020
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02912889/file/Position%20paper%20for%20Software%20Engineering%20Workshop.pdf BibTex

Preprints, Working Papers, ...

titre
Molecule: live prototyping with component-oriented programming
auteur
Pierre Laborde, Steven Costiou, Alain Plantec, Eric Le Pors
article
2020
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02966704/file/IWST_20_paper_7.pdf BibTex
titre
A hybrid intrusion detection system in industry 4.0 based on ISA95 standard
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Nana, Florent de Lamotte
article
2020
Accès au texte intégral et bibtex
https://hal.science/hal-02506109/file/Hybrid-IDS-Based-on-ISA95--.pdf BibTex

2019

Journal articles

titre
HyMAD: a hybrid memory-aware DVFS strategy
auteur
Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
article
ACM SIGBED Review, 2019, 16 (3), pp.45-50. ⟨10.1145/3373400.3373407⟩
Accès au bibtex
BibTex
titre
Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs
auteur
Gabriel Gallin, Arnaud Tisserand
article
IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02141260/file/tc-htmm.pdf BibTex
titre
Preserving SSD lifetime in deep learning applications with delta snapshots
auteur
Zhu Wang, Jalil Boukhobza, Zili Shao
article
Journal of Parallel and Distributed Computing, 2019, 133, pp.63-76. ⟨10.1016/j.jpdc.2019.06.011⟩
Accès au bibtex
BibTex
titre
Reinforcement-Learning Approach Guidelines for Energy Management
auteur
Yohann Rioual, Johann Laurent, Jean-Philippe Diguet
article
Journal of Low Power Electronics, In press, 15 (3), pp.283-293. ⟨10.1166/jolpe.2019.1618⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02407321/file/Reinforcement-Learning%20Approach%20Guidelines%20for%20Energy%20Management.pdf BibTex
titre
An MDE-based approach for self-adaptive RTES model generation
auteur
Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf, Mohamed Abid
article
Cluster Computing, 2019, ⟨10.1007/s10586-019-02968-4⟩
Accès au bibtex
BibTex
titre
L'usine du futur sera humaine ou ne sera pas...
auteur
Nathalie Julien, Eric Martin
article
France Forum, 2019
Accès au bibtex
BibTex
titre
An Energy-Efficient Integrated Programmable Array Accelerator and Compilation flow for Near-Sensor Ultra-low Power Processing
auteur
Satyajit Das, Kevin Martin, Davide Rossi, Philippe Coussy, Luca Benini
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (6), pp.1095-1108. ⟨10.1109/TCAD.2018.2834397⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01828604/file/IPA-TCAD18.pdf BibTex
titre
Le robot doit désormais prendre en compte son environnement
auteur
Nathalie Julien
article
Revue “Industries et Technologies“, 2019
Accès au bibtex
BibTex
titre
Optimizing the cost of DBaaS object placement in hybrid storage systems
auteur
Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa, Hamza Ouarnoughi, Laurent Lemarchand
article
Future Generation Computer Systems, 2019, 93, pp.176-187
Accès au bibtex
BibTex
titre
Optimizing the deployment of tree-shaped functional graphs of real-time system on distributed architectures
auteur
Asma Mehiaoui, Ernest Wozniak, Jean-Philippe Babau, Sara Tucci-Piergiovanni, Chokri Mraidha
article
Automated Software Engineering, 2019, 26 (1), pp.1-57. ⟨10.1007/s10515-018-0244-7⟩
Accès au bibtex
BibTex
titre
Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
ACM Journal on Emerging Technologies in Computing Systems, 2019, 15 (1), pp.2:1--2:37. ⟨10.1145/3264818⟩
Accès au bibtex
BibTex
titre
Clone-Based Encoded Neural Networks to Design Efficient Associative Memories
auteur
Hugues Wouafo, Cyrille Chavet, Philippe Coussy
article
IEEE Transactions on Neural Networks and Learning Systems, 2019, 30 (10), pp.1-14. ⟨10.1109/TNNLS.2018.2890658⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02060021/file/TNN_2016_V15_PF_2.pdf BibTex
titre
From real-time design model to RTOS-specific models: a model-driven methodology
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
International Journal of Embedded Systems, 2019, 11 (6), pp.738. ⟨10.1504/IJES.2019.103991⟩
Accès au bibtex
BibTex
titre
An Energy Efficient Autopilot Design
auteur
Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, André Rossi, Jean-Philippe Diguet
article
Journal of Sailing Technology, 2019, 5 (1)
Accès au texte intégral et bibtex
https://hal.science/hal-02477316/file/2020-01__Trehin_et_al.pdf BibTex

Conference papers

titre
FPGA Implementation and Comparison of Protections against SCAs for RLWE
auteur
Timo Zijlstra, Karim Bigou, Arnaud Tisserand
article
20th International Conference on Cryptology in India, Dec 2019, Hyderabad, India
Accès au texte intégral et bibtex
https://hal.science/hal-02309481/file/camera_ready.pdf BibTex
titre
L'humain dans l'usine du futur
auteur
Nathalie Julien
article
Colloque Enseigner l'industrie du futur, Dec 2019, Nanterre, France
Accès au bibtex
BibTex
titre
Data Management Mechanisms for Internet of Things: A position paper
auteur
Ousmane Diallo, Philippe Dhaussy, Ciprian Teodorov
article
CSCI 2019, The American Council on Science and Education, Dec 2019, Las Vegas, United States. pp.61, ⟨10.1109/CSCI49370.2019⟩
Accès au bibtex
BibTex
titre
Hardware-Software Co-Design for Security: ECC Processor Example
auteur
Arnaud Tisserand
article
Workshop on the Security of Software / Hardware Interfaces, Nov 2019, Rennes, France
Accès au bibtex
BibTex
titre
Efficient Contention-Aware Scheduling of SDF Graphs on Shared Multi-bank Memory
auteur
Hai Nam Tran, Alexandre Honorat, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
article
ICECCS 2019 - 24th International Conference on Engineering of Complex Computer Systems, Nov 2019, Hong Kong, China. pp.114-123, ⟨10.1109/ICECCS.2019.00020⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02193639/file/iceccs19.pdf BibTex
titre
A Hybrid Intrusion Detection System in Industry 4.0 Based on ISA95 Standard
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
article
2019 IEEE/ACS 16th International Conference on Computer Systems and Applications (AICCSA), Nov 2019, Abu Dhabi, Saudi Arabia. pp.1-8, ⟨10.1109/AICCSA47632.2019.9035260⟩
Accès au bibtex
BibTex
titre
Statistical Measurement of Production Environment Influence on Code Reuse Availability
auteur
Étienne Louboutin, Jean-Christophe Bach, Fabien Dagnat
article
SECURWARE 2019 : The Thirteenth International Conference on Emerging Security Information, Systems and Technologies, Oct 2019, Nice, France
Accès au texte intégral et bibtex
https://hal.science/hal-02354761/file/Statistical_measurement_of_production_environment_influence_on_code_reuse_availability.pdf BibTex
titre
Integrating Operators' Preferences into Decisions of Unmanned Aerial Vehicles: Multi-layer Decision Engine and Incremental Preference Elicitation
auteur
Arwa Khannoussi, Alexandru Liviu Olteanu, Christophe Labreuche, Pritesh Narayan, Catherine Dezan, Jean-Philippe Diguet, Jacques Petit-Frère, Patrick Meyer
article
6th International Conference, ADT 2019, Oct 2019, Durham, NC, United States. pp.49-63, ⟨10.1007/978-3-030-31489-7_4⟩
Accès au bibtex
BibTex
titre
Tracking Application Fingerprint in a Trustless Cloud Environment for Sabotage Detection
auteur
Jean-Emile Dartois, Jalil Boukhobza, Vincent Francoise, Olivier Barais
article
MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.74-82, ⟨10.1109/MASCOTS.2019.00018⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02303153/file/MASCOTS_2019.pdf BibTex
titre
Leveraging cloud unused resources for Big data application while achieving SLA
auteur
Jean-Emile Dartois, Ivan Meriau, Mohamed Handaoui, Jalil Boukhobza, Olivier Barais
article
MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02362257/file/IEEE_MASCOTS_2019_DEMO.pdf BibTex
titre
K -MLIO: Enabling K -Means for Large Data-Sets and Memory Constrained Embedded Systems
auteur
Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
article
2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2019, Rennes, France. pp.262-268, ⟨10.1109/MASCOTS.2019.00037⟩
Accès au bibtex
BibTex
titre
Designing a combined personal communicator and data entry terminal for disaster relief & remote operations
auteur
Paul Gardner-Stephen, Angus Wallace, Lucas Moss, Loïc Lagadec, Matthew Lloyd
article
IEEE Global Humanitarian Technology Conference (GHTC), Oct 2019, Seattle, WA, United States
Accès au bibtex
BibTex
titre
Maritime Cyber Situational Awareness Elaboration for Unmanned Vehicles
auteur
Olivier Jacq, Pedro Merino Laso, David Brosset, Jacques Simonin, Yvon Kermarrec, Marie-Annick Giraud
article
Maritime Situational Awareness Workshop, Oct 2019, Lerici, Italy
Accès au bibtex
BibTex
titre
HyMAD: a Hybrid Memory-Aware DVFS strategy
auteur
Camélia Slimani, Stéphane Rubini, Jalil Boukhobza
article
EWiLi, the Embedded Operating Systems workshop, Oct 2019, Turin, Italy
Accès au bibtex
BibTex
titre
Partially Bounded Context-Aware Verification
auteur
Luka Le Roux, Ciprian Teodorov
article
17th International Conference on Software Engineering and Formal Methods, SEFM 2019, Sep 2019, Oslo, Norway. pp.532-548, ⟨10.1007/978-3-030-30446-1_28⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02434620/file/LeRoux2019.pdf BibTex
titre
A Model Checkable UML Soccer Player
auteur
Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
article
3rd Workshop on Model-Driven Engineering Tools, Sep 2019, Munich, Germany
Accès au bibtex
BibTex
titre
A Model-Driven Approach to Automate Tuning of Continuous Controller Parameters
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
2019 ACM/IEEE 22nd International Conference on Model Driven Engineering Languages and Systems Companion (MODELS-C), Sep 2019, Munich, France. pp.568-576, ⟨10.1109/MODELS-C.2019.00087⟩
Accès au bibtex
BibTex
titre
On the performance evaluation of LoRaWAN under Jamming
auteur
Ivan Marino Martinez Bolivar, Fabienne Nouvel, Tanguy Philippe
article
2019 12th Wireless and Mobile Networking Conference (WMNC), Sep 2019, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-02301010/file/On%20the%20performance%20evaluation%20of%20LoRaWAN%20under%20Jamming.pdf BibTex
titre
MicroLET: A new SDNoC-based communication protocol for chipLET-based systems
auteur
Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Sotirios Kontogiannis, Jean-Michel Dricot, Guy Gogniat
article
22nd Euromicro Conference on Digital System Design (DSD), Aug 2019, Kallithea, Greece. ⟨10.1109/DSD.2019.00019⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02444877/file/08875256.pdf BibTex
titre
Parametric exploration for tuning a sailboat control law
auteur
Goulven Guillou, Emilien Lavigne, Jean-Philippe Babau
article
14th National Conference on Software and Hardware Architectures for Robots Control (SHARC'19), Jul 2019, Montpellier, France
Accès au bibtex
BibTex
titre
Energy-Efficient Reconfigurable Accelerators for Ultra-low Power Processing
auteur
Philippe Coussy
article
International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2019, Lille, France
Accès au bibtex
BibTex
titre
Cuckoo: Opportunistic MapReduce on Ephemeral and Heterogeneous Cloud Resources
auteur
Jean-Emile Dartois, Heverson B. Ribeiro, Jalil Boukhobza, Olivier Barais
article
CLOUD 2019 - IEEE 12th International Conference on Cloud Computing, Jul 2019, Milan, Italy. pp.1-8, ⟨10.1109/CLOUD.2019.00070⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02179453/file/cuckoo.pdf BibTex
titre
La pédagogie par l'exemple : une stratégie pour lutter contre les stéréotypes de genre? Le cas de la filière informatique.
auteur
Vincent Ribaud, Cécile Plaud
article
AREF, Jul 2019, BORDEAUX, France
Accès au texte intégral et bibtex
https://hal.science/hal-02169755/file/Plaud%20et%20ribaud%20Bordeaux%202019.pdf BibTex
titre
A New Leader Election Algorithm based on the WBS Algorithm Dedicated to Smart-cities
auteur
Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Loïc Lagadec, Reinhardt Euler, Madani Bezoui
article
3rd International Conference on Future Networks and Distributed Systems (ICFNDS '19), Jul 2019, Paris, France. pp.1-5, ⟨10.1145/3341325.3342014⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02303247/file/Kadjouhleader.pdf BibTex
titre
QoS driven dynamic partial reconfiguration: Tracking case study
auteur
Julien Mazuet, Ill-Ham Atchadam, Dominique Heller, Catherine Dezan, Michel Narozny, Jean-Philippe Diguet
article
14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2019), Jul 2019, York, United Kingdom
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02327185/file/ReCoSoC_2019_paper_3%20%281%29.pdf BibTex
titre
To Embrace Career Decision Making in STEM Education
auteur
Nathalie Chelin, Greta Matthiasdottir, Yann Serreau, Luis Tudela, Siegfried Rouvrais, Katie Jordan
article
11th International Conference on Education and New Learning Technologies, Jul 2019, Palma de Mallorca, Spain. pp.3058 - 3066, ⟨10.21125/edulearn.2019.0827⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02221338/file/FINAL2-CAREER%20DECISION%20MAKING%20IN%20STEM.pdf BibTex
titre
Temperature-based models of batteries for the simulation of Wireless Sensor Networks
auteur
Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Reinhardt Euler, Loïc Lagadec, Abdelkader Laouid
article
3rd International Conferenceon on Future Networks and Distributed Systems, Jul 2019, Paris, France. pp.1-6, ⟨10.1145/3341325.3342013⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02303250/file/Kadjouh2019.pdf BibTex
titre
A Case Study of Primary User Arrival Prediction Using the Energy Detector and the Hidden Markov Model in Cognitive Radio Networks
auteur
Guilherme Santana, Rogers Cristo, Jean-Philippe Diguet, Catherine Dezan, Diana Osorio, Kalinka R L J Castelo Branco
article
WoCCES 2019, Jun 2019, Barcelone, Spain
Accès au bibtex
BibTex
titre
Comparaison d'algorithmes de réduction modulaire en HLS sur FPGA
auteur
Libey Djath, Timo Zijlstra, Karim Bigou, Arnaud Tisserand
article
Compas: Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France
Accès au texte intégral et bibtex
https://hal.science/hal-02129095/file/compas2019.pdf BibTex
titre
Towards accurate camera-less eye tracker using instrumented contact lens
auteur
Loïc Massin, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Jean-Louis de Bougrenet
article
2019 17th IEEE International New Circuits and Systems Conference (NEWCAS), Jun 2019, Munich, France. pp.1-4, ⟨10.1109/NEWCAS44328.2019.8961304⟩
Accès au bibtex
BibTex
titre
EMI : Un Interpréteur de Modèles Embarqué pour l’Exécution et la Vérification de Modèles UML
auteur
Valentin Besnard, Matthias Brun, Philippe Dhaussy, Frédéric Jouault, Ciprian Teodorov
article
18 ème journées sur les Approches Formelles dans l’Assistance au Développement de Logiciels, Jun 2019, Toulouse, France. pp.101-104
Accès au bibtex
BibTex
titre
Sherlock Holmes of Cache Side-Channel Attacks in Intel's x86 Architecture
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Usman Ali, Vianney Lapotre, Guy Gogniat
article
IEEE-Communications and Network Security, Jun 2019, Washington DC, United States
Accès au texte intégral et bibtex
https://hal.science/hal-02151838/file/IEEE-CNS-2019.pdf BibTex
titre
Hierarchical Approach in RNS Base Extension for Asymmetric Cryptography
auteur
Libey Djath, Karim Bigou, Arnaud Tisserand
article
ARITH: 2019 IEEE 26th Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan
Accès au texte intégral et bibtex
https://hal.science/hal-02096353/file/arith26.pdf BibTex
titre
Enseigner la programmation Scratch en amatrice
auteur
Cassandra Balland, Salomé Brousseau, Morgane Coat, Laurie-May Constantin, Layla Corain, Tinaïg Daniel, Meryem El Bouti, Louise Hergoualc'H, Ikhlass Karchaoui, Gwendoline Kervot, Audrey Lidec, Alix Machard, Océane Pierry, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo, Cécile Plaud, Vincent Ribaud
article
EIAH 2019 - Apprentissage de la pensée informatique de la maternelle à l’Université : retours d’expériences et passage à l’échelle, Jun 2019, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02332743/file/EnseignerInformatiqueEnAmatrice.pdf BibTex
titre
A Model-Driven Framework for WPS Development
auteur
Jean-Philippe Babau
article
Computational Methods in Water Resources (CMWR), Jun 2019, Saint-Malo, France
Accès au bibtex
BibTex
titre
Early Validation Framework for Critical and Complex Process-Centric Systems
auteur
Fahad Rafique Golra, Joël Champeau, Ciprian Teodorov
article
20th International Conference (BPMDS 2019) and 24th International Conference (EMMSAD 2019), Jun 2019, Rome, Italy. pp.35-50, ⟨10.1007/978-3-030-20618-5_3⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02149584/file/Golra2019.pdf BibTex
titre
Cyber attacks real time detection: towards a Cyber Situational Awareness for naval systems
auteur
Olivier Jacq, David Brosset, Yvon Kermarrec, Jacques Simonin
article
Cyber SA 2019 : International Conference on Cyber Situational Awareness, Data Analytics And Assessment, Jun 2019, Oxford, United Kingdom. ⟨10.1109/CyberSA.2019.8899351⟩
Accès au bibtex
BibTex
titre
Combined security and schedulability analysis for MILS real-time critical architectures
auteur
Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Nora Bouzid, Laurent Lemarchand
article
4th international workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS), Jun 2019, Stuttgart, Germany
Accès au bibtex
BibTex
titre
ECTM: A New Communication Model to Network-On-Chip Schedulability Analysis
auteur
Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
article
24th International Conference on Reliable Software Technologies – Ada-Europe 2019, Jun 2019, Varsow, Poland
Accès au bibtex
BibTex
titre
SOLVING MEMORY ACCESS CONFLICTS IN LTE-4G STANDARD
auteur
Cyrille Chavet, F Lozachmeur, T Barguil, A S Hussein, P Coussy
article
IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-02060036/file/chavet.pdf BibTex
titre
Multi-Objective optimization: Comparison of methods for the p-median problem
auteur
Pascal Rebreyend, Laurent Lemarchand, Damien Massé
article
20TH ANNUAL WORKSHOP ON METAHEURISTICS, May 2019, Oslo, Norway
Accès au bibtex
BibTex
titre
Evaluation of Variable Bit-Width Units in a RISC-V Processor for Approximate Computing
auteur
Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
article
CF'19: Proceedings of the 16th ACM International Conference on Computing Frontiers, Apr 2019, Alghero, Sardinia, Italy. ⟨10.1145/3310273.3323159⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02152410/file/ComputingFrontiers.pdf BibTex
titre
Scheduling analysis of AADL architecture models
auteur
Frank Singhoff, Pierre Dissaux
article
Full day Tutorial présented at the CPS-WEEK conferences, Apr 2019, Montréal, Canada
Accès au bibtex
BibTex
titre
Generation of a Reconfigurable Probabilistic Decision-Making Engine based on Decision Networks: UAV Case Study
auteur
Sara Zermani, Catherine Dezan
article
Workshop on Autonomous Systems Design (ASD/DATE), Mar 2019, Florence, Italy
Accès au bibtex
BibTex
titre
Context-memory Aware Mapping for Energy Efficient Acceleration with CGRAs
auteur
Satyajit Das, Kevin Martin, Philippe Coussy
article
Design, Automation and Test in Europe Conference (DATE), Mar 2019, Florence, Italy
Accès au texte intégral et bibtex
https://hal.science/hal-02086145/file/DATE19forHAL.pdf BibTex
titre
Transient Key-based Obfuscation for HLS in an Untrusted Cloud Environment
auteur
Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
article
2019 Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Mar 2019, Florence, Italy
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02052433/file/DATE19_camera_ready_final.pdf BibTex
titre
LiteX: an open-source SoC builder and library based on Migen Python DSL
auteur
Florent Kermarrec, Sébastien Bourdeauducq, Hannah Badier, Jean-Christophe Le Lann
article
OSDA 2019, colocated with DATE 2019 Design Automation and Test in Europe, Mar 2019, Florence, Italy
Accès au texte intégral et bibtex
https://hal.science/hal-02088044/file/litex.pdf BibTex
titre
An energy aware autopilot for sailboats
auteur
Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, Jean-Philippe Diguet
article
The 23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
Accès au bibtex
BibTex
titre
GAUT: an open-source HLS tool
auteur
Philippe Coussy
article
Free Silicon Conference (FSiC), Mar 2019, Paris, France
Accès au bibtex
BibTex
titre
Cobots, robots fixes, robots mobiles, comment les intégrer ?
auteur
Nathalie Julien
article
Salon Global Industrie, Mar 2019, Lyon, France
Accès au bibtex
BibTex
titre
Architectures for Security: A comparative analysis of hardware security features in Intel SGX and ARM TrustZone
auteur
Muhammad Asim Mukhtar, Muhammad Khurram Bhatti, Guy Gogniat
article
C-CODE 2019 - 2nd International Conference on Communication, Computing and Digital systems, Mar 2019, Islamabad, Pakistan. pp.299-304, ⟨10.1109/C-CODE.2019.8680982⟩
Accès au bibtex
BibTex
titre
Sailboat Routing with Multiple Objectives for Sailing Races
auteur
Goulven Guillou, Laurent Lemarchand, Jean-Philippe Babau
article
23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
Accès au bibtex
BibTex
titre
A Role Modeling Based Approach for Cyber Threat Analysis
auteur
Bastien Drouot, Fahad R. Golra, Joël Champeau
article
MODELSWARD 2019 - 7th International Conference on Model-Driven Engineering and Software Development, Feb 2019, Prague, Czech Republic. pp.76-100, ⟨10.1007/978-3-030-37873-8_4⟩
Accès au bibtex
BibTex
titre
Model Federation based on Role Modeling
auteur
Bastien Drouot, Joël Champeau
article
MODELSWARD 2019 - 7th International Conference on Model-Driven Engineering and Software Development 2019, Feb 2019, Prague, Czech Republic. pp.74-85
Accès au bibtex
BibTex
titre
A hardware/software co-design approach for security analysis of application behavior
auteur
Vianney Lapotre
article
Journée "Nouvelles Avancées en Sécurité des Systèmes d'Information, INSA de Toulouse; LAAS-CNRS, Jan 2019, Toulouse, France
Accès au bibtex
BibTex
titre
Engineering projects "Savanturiers de l'ingénierie
auteur
Vincent Ribaud, Cyrielle Feron, Vincent Leilde, Ashwarya Arora, Sarah Le Bihan
article
HICSS-52 Invited Track: Software Engineering Education and Training, Jan 2019, Wailea (Hawaï), United States
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02332734/file/hicss52a-sub3306-cam-i8.pdf BibTex
titre
Verifying and Monitoring UML Models with Observer Automata: A Transformation-Free Approach
auteur
Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
article
22nd ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, MODELS 2019, 2019, Munich, Germany. pp.161-171, ⟨10.1109/MODELS.2019.000-5⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02433749/file/besnard2019.pdf BibTex

Book sections

titre
Interdisciplinarity and VUCA
auteur
Roger Waldeck, Sophie Gaultier Le Bris, Siegfried Rouvrais
article
Roger Waldeck. Methods and Interdisciplinarity, 1, ISTE / WILEY, pp.99-115, 2019, Modeling methodologies in social sciences set, 9781786304889. ⟨10.1002/9781119681519.ch5⟩
Accès au bibtex
BibTex
titre
Learning methodology for VUCA situations
auteur
Sophie Gaultier Le Bris, Siegfried Rouvrais, Roger Waldeck
article
Roger Waldeck. Methods and Interdisciplinarity, 1, ISTE / WILEY, pp.117-144, 2019, Modeling Methodologies in Social Sciences Set, 9781786304889. ⟨10.1002/9781119681519.ch6⟩
Accès au bibtex
BibTex
titre
DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
auteur
Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
article
Renault É., Boumerdassi S., Bouzefrane S. (eds). Mobile, Secure, and Programmable Networking. MSPN 2018, 11005, Springer, Cham, pp.42-53, 2019, Lecture Notes in Computer Science, 978-3-030-03100-8. ⟨10.1007/978-3-030-03101-5_5⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02501870/file/dotro_bounceur.pdf BibTex
titre
Méthodologie d'apprentissage en situation VUCA
auteur
Sophie Le Bris, Siegfried Rouvrais, Roger Waldeck
article
Méthodes et interdisciplinarité, 1, ISTE Editions, pp.107 - 133, 2019, Méthodologies de modélisation en sciences sociales, 9781784055813
Accès au texte intégral et bibtex
https://hal.science/hal-02263142/file/Rouvrais-Rochebrune2019-Chapitre1.pdf BibTex
titre
Interdisciplinarité et VUCA
auteur
Roger Waldeck, Sophie Le Bris, Siegfried Rouvrais
article
Roger WALDECK. Méthodes et interdisciplinarité, 1, ISTE Editions, pp.91 - 105, 2019, Méthodologies de modélisation en sciences sociales, 9781784055813
Accès au texte intégral et bibtex
https://hal.science/hal-02257816/file/Rouvrais-Rochebrune2019-Chapitre2.pdf BibTex

Lectures

titre
Mémoires sur puce : architecture et organisation
auteur
Kevin Martin
article
Doctorat. France. 2019
Accès au bibtex
BibTex

Other publications

titre
Introduction to Computer Arithmetic for Efficient Hardware Implementations
auteur
Arnaud Tisserand
article
2019, http://iramis.cea.fr/spec/Phocea/Vie_des_labos/Seminaires/index.php?type=10&y=2019
Accès au bibtex
BibTex
titre
Logiciel libre : des principes à la pratique
auteur
Arnaud Tisserand
article
2019
Accès au bibtex
BibTex
titre
Cybersécurité et puces électroniques
auteur
Arnaud Tisserand
article
2019
Accès au bibtex
BibTex
titre
Prise en compte de la contrainte de mémoire de programme dans un flot de compilation pour CGRA
auteur
Satyajit Das, Kevin Martin, Philippe Coussy
article
2019
Accès au bibtex
BibTex
titre
Compiling for notifying memories: issues and challenges
auteur
Kevin Martin
article
2019
Accès au bibtex
BibTex

Books

titre
Logiciel et Industrie du Futur
auteur
Jean-Christophe Bach, Antoine Beugnard, Hugo Bruneliere, Hélène Coullon, Fabien Lehuédé, Guillaume Massonnet, Jacques Noyé, Gilles Simonin
article
Hélène Coullon. Presse des Mines, pp.62, 2019, Mathématiques et Informatique, 9782356715760
Accès au texte intégral et bibtex
https://hal.science/hal-02299214/file/LogicielEtIndustrieDuFutur_VersionAuteurs.pdf BibTex

Patents

titre
Système optique de détection et de suivi de mouvements oculaires, monture externe et lentille de contact connectée associées
auteur
Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Francesco Ferranti
article
France, N° de brevet: WO2019193028. DI-TBN-17-006. 2019
Accès au bibtex
BibTex

Poster communications

titre
Use of Suricata, ElasticStack, Neo4j and Linkurious for network defence
auteur
Olivier Jacq, David Brosset, Jacques Simonin, Yvon Kermarrec
article
SuriCon, Oct 2019, Amsterdam, Netherlands
Accès au bibtex
BibTex

Reports

titre
Innovative D-Skills Training Models for Higher and Vocational Education & Training Students
auteur
Siegfried Rouvrais, Manhal Alnasser, Katie Jordan, Matthew Stewart, Sophie Gaultier Lebris, Axel Joder, Maria Agredano, Maria del Mar Socias Camacho, Lluis Tudela, Peggy Bardon, Nathalie Chelin, Anthony Diaz, François Gallée, Sarah Ghaffari, Gilles Jacovetti, Armelle Lannuzel, Nathalie Marschal, Hervé Retif, Stéphanie Serrec, Mathieu Simonnet, Stéphanie Tillement, Roger Waldeck, Liliane Esnault, Haraldur Auðunsson, Sven Breitenbuecher, Þórður Víki Gur Friðgeirsson, Helgi Thor Ingason, Bara Hlin Kristjansdottir, Grét Matthíasdóttir, Hildur Katrin Rafnsdottir, Sheila Dunn
article
[Research Report] IMT ATLANTIQUE; Lab-STICC. 2019
Accès au texte intégral et bibtex
https://hal.science/hal-03727540/file/DAhoy-O2-Year2-final.pdf BibTex

2018

Journal articles

titre
Context/Resource-Aware Mission Planning Based on BNs and Concurrent MDPs for Autonomous UAVs
auteur
Chabha Hireche, Catherine Dezan, Stéphane Mocanu, Dominique Heller, Jean-Philippe Diguet
article
Sensors, 2018, 18 (4266), pp.1-28. ⟨10.3390/s18124266⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01944680/file/sensors-18-04266_published.pdf BibTex
titre
A Real-Time System Monitoring driven by Scheduling Analysis
auteur
Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, José Rufino
article
Ada User Journal, 2018, 39 (4), pp.282--286
Accès au bibtex
BibTex
titre
Automatized integration of a contextual model into a process with data variability
auteur
Jacques Simonin, John Puentes
article
Computer Languages, Systems and Structures, 2018, 54, pp.156 - 182. ⟨10.1016/j.cl.2018.06.002⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01836388/file/Model_Mapping_v10_5-Elsevier.pdf BibTex
titre
NORTH: Non-intrusive Observation and RunTime verification of cyber-pHysical systems.
auteur
José Rufino, António Casimiro, Antónia Lopes, Frank Singhoff, Stéphane Rubini, Valérie-Anne Nicolas, Mounir Lallali, Mourad Dridi, Jalil Boukhobza, Lyes Allache
article
Ada User Journal, 2018
Accès au bibtex
BibTex
titre
Computation of 2D 8x8 DCT Based on the Loeffler Factorization Using Algebraic Integer Encoding
auteur
Diego F. G. Coelho, Sushmabhargavi Nimmalapalli, Vassil Dimitrov, Arjuna Madanayake, Renato J. Cintra, Arnaud Tisserand
article
IEEE Transactions on Computers, In press, 67 (12), pp.1692-1702. ⟨10.1109/TC.2018.2837755⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01797957/file/FINAL.pdf BibTex
titre
Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
ACM Journal on Emerging Technologies in Computing Systems, 2018
Accès au texte intégral et bibtex
https://hal.science/hal-01867673/file/DAS_2017.pdf BibTex
titre
Towards Dynamically Reconfigurable SoCs (DRSoCs) in industrial automation: State of the art, challenges and opportunities
auteur
Gilberto Ochoa-Ruiz, Lina Maria Aguilar-Lobo, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet
article
Microprocessors and Microsystems: Embedded Hardware Design , 2018, 62, pp.20-40. ⟨10.1016/j.micpro.2018.07.002⟩
Accès au bibtex
BibTex
titre
Multiobjective Optimization for Multimode Transportation Problems
auteur
Laurent Lemarchand, Damien Massé, Pascal Rebreyend, Johan Håkansson
article
Advances in Operations Research, 2018, 2018, pp.1 - 13. ⟨10.1155/2018/8720643⟩
Accès au bibtex
BibTex
titre
A design pattern-based approach for automatic choice of semi-partitioned and global scheduling algorithms
auteur
Magdich Amina, Yessine Hadj Kacem, Mickaël Kerboeuf, Adel Mahfoudhi, Mohamed Abid
article
Information and Software Technology, 2018, Volume 97, pp.83-98
Accès au bibtex
BibTex
titre
Joint DVFS and Parallelism for Energy Efficient and Low Latency Software Video Decoding
auteur
Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
article
IEEE Transactions on Parallel and Distributed Systems, 2018, 29 (4), pp.858-872. ⟨10.1109/TPDS.2017.2779812⟩
Accès au bibtex
BibTex
titre
Bandwidth Allocation for Sharing Video in a Closed Domestic Network
auteur
Laurent Lemarchand, Jean-Philippe Babau
article
Journal of Communications and Networks, 2018, 20 (2), pp.168--181
Accès au bibtex
BibTex
titre
Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01827400/file/TECS.pdf BibTex
titre
Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
Accès au bibtex
BibTex
titre
A modeling front-end for seamless design and generation of context-aware Dynamically Reconfigurable Systems-on-Chip
auteur
Gilberto Ochoa-Ruiz, Pamela Wattebled, Maamar Touiza, Florent de Lamotte, El-Bay Bourennane, Samy Meftali, Jean-Luc Dekeyser, Jean-Philippe Diguet
article
Journal of Parallel and Distributed Computing, 2018, 112, pp.1-19. ⟨10.1016/j.jpdc.2017.09.011⟩
Accès au bibtex
BibTex
titre
Emerging NVM: A Survey on Architectural Integration and Research Challenges
auteur
Jalil Boukhobza, Stéphane Rubini, Renhai Chen, Zili Shao
article
ACM Transactions on Design Automation of Electronic Systems, 2018, 23 (2), pp.1 - 32. ⟨10.1145/3131848⟩
Accès au bibtex
BibTex
titre
DTFM: a Flexible Model for Schedulability Analysis of Real-Time Applications on NoC-based Architectures
auteur
Mourad Dridi, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
article
ACM SIGBED Review, 2018, 14 (4), pp.53--59. ⟨10.1145/3177803.3177812⟩
Accès au bibtex
BibTex
titre
Multi-Objective Design Exploration Approach for Ravenscar Real-time Systems.
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Béchir Zalila, Mohamed Jmaiel
article
Real-Time Systems, 2018, 54 (2), pp 424-483. ⟨10.1007/s11241-018-9299-6⟩
Accès au bibtex
BibTex
titre
Bi-Objective Cost Function for Adaptive Routing in Network-on-Chip
auteur
Asma Benmessaoud Gabis, Pierre Bomel, Marc Sevaux
article
IEEE Transactions on Multi-Scale Computing Systems, 2018, 4 (2), pp.177-187. ⟨10.1109/TMSCS.2018.2810223⟩
Accès au bibtex
BibTex
titre
Verification of Scheduling Properties Based on Execution Traces
auteur
Valérie-Anne Nicolas, Mounir Lallali, Stéphane Rubini, Frank Singhoff
article
Ada User Journal, 2018
Accès au bibtex
BibTex
titre
Distributed algorithm to fight the state explosion problem
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
INDERSCIENCE International Journal of Internet Technology and Secured Transactions., 2018, 8 (3), pp.398 - 411. ⟨10.1504/IJITST.2018.10014833⟩
Accès au bibtex
BibTex
titre
A feature-oriented model-driven engineering approach for the early validation of feature-based applications
auteur
Glenn Cavarlé, Alain Plantec, Steven Costiou, Vincent Ribaud
article
Science of Computer Programming, 2018, 161, pp.18 - 33. ⟨10.1016/j.scico.2018.01.001⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01701593/file/Cavarl%C3%A9-2018-A%20feature-oriented%20model-driven%20engineering%20approach%20for%20the%20early%20validation%20of%20feat%20%282%29.pdf BibTex

Conference papers

titre
A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
article
AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911621/file/asianhost-preprint.pdf BibTex
titre
L'usine du futur, un défi pour tous
auteur
Nathalie Julien
article
Echange avec Université Québec Trois Rivières, Dec 2018, Trois Rivières, Canada
Accès au bibtex
BibTex
titre
Using Quantile Regression for Reclaiming Unused Cloud Resources while achieving SLA
auteur
Jean-Emile Dartois, Anas Knefati, Jalil Boukhobza, Olivier Barais
article
CloudCom 2018 - 10th IEEE International Conference on Cloud Computing Technology and Science, Dec 2018, Nicosia, Cyprus. pp.89-98, ⟨10.1109/CloudCom2018.2018.00030⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01898438/file/Using_Quantile_Regression_for_Reclaiming_Unused_Cloud_Resources_with_SLA_Guarantees.pdf BibTex
titre
Machine Learning For Security: The Case of Side-Channel Attack Detection at Run-time
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Muneeb Yousaf, Umer Farooq, Vianney Lapotre, Guy Gogniat
article
ICECS-2018, Dec 2018, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01876792/file/ICECS_2018%20%284%29.pdf BibTex
titre
A functionalized carbon nanotube based electronic nose for the detection of nerve agents
auteur
P.S. Laquintinie, A. Sachan, J.F. Feller, Cyril Lahuec, M. Castro, Fabrice Seguin, Laurent Dupont
article
ICECS 2018: 25th IEEE International Conference on Electronics, Circuits and Systems, Dec 2018, Bordeaux, France. pp.705-708, ⟨10.1109/ICECS.2018.8617932⟩
Accès au bibtex
BibTex
titre
A small and adaptive coprocessor for information flow tracking in ARM SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
article
ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911619/file/main.pdf BibTex
titre
Incremental Learning of Simple Ranking Method Using Reference Profiles Models
auteur
Arwa Khannoussi, Alexandru-Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Christophe Labreuche, Jacques Petit-Frère, Patrick Meyer
article
DA2PL'2018: from Multiple Criteria Decision Aid to Preference Learning, Nov 2018, Poznan, Poland
Accès au bibtex
BibTex
titre
Protection of Arithmetic Circuits against Physical Attacks
auteur
Arnaud Tisserand
article
30 ans du LIP, Nov 2018, Lyon, France. https://30anslip.sciencesconf.org/
Accès au bibtex
BibTex
titre
Introduction to Physical Attacks
auteur
Arnaud Tisserand
article
Journée Captronic: La sécurité de l’objet connecté, Oct 2018, Nantes, France
Accès au bibtex
BibTex
titre
A Problem-Oriented Approach to Critical System Design and Diagnosis Support
auteur
Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
article
1st International Workshop on Modeling, Verification and Testing of Dependable Critical Systems (DETECT 2018), Oct 2018, Marrakesh, Morocco
Accès au texte intégral et bibtex
https://hal.science/hal-01933792/file/detect_2018.pdf BibTex
titre
Domain-oriented Verification Management
auteur
Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
article
8th International Conference on Model and Data Engineering (MEDI 2018), Oct 2018, Marrakesh, Morocco. pp.30-39
Accès au texte intégral et bibtex
https://hal.science/hal-01933794/file/medi_2018.pdf BibTex
titre
Detecting and Hunting Cyberthreats in a Maritime Environment: Specification and Experimentation of a Maritime Cybersecurity Operations Centre
auteur
Olivier Jacq, Xavier Boudvin, David Brosset, Yvon Kermarrec, Jacques Simonin
article
CSNet 2018: 2nd Cyber Security In Networking Conference, Oct 2018, Paris, France. ⟨10.1109/CSNET.2018.8602669⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911640/file/Jacq2018.pdf BibTex
titre
Run-time Detection of Prime+Probe Side-Channel Attack on AES Encryption Algorithm
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Naveed Bin Raees Rao, Vianney Lapotre, Guy Gogniat
article
Global Information Infrastructure and Networking Symposium (GIIS), Oct 2018, Thessaloniki, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01879950/file/giis.pdf BibTex
titre
Assisting the Configuration of Intelligent Safety Gateway
auteur
Thomas Toublanc, Romain Bevan, Florent de Lamotte, Pascal Berruet
article
IECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society, Oct 2018, Washington, United States. pp.5875-5880
Accès au bibtex
BibTex
titre
Unified LTL Verification and Embedded Execution of UML Models
auteur
Valentin Besnard, Matthias Brun, Frédéric Jouault, Ciprian Teodorov, Philippe Dhaussy
article
21st International Conference on Model Driven Engineering Languages and Systems (MODELS), Oct 2018, Copenhague, Denmark
Accès au bibtex
BibTex
titre
Robotic system testing with AMSA framework
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
International Conference on Model Driven Engineering Languages and Systems (MODELS 2018) Workshop MDETOOLS, Oct 2018, Copenhague, Denmark
Accès au bibtex
BibTex
titre
Recrutement et auto-organisation : Vers un modèle multi-agent complet d’une colonie d’abeilles
auteur
Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
article
26èmes Journées Francophones sur les Systèmes Multi-Agents (JFSMA'2018), Oct 2018, Métabief, France
Accès au texte intégral et bibtex
https://hal.science/hal-01898549/file/Recrutement%20et%20auto-organisation%20-%20Vers%20un%20mod%C3%A8le%20multi-agent%20complet%20d%27une%20colonie%20d%27abeilles.pdf BibTex
titre
Reward Function Evaluation in a Reinforcement Learning Approach for Energy Management
auteur
Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
article
2018 16th Biennial Baltic Electronics Conference (BEC), Oct 2018, Tallinn, Estonia. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01997659/file/Reward%20Function%20Evaluation%20in%20a%20Reinforcement%20Learning%20Approach%20for%20Energy%20Management.pdf BibTex
titre
Incremental preference elicitation for SRMP models: Application for autonomous drones
auteur
Arwa Khannoussi, Alexandru Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Patrick Meyer
article
88th Meeting of the EURO Working Group Multiple Criteria Decision Aiding, Sep 2018, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
Back-in-time inspectors: an implementation with Collectors
auteur
Steven Costiou, Clotilde Toullec, Mickael Kerboeuf, Alain Plantec
article
International Workshop on Smalltalk Technologies, Sep 2018, Cagliari, Italy
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02320434/file/collectors-bit-replay.pdf BibTex
titre
A Cost Model for Hybrid Storage Systems in a Cloud Federations
auteur
Amina Chikhaoui, Kamel Boukhalfa, Jalil Boukhobza
article
2018 Federated Conference on Computer Science and Information Systems, Sep 2018, Poznan, Poland. ⟨10.15439/2018F237⟩
Accès au bibtex
BibTex
titre
Broadcast- and Power-Aware Wireless NoC for Barrier Synchronization in Parallel Computing
auteur
Hemanta Kumar Mondal, Rodrigo Cataldo, Cesar Augusto Missio Marcon, Kevin Martin, Sujay Deb, Jean-Philippe Diguet
article
2018 31st IEEE International System-on-Chip Conference (SOCC), Sep 2018, Arlington, United States. pp.1-6
Accès au texte intégral et bibtex
https://hal.science/hal-02005970/file/Broadcast_Sync_SOCC_2018.pdf BibTex
titre
MONTRES-NVM: An External Sorting Algorithm for Hybrid Memory
auteur
Mohammed Bey Ahmed Khernache, Arezki Laga, Jalil Boukhobza
article
2018 IEEE 7th Non-Volatile Memory Systems and Applications Symposium (NVMSA), Aug 2018, Hakodate, France. pp.49-54
Accès au bibtex
BibTex
titre
When IT female students become teachers: lessons from a French project "Girls who code" as a learning by doing example
auteur
Cécile Plaud, Vincent Ribaud
article
10th European Conference on Gender Equality in Higher Education, Aug 2018, Dublin, Ireland
Accès au texte intégral et bibtex
https://hal.science/hal-01841830/file/Plaud-Ribaud-GenderEqualityHigherEducation%202018.pdf BibTex
titre
Microcontroller Implementation of Simultaneous Protections Against Observation and Perturbation Attacks for ECC
auteur
Audrey Lucas, Arnaud Tisserand
article
SECRYPT: 15th International Conference on Security and Cryptography, Jul 2018, Porto, Portugal. pp.1-8, ⟨10.5220/0006884605700577⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01826303/file/Microcontroller_Implementation_of_Simultaneous_Protections_Against_SCA_and_FA_for_ECC.pdf BibTex
titre
Evaluation of Approximate Operators Case Study: Sobel Filter Application Executed on an Approximate RISC-V Platform
auteur
Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
article
SAMOS: 18th International Conference on Embedded Computer Systems: Architectures, Modeling and Simlation, Jul 2018, Pythagorion, Greece. pp.146-149
Accès au texte intégral et bibtex
https://hal.science/hal-02055464/file/SAMOS.pdf BibTex
titre
Ingénierie des projets Savanturiers de l'ingénierie
auteur
Vincent Ribaud, Chabha Hireche, Arwa Khannoussi, Vincent Leilde, Cécile Plaud, Cyrielle Feron, Liz Kouassi, Awatef Mraihi, Constance Rio
article
Colloque Education par la recherche, Jul 2018, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01839091/file/Ingenierie%20des%20Savanturiers%20de%20l%27ingenierie.pdf BibTex
titre
An Integrated Toolchain for Overlay-centric System-on-chip
auteur
Jean-Christophe Le Lann, Théotime Bollengier, Mohamad Najem, Loïc Lagadec
article
13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip 2018 (ReCoSoC 2018), Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449388⟩
Accès au bibtex
BibTex
titre
Embedded UML Model Execution to Bridge the Gap Between Design and Runtime
auteur
Valentin Besnard, Matthias Brun, Frédéric Jouault, Ciprian Teodorov, Philippe Dhaussy
article
MDE@DeRun 2018 : First International Workshop on Model-Driven Engineering for Design-Runtime Interaction in Complex Systems, Jun 2018, Toulouse, France
Accès au bibtex
BibTex
titre
ENGINEERING STUDENTS READY FOR A VUCA WORLD? A DESIGN BASED RESEARCH ON DECISIONSHIP
auteur
Siegfried Rouvrais, Sophie Gaultier Lebris, Matthew Stewart
article
14th International CDIO Conference,, Jun 2018, Kanazawa, Japan
Accès au texte intégral et bibtex
https://hal.science/hal-02959211/file/Vfinal-CDIO2018-IMTA-EN-COGC-VFINAL.pdf BibTex
titre
Quand des étudiantes deviennent des ambassadrices du numérique
auteur
Cécile Plaud, Vincent Ribaud
article
Valorisation des Initiatives Pédagogiques en Bretagne et Pays de Loire - VIP 2018, Jun 2018, Rennes, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01826018/file/Quand%20des%20%C3%A9tudiantes%20deviennent%20des%20ambassadrices%20du%20num%C3%A9rique-final.pdf BibTex
titre
Detecting Gaps and Voids in WSNs and IoT Networks: the Minimum x-Coordinate based Method
auteur
Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mahamadou Traore, Mounir Lallali
article
International Conference on Future Networks and Distr buted Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231090⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01829227/file/bounceur_icfnds_2018.pdf BibTex
titre
Detecting Gaps and Voids in WSNs and IoT Networks: the Angle-based Method
auteur
Madani Bezoui, Ahcène Bounceur, Loïc Lagadec, Reinhardt Euler, Hammoudeh Mohammad, Abdelkader Laouid, Abdelkamel Tari
article
International Conference on Future Networks and Distributed Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231089⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01836035/file/bezoui_icfnds_2018.pdf BibTex
titre
Model-Based Dependability Analysis of Unmanned Aerial Vehicles - A Case Study
auteur
Matheus Franco, Andre de Oliveira, Rosana Braga, Catherine Dezan, Jean-Philippe Diguet, Kalinka R L J Castelo Branco
article
48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (SSIV'18), Jun 2018, Luxembourg, Luxembourg
Accès au bibtex
BibTex
titre
Subutai: Distributed Synchronization Primitives in NoC Interfaces for Legacy Parallel-Applications
auteur
Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Johanna Sepúlveda, Altamiro Susin, Cesar Marcon, Jean-Philippe Diguet
article
the 55th Annual Design Automation Conference, Jun 2018, San Francisco, United States. pp.83:1--83:6, ⟨10.1145/3195970.3196124⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01828428/file/Subutai-DAC2018.pdf BibTex
titre
Scheduling analysis of AADL architecture models
auteur
Frank Singhoff, Pierre Dissaux
article
Full day tutorial présented at the 23rd International Conference on Reliable Software Technologies - Ada-Europe, Jun 2018, Lisbon, Portugal
Accès au bibtex
BibTex
titre
Toward a Complete Agent-Based Model of a Honeybee Colony
auteur
Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
article
Highlights of Practical Applications of Agents, Multi-Agent Systems, and Complexity: The PAAMS Collection, Jun 2018, Toledo, Spain. ⟨10.1007/978-3-319-94779-2_42⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01826153/file/Toward%20a%20Complete%20Agent-Based%20Model%20of%20a%20Honeybee%20Colony.pdf BibTex
titre
DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
auteur
Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
article
4th International Conference on Mobile, Secure and Programmable Networking (MSPN 2018), Jun 2018, Paris, France. pp.42-53
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01829251/file/dotro_bounceur.pdf BibTex
titre
Cache-Based Side-Channel Intrusion Detection using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
CryptArchi 2018 - 16th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/cel-01824512/file/cryptarchi%20%282%29.pdf BibTex
titre
ROS code generation from AMSA framework for robotic systems testing
auteur
Hamza El Baccouri, Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
article
13th National Conference on Software and Hardware Architectures for Robots Control (SHARC'18), Jun 2018, Saint Tropez, France
Accès au bibtex
BibTex
titre
Cognitive Radio for UAV communications: Opportunities and future challenges
auteur
Guilherme Santana, Rogers Cristo, Catherine Dezan, Jean-Philippe Diguet, Diana Osorio, Kalinka R L J Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS'18), Jun 2018, Dallas, United States
Accès au bibtex
BibTex
titre
AVS, a model-based racing sailboat simulator: application to wind integration
auteur
Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
article
3rd IFAC Conference on Embedded Systems, Computational Intelligence and Telematics in Control CESCIT 2018, Jun 2018, Faro, Portugal. pp.88-94, ⟨10.1016/j.ifacol.2018.06.242⟩
Accès au bibtex
BibTex
titre
NIGHTs-WATCH: A Cache-based Side-channel Intrusion Detector Using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Vianney Lapotre, Guy Gogniat
article
7th International Workshop on Hardware and Architectural Support for Security and Privacy, Jun 2018, Los Angeles, United States. ⟨10.1145/3214292.3214293⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01806729/file/HASP_ISCA_2018-3.pdf BibTex
titre
About Early Scheduling Verification Of Embedded Real-Time Critical Systems: An Example With AADL
auteur
Frank Singhoff, Stéphane Rubini, Hai Nam Tran, Jalil Boukhobza, Laurent Lemarchand, Pierre Dissaux, Valérie-Anne Nicolas, Alain Plantec, Jérôme Legrand, Mourad Dridi, Jean-Philippe Diguet
article
Invited speaker at SYRCoSE 2018 - 12th Spring/Summer Young Researchers’ Colloquium on Software Engineering, May 2018, Novgorod the Great, Russia
Accès au bibtex
BibTex
titre
QuaRTOS-DSE: A Tool for Design Space Exploration of Embedded Real-Time System
auteur
Briag Le Nabec, Belgacem Ben Hedia, Jean-Philippe Babau
article
2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), May 2018, Singapore, France. pp.42-50, ⟨10.1109/ISORC.2018.00014⟩
Accès au bibtex
BibTex
titre
A Heterogeneous Cluster with Reconfigurable Accelerator for Energy Efficient Near-Sensor Data Analytics
auteur
Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi
article
International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy
Accès au texte intégral et bibtex
https://hal.science/hal-01827425/file/ISCAS2018-HAL.pdf BibTex
titre
40 Gop/S/mm² Fixed-Point Operators for Brain Computer Interface in 65nm CMOS
auteur
Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
article
2018 IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy. ⟨10.1109/ISCAS.2018.8351028⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01813164/file/iscas18.pdf BibTex
titre
A fully flexible circuit implementation of clique-based neural networks in 65-nm CMOS
auteur
Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
article
ISCAS 2018 : IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Firenze, Italy. ⟨10.1109/ISCAS.2018.8350954⟩
Accès au bibtex
BibTex
titre
Toward Efficient Many-core Scheduling of Partial Expansion Graphs
auteur
Hai Nam Tran, Shuvra S Bhattacharyya, Jean-Pierre Talpin, Thierry Gautier
article
SCOPES 2018 - 21st International Workshop on Software and Compilers for Embedded Systems, May 2018, Saint Goar, Germany. pp.1-4, ⟨10.1145/3207719.3207734⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01926955/file/scopes18.pdf BibTex
titre
BFM: a Scalable and Resource-aware Method for Adaptive Mission Planning of UAVs
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Luis Mejias
article
IEEE International Conference on Robotics and Automation (ICRA), May 2018, Brisbane, Australia
Accès au bibtex
BibTex
titre
An Extension to iFogSim to Enable the Design of Data Placement Strategies
auteur
Mohammed Islam Naas, Jalil Boukhobza, Philippe Raipin Parvedy, Laurent Lemarchand
article
2018 IEEE 2nd International Conference on Fog and Edge Computing (ICFEC), May 2018, Washington DC, France. ⟨10.1109/CFEC.2018.8358724⟩
Accès au bibtex
BibTex
titre
A Graph Partitioning-based Heuristic for Runtime IoT Data Placement Strategies in a Fog infrastructure
auteur
Mohammed Islam Naas, Laurent Lemarchand, Jalil Boukhobza, Philippe Raipin
article
ACM Symposium on Applied Computing (SAC), Apr 2018, Pau, France
Accès au bibtex
BibTex
titre
Collectors
auteur
Steven Costiou, Mickael Kerboeuf, Alain Plantec, Marcus Denker
article
Programming Experience 2018 (PX'18), Apr 2018, Nice, France. pp.9, ⟨10.1145/3191697.3214335⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01829183/file/collectors.pdf BibTex
titre
Apprentissage par projets à l'école primaire avec les filles qui. . .
auteur
Cassandra Balland, Esther Bernard, Morgane Coat, Isabelle Fouqué, Louise Hergoualc 'H, Gwendoline Kervot, Liz Kouassi, Audrey Lidec, Alix Machard, Maela Mingant, Awatef Mraihi, Marine Nuzillat, Maëlla Perrot, Constance Rio, Caroline Rogard, Mélodie Saliou, Andréa Savi, Anaëlle Seithers, Maëlle Sinilo, Marie Traon, Maxime Vallemont, Virginie Abiven, Jessica Benedicto, Emilie Carosin, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Chabha Hireche, Arwa Khannoussi, Vincent Leilde, Nicolas Moal, Cécile Plaud, Fabienne Ricard, Catherine Stefanelli, Carolyn Stein, Yann Ty-Coz, Vincent Ribaud
article
RJC-EIAH 2018 - Atelier "Organisation et suivi des activités d’apprentissage de l’informatique : outils, modèles et expériences", Apr 2018, Besançon, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756178/file/ApprentissageParProjetsEcolePrimaireAvecLesFillesQui.pdf BibTex
titre
Non-Volatile Memories: A New Deal for Operating System Design?
auteur
Stéphane Rubini, Jalil Boukhobza
article
Workshop "Gestion des resources dans le Cloud", Apr 2018, Alger, Algeria
Accès au bibtex
BibTex
titre
IA mission planning for autonomous vehicles : probabilistic models and embedded versions
auteur
Catherine Dezan
article
IX Escola Regional de Informatica SP/Oeste, Mar 2018, San Carlos, Brazil
Accès au bibtex
BibTex
titre
Fast Evaluation of Homomorphic Encryption Schemes Based on Ring-LWE
auteur
Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
article
2018 9th IFIP International Conference on New Technologies, Mobility and Security (NTMS), Feb 2018, Paris, France. ⟨10.1109/NTMS.2018.8328693⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01757093/file/fast-evaluation-homomorphic13.pdf BibTex
titre
Une approche basée sur la programmation par contraintes pour résoudre le problème d'affectation de binômes
auteur
Kevin Martin, Alban Derrien
article
ROADEF, Feb 2018, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01724696/file/main.pdf BibTex
titre
Traceable decisions for autonomous unmanned aerial vehicles
auteur
Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet, Alexandru Liviu Olteanu
article
ROADEF 2018, Feb 2018, Lorient, France
Accès au bibtex
BibTex
titre
Eduquer en montrant l'exemple : les filles qui ...
auteur
Vincent Ribaud, Malou Alleno, Cassandra Balland, Esther Bernard, Néné Satorou Cissé, Morgane Coat, Tinaig Daniel, Isabelle Fouqué, Louise Hergoualc 'H A, Gwendoline Kervot, Liz Kouassi, Éloïse Le Floch, Enora Le Scanf, Mégane Lesne, Audrey Lidec, Alix Machard, Maela Mingant, Maëlla Perrot, Océane Pierry, Lisa Ribaud-Le Cann, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo, Sophie Tehet, Maxime Vallemont, Jessica Benedicto, Stéphanie Berthelot, Bénédicte Blineau, Emmanuelle Boguenet, Émilie Carosin, Pascale Cloastre, Françoise Conan, Valérie Dantec, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Claire François, Carine Guillerm, Chabha Hireche, Arwa Khannoussi, Gaëlle Laizet, Catherine Lecru, Hémerance Le Forestier, Laurence Le Gouëz, Éric Loubeau, Éric Quemener, Muriel Vidal
article
Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756177/file/ArticleCourt-Eduquer.pdf BibTex
titre
Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures
auteur
Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
article
PARMA-DITAM, Jan 2018, Manchester, United Kingdom. ⟨10.1145/3183767.3183780⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01704702/file/parma-ditam18.pdf BibTex
titre
CupCarbon-Lab: An IoT Emulator
auteur
Ahcène Bounceur, Olivier Marc Marc, Massinissa Lounis, Julien Soler, Laurent Clavier, Pierre Combeau, Rodolphe Vauzelle, Loïc Lagadec, Reinhardt Euler, Madani Bezoui, Pietro Manzoni
article
IEEE Consumer Communications & Networking Conference, Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319313⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01704422/file/Boun18.pdf BibTex
titre
A new dominating tree routing algorithm for efficient leader election in IoT networks
auteur
Ahcène Bounceur, Madani Bezoui, Massinissa Lounis, Reinhardt Euler, Ciprian Teodorov
article
15th IEEE Annual Consumer Communications & Networking Conference (CCNC), Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319292⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-01804280/file/dominating.pdf BibTex
titre
Are engineering students ready for a VUCA world? A Design-based Research
auteur
Sophie Gaultier Le Bris, Siegfried Rouvrais, Matheus Stewart
article
Proceedings of the 14th International CDIO Conference, KIT, Kanazawa, 2018, Kanazawa, Japan
Accès au bibtex
BibTex

Book sections

titre
Using Parallel and Distributed Reachability in Model Checking
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
Ambient Communications and Computer Systems, pp.143-154, 2018, ⟨10.1007/978-981-10-7386-1_12⟩
Accès au bibtex
BibTex

Other publications

titre
Il faut repenser le rapport au travail
auteur
Nathalie Julien, Eric Martin
article
2018
Accès au bibtex
BibTex

Books

titre
L'usine du futur - Stratégies et déploiement. Industrie 4.0, de l'IoT aux jumeaux numériques
auteur
Eric Martin, Nathalie Julien
article
2018
Accès au bibtex
BibTex

Poster communications

titre
Subutai: Implantation de primitives de synchronisation au sein d'interfaces NoCs sans modification du code source
auteur
Rodrigo Cataldo, Kevin Martin, Jean-Philippe Diguet
article
Colloque du GdR SOC2, Jun 2018, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-01828617/file/GDR18.pdf BibTex
titre
Planification de Mission de Drone: Implémentation Logicielle/Matérielle
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Stéphane Mocanu
article
GDR SoC2, Jun 2018, Paris, France
Accès au bibtex
BibTex
titre
Eduquer en montrant l’exemple : les filles qui... - Poster Réfléchir
auteur
Vincent Ribaud
article
Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02912577/file/Poster2-R%C3%A9fl%C3%A9chir-AvecNom.pdf BibTex

Reports

titre
Rapport de Prospective du Conseil Scientifique de l’Institut des Sciences de l’Information et leurs Interactions (INS2I)
auteur
Christian Barillot, Inbar Fijalkow, Isabelle Queinnec, Fabrice Theoleyre, Hélène Touzet, Michel Beaudouin-Lafon, Marie-Paule Cani, François Chaumette, Gérald Conreur, Véronique Cortier, Cyril Gavoille, Guy Gogniat, Julien Gossa, Andreas Herzig, Jean Krivine, Philippe Lamarre, Françoise Lamnabhi-Lagarrigue, Anne-Catherine Letournel, Lionel Seinturier, Isabelle Tellier, Serge Torres, Charlotte Truchet, Alexandros Tsoukias, Michel Verleysen
article
[0] CNRS. 2018, pp.1-87
Accès au texte intégral et bibtex
https://hal.science/hal-01956087/file/Rapport%20de%20Prospective%20CSI%20INS2I%202018%20%2B%20%20Annexes.pdf BibTex
titre
Towards Reinforcing Decision Making Skills of Higher and Vocational Education and Training Students
auteur
Siegfried Rouvrais, Alnasser Manhal, Cook Sandra, Flannagan Bryan, Jordan Katie, Stewart Matthew, Taylor Philipp, Wiseman Claire, Lebris Sophie Gaultier, Martin Stuart, Joder Axel, Agredano Maria, Bono Lluc, Morro Antoni, Tudela Lluis, Chelin Nathalie, Sarah Ghaffari, Sébastien Houcke, Yvon Kermarrec, Marschal Nathalie, Mathieu Simonnet, Stéphanie Tillement, Roger Waldeck, Esnault Liliane, Auðunsson Haraldur, Breitenbuecher Sven, Gur Friðgeirsson Þórður Víki, Jonasson Haukur Ingi, Matthíasdóttir Grét, Dunn Sheila, George Lydia, O'Reilly Anthony, Mcshane Kevin
article
[Research Report] output 1, IMT Atlantique; Lab-STICC. 2018
Accès au texte intégral et bibtex
https://hal.science/hal-03727536/file/DAhoy-Output1-Year1.pdf BibTex

Preprints, Working Papers, ...

titre
Bayesian Networks for Safety/Security
auteur
Catherine Dezan
article
2018
Accès au bibtex
BibTex

2017

Journal articles

titre
Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01595772/file/s13634-017-0468-x.pdf BibTex
titre
A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
auteur
Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01630065/file/TECS-2017.pdf BibTex
titre
Energy Savings in Networks-on-Chip with Smart Temporal Shielding
auteur
Erwan Moréac, Pierre Bomel, Johann Laurent, André Rossi
article
Journal of Low Power Electronics, 2017, Journal of Low Power Electronics, 13 (3), pp.441-455. ⟨10.1166/jolpe.2017.1500⟩
Accès au bibtex
BibTex
titre
Lub: A Pattern for Fine Grained Behavior Adaptation at Runtime
auteur
Steven Costiou, Mickael Kerboeuf, Glenn Cavarlé, Alain Plantec
article
Science of Computer Programming, 2017, ⟨10.1016/j.scico.2017.09.006⟩
Accès au bibtex
BibTex
titre
Plug and play your robot into your smart home: Illustration of a new framework
auteur
Sao Mai Nguyen, Christophe Lohr, Philippe Tanguy, Yiqiao Chen
article
KI - Künstliche Intelligenz, 2017, 31 (3), pp.283 - 289. ⟨10.1007/s13218-017-0494-8⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01584437/file/paper.pdf BibTex
titre
Embedded Context Aware Diagnosis for a UAV SoC platform
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 51, pp.185-197. ⟨10.1016/j.micpro.2017.04.013⟩
Accès au bibtex
BibTex
titre
A Scaling-Less Newton-Raphson Pipelined Implementation for a Fixed-Point Reciprocal Operator
auteur
Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
article
IEEE Signal Processing Letters, 2017, 24 (6), pp.789 - 793. ⟨10.1109/LSP.2017.2694225⟩
Accès au bibtex
BibTex
titre
The integration of expert-defined importance factors to enrich Bayesian Fault Tree Analysis
auteur
Molham Darwish, Shaban Almouahed, Florent de Lamotte
article
Reliability Engineering and System Safety, 2017, 162, pp.81 - 90. ⟨10.1016/j.ress.2017.01.007⟩
Accès au bibtex
BibTex
titre
Sensor Fault Detection and Signal Improvement using Prédictive Filter
auteur
Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
article
The transaction of the Royal Institution of Naval Architects, 2017, International Journal of Small Craft Technology, 159 (Part B1), pp.15. ⟨10.3940/rina.ijsct.2017.b1.186⟩
Accès au bibtex
BibTex
titre
Bit-Accurate Energy Estimation for Networks-on-Chip
auteur
Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
article
Journal of Systems Architecture, 2017, ⟨10.1016/j.sysarc.2017.05.002⟩
Accès au bibtex
BibTex
titre
Environment-driven reachability for timed systems
auteur
Ciprian Teodorov, Philippe Dhaussy, Luka Le Roux
article
International Journal on Software Tools for Technology Transfer, 2017, 19 (2), pp.229-245. ⟨10.1007/s10009-015-0401-2⟩
Accès au bibtex
BibTex
titre
A Unified Design Flow to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerators
auteur
Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (3), pp.384-397. ⟨10.1109/TCAD.2016.2587278⟩
Accès au bibtex
BibTex
titre
Simulation of Multi-source Electric Production and Energy Transfers in Sailing
auteur
Mathilde Tréhin, Johann Laurent, Jean-Philippe Diguet
article
Journal of Shipping and Ocean Engineering, 2017, 7 (1), pp.9. ⟨10.17265/2159-5879/2017.01.002⟩
Accès au bibtex
BibTex
titre
Sensor Fault Detection and Signal Improvement using Predictive Filters
auteur
Hugo Kerhascoet, P Merien, Johann Laurent, Eric Senn, Frédéric Hauville
article
International Journal of Small Craft Technology, 2017, 159 (Part B1), pp.186. ⟨10.3940/rina.ijsct.2017.b1.186⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02139491/file/IRENAV_IJSCT_2017_HAUVILLE.pdf BibTex
titre
MONTRES : Merge ON-The-Run External Sorting algorithm for large data volumes on SSD based storage systems
auteur
Arezki Laga, Jalil Boukhobza, Frank Singhoff, Michel Koskas
article
IEEE Transactions on Computers, 2017, 66 (10), pp.1689 - 1702. ⟨10.1109/TC.2017.2706678⟩
Accès au bibtex
BibTex
titre
Scheduling analysis of tasks constrained by TDMA: Application to software radio protocols
auteur
Shuai Li, Frank Singhoff, Stéphane Rubini, Michel Bourdellès
article
Journal of Systems Architecture, 2017, 76, pp.58-75. ⟨10.1016/j.sysarc.2016.11.003⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01685444/file/jsa%20%281%29.pdf BibTex
titre
A Transparent home Sensors/Actuators layer for Health &Well-being services
auteur
Philippe Tanguy, Mathieu Simonnet, Christophe Lohr, Jérôme Kerdreux
article
EAI endorsed transactions on pervasive health and technology, 2017, 3 (11), pp.1 - 7. ⟨10.4108/eai.18-7-2017.152900⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01598666/file/eai.18-7-2017.152900.pdf BibTex
titre
Real-Time Control System for Improved Precision and Throughput in an Ultrafast Carbon Fiber Placement Robot Using a SoC FPGA Extended Processing Platform
auteur
Gilberto Ochoa-Ruiz, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet, Cheng-Cong Bao
article
International Journal of Reconfigurable Computing, 2017, 2017, pp.1 - 20. ⟨10.1155/2017/3298734⟩
Accès au bibtex
BibTex
titre
Extended overlay architectures for heterogeneous FPGA cluster management
auteur
Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
article
Journal of Systems Architecture, 2017, 78, pp.1-14. ⟨10.1016/j.sysarc.2017.06.001⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01643297/file/Extended%20overlay.pdf BibTex

Conference papers

titre
A High Abstraction Level Constraint for Object Localization in Marine Observatories
auteur
Joël Champeau, Loïc Lagadec, Charbel Geryes Aoun, Jad Moussa, Elio Hanna
article
CSCI 2017, Dec 2017, Las Vegas, United States
Accès au bibtex
BibTex
titre
Reinforcement Learning Strategies for Energy Management in Low Power IoT
auteur
Yohann Rioual, Johann Laurent, Eric Senn, Jean-Philippe Diguet
article
CSCI, Dec 2017, Las Vegas, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01654931/file/Regular%20research%20paper%20CSCI-ISOT.pdf BibTex
titre
Architecture level Optimizations for Kummer based HECC on FPGAs
auteur
Gabriel Gallin, Turku Ozlum Celik, Arnaud Tisserand
article
IndoCrypt 2017 - 18th International Conference on Cryptology in India, Dec 2017, Chennai, India. pp.44-64, ⟨10.1007/978-3-319-71667-1_3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01614063/file/article_indocrypt2017.pdf BibTex
titre
Power Consumption Analysis and Hardware Security
auteur
Arnaud Tisserand
article
"Risques, Société et Sécurité" (R2S). "Journée Thématique Consommation d'énergie dans les systèmes reconfigurables', Dec 2017, Cergy, France
Accès au bibtex
BibTex
titre
Démonstrateur ASSecIN: ligne industrielle virtuelle permettant l'évaluation de passerelle intelligente *
auteur
Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet
article
11ème Colloque sur la Modélisation des Systèmes Réactifs (MSR 2017), Nov 2017, Marseille, France
Accès au texte intégral et bibtex
https://hal.science/hal-01961937/file/demonstrator_ASSecIN.pdf BibTex
titre
A wireless contact lens eye tracking system (example of a smart sensors development platform)
auteur
Jean-Louis de Bougrenet de La Tocnaye, Laurent Dupont, Francesco Ferranti, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin
article
SENSO2017: 5th international conference sensors, energy harvesting, wireless network and smart objects workshop, Nov 2017, Gardanne, France
Accès au bibtex
BibTex
titre
Does Process Assessment Drive Process Learning? The Case of a Bachelor Capstone Project
auteur
Vincent Leilde, Vincent Ribaud
article
2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.39⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756186/file/PID5031297.pdf BibTex
titre
Girls Who . . . Do Scratch a First Round with the Essence Kernel
auteur
Cassandra Balland, Néné Satorou Cissé, Louise Hergoualc’h, Gwendoline Kervot, Audrey Lidec, Alix Machard, Lisa Ribaud-Le Cann, Constance Rio, Maelle Sinilo, Valérie Dantec, Catherine Dezan, Cyrielle Feron, Claire François, Chabha Hireche, Arwa Khannoussi, Vincent Ribaud
article
2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.48⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756185/file/PID5031269.pdf BibTex
titre
DAS: An Efficient NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
2017 IEEE 35th International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. ⟨10.1109/ICCD.2017.42⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01713312/file/ICCD2017_preprint.pdf BibTex
titre
Hyper-Threaded Multiplier for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
Asilomar Conference on Signals, Systems, and Computers, Oct 2017, Pacific Grove, CA, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01620046/file/article-asilomar17-htmm.pdf BibTex
titre
Modeling and Validation of a Mixed-Criticality NoC Router Using the IF Language
auteur
Mourad Dridi, Mounir Lallali, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
article
the 10th International Workshop on Network on Chip Architectures, Oct 2017, Boston, United States. ⟨10.1145/3139540.3139543⟩
Accès au bibtex
BibTex
titre
A System Organic Architecture based on Dynamic Functional Architecture Modeling
auteur
Jacques Simonin, Pierre-Yves Pillain
article
SoEA4EE 2017 : 9th Workshop on Service oriented Enterprise Architecture for Enterprise Engineering, Oct 2017, Québec City, Canada. pp.15 - 22, ⟨10.1109/EDOCW.2017.12⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01629976/file/hal-01629976v1.pdf BibTex
titre
Relating Student, Teacher and Third-Party Assessments in a Bachelor Capstone Project
auteur
Vincent Ribaud, Vincent Leilde
article
International Conference on Software Process Improvement and Capability Determination, SPICE 2017, Oct 2017, Palma de Mallorca, Spain. pp.499-506
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-01698582/file/Relating%20Student%2C%20Teacher%20and%20External%20Assessments%20in%20a%20Bachelor%20Capstone%20Project.pdf BibTex
titre
Efficient Scalable Hardware Architecture for Highly Performant Encoded Neural Networks
auteur
Hugues Nono Wouafo, Cyrille Chavet, Robin Danilo, Philippe Coussy
article
IEEE International Workshop on Signal Processing Systems 2017, Oct 2017, Lorient, France
Accès au bibtex
BibTex
titre
An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy
auteur
Imran Wali, Emmanuel Casseau, Arnaud Tisserand
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Accès au bibtex
BibTex
titre
Energy Aware Networks-on-Chip Cortex Inspired Communication
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Maurizio Palesi
article
PATMOS 2017, Sep 2017, Thessaloniki, Greece
Accès au bibtex
BibTex
titre
Integrating human preferences in automated decisions of unmanned aerial vehicles
auteur
Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet
article
14th Decision Deck Workshop, Sep 2017, Paris, France
Accès au bibtex
BibTex
titre
Hardware Support for Physical Security
auteur
Arnaud Tisserand
article
CRiSIS: 12th International Conference on Risks and Security of Internet and Systems, Sep 2017, Dinard, France
Accès au bibtex
BibTex
titre
How to apprehend leadership related skills in a project management experiment?
auteur
Michel Morvan, Bruno Vinouze, Marie-Pierre Adam, Matthieu Arzel, Didier Baux, Antoine Beugnard, Priscillia Creach, Jean-Philippe Coupez, Myriam Le Goff-Pronost, Camilla Kärnfelt
article
SEFI 2017: 45th Conference on Education Excellence For Sustainable Development, Sep 2017, Azores, Portugal. pp.536-543
Accès au texte intégral et bibtex
https://hal.science/hal-01661642/file/Morvan2017.pdf BibTex
titre
Towards one Model Interpreter for Both Design and Deployment
auteur
Valentin Besnard, Matthias Brun, Philippe Dhaussy, Frédéric Jouault, David Olivier, Ciprian Teodorov
article
3rd International Workshop on Executable Modeling (EXE 2017), Sep 2017, Austin, United States
Accès au bibtex
BibTex
titre
A Methodology to Assess Vulnerabilities and Countermeasures Impact on the Missions of a Naval System
auteur
Bastien Sultan, Fabien Dagnat, Caroline Fontaine
article
CyberICPS'2017 : International Workshop on the Security of Industrial Control Systems and Cyber-Physical Systems, Sep 2017, Oslo, Norway. pp.63 - 76, ⟨10.1007/978-3-319-72817-9_5⟩
Accès au bibtex
BibTex
titre
A Diagnosis Framework for Critical Systems Verification
auteur
Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
article
15th International Conference on Software Engineering and Formal Methods, SEFM 2017, Sep 2017, Trente, Italy. Short Papers 1-6
Accès au texte intégral et bibtex
https://hal.science/hal-01559688/file/SEFM_2017_paper_73.pdf BibTex
titre
Improving Confidentiality Against Cache-based SCAs
auteur
Maria Mushtaq, Vianney Lapotre, Guy Gogniat, M Asim Mukhtar, Muhammad Khurram Bhatti
article
ACM WomENcourage, Sep 2017, barcelona, France
Accès au texte intégral et bibtex
https://hal.science/hal-01748057/file/womENcourage_2017_paper_10.pdf BibTex
titre
Implantation en virgule fixe d'un opérateur de calcul d'inverse à base de Newton-Raphson, sans normalisation et sans bloc mémoire
auteur
Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
article
GRETSI 2017 : 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2017, Juan-Les-Pins, France
Accès au bibtex
BibTex
titre
ARMHEx: A hardware extension for DIFT on ARM-based SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01558473/file/bare_conf.pdf BibTex
titre
Debugging Cyber-Physical Systems with Pharo
auteur
Matteo Marra, Elisa Gonzalez Boix, Steven Costiou, Mickaël Kerboeuf, Alain Plantec, Guillermo Polito, Stéphane Ducasse
article
Proceedings of the 12th Edition of the International Workshop on Smalltalk Technologies, ESUG, Sep 2017, Maribor, Slovenia. pp.8:1--8:10, ⟨10.1145/3139903.3139913⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01585349/file/Mar17a-IWST-DebuggingIoT.pdf BibTex
titre
Using Parallel and Distributed Reachability in Model Checking
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
Recent Advancement in Computer, Communication and Computational Sciences (RACCCS-2017), Sep 2017, Ajmer, India. pp.143-154, ⟨10.1007/978-981-10-7386-1_12⟩
Accès au bibtex
BibTex
titre
Patrons de conception pour l’analyse d’ordonnancement temps réel multiprocesseurs
auteur
Stéphane Rubini, Frank Singhoff, Alain Plantec, Hai-Nam Tran, Jalil Boukhobza, Pierre Dissaux
article
Tutoriel présenté à l’école d'été temps réel (ETR'2017), Aug 2017, Rennes, France
Accès au bibtex
BibTex
titre
PAnTHErS: A Prototyping and Analysis Tool for Homomorphic Encryption Schemes
auteur
Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
article
SECRYPT: 14th International Conference on Security and Cryptography, Jul 2017, Madrid, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-01595789/file/panthers-SECRYPT.pdf BibTex
titre
A Sub-nJ CMOS ECG Classifier for Wireless Smart Sensor
auteur
Paul Chollet, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
article
EMBC 2017 : 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Jul 2017, Jeju, South Korea. pp.3840 - 3843, ⟨10.1109/EMBC.2017.8037694⟩
Accès au bibtex
BibTex
titre
Using a Virtual Plant to Support the Development of Intelligent Gateway for Sensors/Actuators Security
auteur
Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet, Vianney Lapotre
article
IFAC World Congress, Jul 2017, Toulouse, France. pp.5837-5842
Accès au bibtex
BibTex
titre
Asserting causal properties in High Level Synthesis
auteur
Erwan Fabiani, Loïc Lagadec, Mohamed Ben Hammouda, Ciprian Teodorov
article
2017 IEEE 2nd International Verification and Security Workshop (IVSW), Jul 2017, Thessaloniki, Greece
Accès au bibtex
BibTex
titre
A scaling-less Newton-Raphson pipelined implementation for a fixed-point inverse square root operator
auteur
Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
article
NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. ⟨10.1109/NEWCAS.2017.8010129⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01617301/file/newcas17.pdf BibTex
titre
How web services can be tolerant to intruders through diversification
auteur
Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
article
ICWS 2017 : 24th IEEE International Conference on Web Services, Jun 2017, Honolulu, United States. pp.436 - 443, ⟨10.1109/ICWS.2017.50⟩
Accès au bibtex
BibTex
titre
An attack-tolerant framework for web services
auteur
Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
article
SCC 2017 : 14th International Conference on Services Computing, Jun 2017, Honolulu, United States. pp.503 - 506, ⟨10.1109/SCC.2017.75⟩
Accès au bibtex
BibTex
titre
An ultra-low power iterative clique-based neural network integrated in 65-nm CMOS
auteur
Paul Chollet, Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
article
NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.5 - 8, ⟨10.1109/NEWCAS.2017.8010091⟩
Accès au bibtex
BibTex
titre
Autonomous Safety System for a Smart Stove for Cognitively Impaired People
auteur
Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean-Luc Philippe
article
International Conference on Practical Applications of Agents and Multi-Agent Systems, Jun 2017, Porto, Portugal. pp.145-156
Accès au bibtex
BibTex
titre
ECC Protections against both Observation and Pertubation Attacks
auteur
Audrey Lucas, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au bibtex
BibTex
titre
Hardware Architectures for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au texte intégral et bibtex
https://hal.science/hal-01545625/file/cryptarchi2017.pdf BibTex
titre
Embedding Crypto in SoCs: Threats and Protections
auteur
Arnaud Tisserand
article
XIIème Colloque du GDR SoC-SiP, Jun 2017, Bordeaux, France. http://www.colloque2017-gdrsoc2.org/
Accès au bibtex
BibTex
titre
Embedded Decision Making for UAV Missions
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Accès au bibtex
BibTex
titre
Online Diagnosis Updates for Embedded Health Management
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet
article
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Accès au bibtex
BibTex
titre
Architecture logicielle et détection d'anomalies
auteur
Zakariya Kamagate, Yvon Kermarrec, Jacques Simonin
article
INFORSID 2017 : 35eme congrès de l'INFormatique des ORganisations et Systèmes d’Information et de Décision, May 2017, Toulouse, France
Accès au bibtex
BibTex
titre
Hardware Architectures Exploration for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Crypto'Puces 2017- 6ème rencontre Crypto'Puces, du composant au système communicant embarqué, May 2017, Porquerolles, France. pp.31
Accès au texte intégral et bibtex
https://hal.science/hal-01547034/file/gallin_tisserand_abstract-cryptopuces2017.pdf BibTex
titre
A 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architecture
auteur
Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
article
ISCAS 2017 : IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States. pp.1 - 4, ⟨10.1109/ISCAS.2017.8050658⟩
Accès au bibtex
BibTex
titre
A 142MOPS/mW Integrated Programmable Array accelerator for Smart Visual Processing
auteur
Satyajit Das, Davide Rossi, Kevin Martin, Philippe Coussy, Luca Benini
article
IEEE International Symposium on Circuits & Systems, May 2017, Baltimore, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01534574/file/ISCAS17.pdf BibTex
titre
ARMHEx: embedded security through hardware-enhanced information flow tracking
auteur
Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
article
RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
Accès au texte intégral et bibtex
https://hal.science/hal-01558155/file/bare_conf.pdf BibTex
titre
iFogStor: an IoT Data Placement Strategy for Fog Infrastructure
auteur
Mohammed Islam Naas, Philippe Raipin, Jalil Boukhobza, Laurent Lemarchand
article
IEEE 1st International Conference on Fog and Edge Computing, May 2017, Madrid, Spain. ⟨10.1109/ICFEC.2017.15⟩
Accès au bibtex
BibTex
titre
COPS: Cost Based Object Placement Strategies on Hybrid Storage System for DBaaS Cloud
auteur
Djillali Boukhelef, Kamel Boukhalfa, Jalil Boukhobza, Hamza Ouarnoughi, Laurent Lemarchand
article
IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2017, Madrid, Spain. pp.659-664 ⟨10.1109/CCGRID.2017.36⟩
Accès au bibtex
BibTex
titre
A Cost-effective Approach for Efficient Time-sharing of Reconfigurable Architectures
auteur
Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
article
FPGA4GPC'2017, May 2017, Hambourg, Germany. ⟨10.1109/FPGA4GPC.2017.8008959⟩
Accès au bibtex
BibTex
titre
Somewhat/Fully Homomorphic Encryption: Implementation Progresses and Challenges
auteur
Guillaume Bonnoron, Caroline Fontaine, Guy Gogniat, Vincent Herbert, Vianney Lapotre, Vincent Migliore, Adeline Roux-Langlois
article
C2SI 2017 : 2nd International Conference on Codes, Cryptology and Information Security, Apr 2017, Rabat, Morocco. pp.68 - 82, ⟨10.1007/978-3-319-55589-8_5⟩
Accès au bibtex
BibTex
titre
Unanticipated Debugging with Dynamic Layers
auteur
Steven Costiou, Mickaël Kerboeuf, Marcus Denker, Alain Plantec
article
LASSY 2017 Live Adaptation of Software SYstems, Apr 2017, Brussels, Belgium. pp.6, ⟨10.1145/3079368.3079391⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01591077/file/dynamic-layers-final.pdf BibTex
titre
Soft timing closure for soft programmable logic cores: The ARGen approach
auteur
Théotime Bollengier, Loïc Lagadec, Mohamad Najem, Jean-Christophe Le Lann, Pierre Guilloux
article
ARC 2017 - 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
Accès au texte intégral et bibtex
https://hal.science/hal-01475251/file/paper_6-4.pdf BibTex
titre
Model-based attack tolerance
auteur
Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
article
FINA 2017 : 13th International Symposium on Frontiers of Information Systems and Network Applications, Mar 2017, Taipei, Taiwan. pp.68 - 73, ⟨10.1109/WAINA.2017.88⟩
Accès au bibtex
BibTex
titre
Un Web Service sur les problèmes de transport avec des distances réelles, pour propager les algorithmes de recherche opérationnelle
auteur
Maxime Chassaing, Marc Sevaux, Pierre Bomel, Ivan Crépeau
article
ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2017, Metz, France
Accès au bibtex
BibTex
titre
Efficient Mapping of CDFG onto Coarse-Grained Reconfigurable Array Architectures
auteur
Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi, Luca Benini
article
ASP-DAC, Jan 2017, Tokyo, Japan
Accès au texte intégral et bibtex
https://hal.science/hal-01452277/file/ASPDAC17.pdf BibTex

Special issue

titre
Introduction to the Special Section on Computer Arithmetic
auteur
Javier Hormigo, Jean-Michel Muller, Stuart Obermann, Nathalie Revol, Arnaud Tisserand, Julio Villalba-Moreno
article
IEEE Transactions on Computers, 66 (12), pp.1991-1993, 2017, ⟨10.1109/TC.2017.2761278⟩
Accès au bibtex
BibTex

Other publications

titre
NoC and Mixed-criticality Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Frank Singhoff, Jean-Philippe Diguet, Martha Johanna Sepulveda Florez
article
2017
Accès au bibtex
BibTex
titre
Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
auteur
Kevin Martin, Thanh Dinh Ngo, Jean-Philippe Diguet
article
2017
Accès au bibtex
BibTex
titre
Cybersécurité, attaques et protections des puces électroniques
auteur
Arnaud Tisserand
article
2017, https://armorscience.wordpress.com/conferences-et-cafes-science/annee-2016-2017/la-cybersecurite/
Accès au bibtex
BibTex

Books

titre
Les mémoires flash
auteur
Jalil Boukhobza, Pierre Olivier
article
ISTE éditions. ISTE éditions, 2017, 978-1-78405-281-2
Accès au bibtex
BibTex
titre
Flash Memory Integration
auteur
Jalil Boukhobza, Pierre Olivier
article
ISTE Press - Elsevier, pp.266, 2017, 9781785481246
Accès au bibtex
BibTex

Poster communications

titre
Simulation Approach for Energy Management in Wireless Sensor Networks
auteur
Yohann Rioual, Jean-Philippe Diguet, Johann Laurent, Eric Senn
article
BEE Week 2017, Nov 2017, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01654661/file/Poster_GdR.pdf BibTex
titre
Demonstrating the SPIDER Runtime for Reconfigurable Dataflow Graphs Execution onto a DMA-based Manycore Processor
auteur
Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
article
IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France. 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01637300/file/sips-MORDRED.pdf BibTex
titre
ARMHEx: a framework for efficient DIFT in real-world SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01558475/file/bare_conf.pdf BibTex
titre
Networks-on-Chip Cortex Inspired Communication To Reduce Energy Consumption
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Andrea Mineo, Maurizio Palesi
article
Design Automation Conference (DAC 2017), Jun 2017, Austin, TX, United States. , Design Automation Conference, DAC 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01576029/file/DACPoster.pdf BibTex
titre
Flot de projection d'applications sur architecture reconfigurable à gros grains
auteur
Philippe Coussy
article
journée du GT Optimisation des Systèmes Intégrés (OSI) du GdR RO, Jun 2017, Paris, France
Accès au bibtex
BibTex
titre
Finite Field Multiplier Architectures for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Colloque National du GDR SOC2, Jun 2017, Bordeaux, France.
Accès au bibtex
BibTex
titre
Accélération matérielle pour l'imagerie cérébrale par EEG
auteur
Erwan Libessart, Adrien Merlini, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
article
13ème Colloque du GDR SoC/SiP, Jun 2017, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01754865/file/Poster_gdrFinal.pdf BibTex
titre
A bit-accurate power estimation simulator for NoCs
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi
article
DATE 2017 Design Automation and Test in Europe, Mar 2017, Lausanne, Switzerland. , Design Automation and Test in Europe
Accès au texte intégral et bibtex
https://hal.science/hal-01576044/file/Ubooth_Poster.pdf BibTex

Theses

titre
Cache memory aware priority assignment and scheduling simulation of real-time embedded systems
auteur
Hai Nam Tran
article
Embedded Systems. Université de Bretagne occidentale - Brest, 2017. English. ⟨NNT : 2017BRES0011⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01773862/file/These-2017-SICMA-Science_et_technologie_de_l_information_et_de_la_communication-TRAN_Hai_Nam.pdf BibTex

2016

Journal articles

titre
Integrating I/Os in Cloudsim for Performance and Energy Estimation
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
Operating Systems Review, 2016, 50 (3), pp.27-36 ⟨10.1145/3041710.3041715⟩
Accès au bibtex
BibTex
titre
Sequential and Parallel Algorithms for the State Space Exploration
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
Cybernetics and Information Technologies, 2016, 16 (1), ⟨10.1515/cait-2016-0001⟩
Accès au bibtex
BibTex
titre
A Parallel Algorithm for the State Space Exploration
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
Scalable Computing : Practice and Experience, 2016, Scalable Computing: Practice and Experience, 17 (2), pp.129-141. ⟨10.12694/scpe.v17i2.1161⟩
Accès au bibtex
BibTex
titre
Past-Free[ze] reachability analysis: reaching further with DAG-directed exhaustive state-space analysis
auteur
Ciprian Teodorov, Luka Le Roux, Zoé Drey, Philippe Dhaussy
article
Journal of Software Testing, Verification and Reliability, 2016, ⟨10.1002/stvr.1611⟩
Accès au bibtex
BibTex
titre
A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Hamza Ouarnoughi
article
ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (4), ⟨10.1145/2903139⟩
Accès au bibtex
BibTex
titre
Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
auteur
Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
article
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
Accès au bibtex
BibTex
titre
Energy Efficient Associative Memory Based on Neural Cliques
auteur
Bartosz Boguslawski, Frédéric Heitzmann, Benoit Larras, Fabrice Seguin
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, 63 (4), pp.376 - 380. ⟨10.1109/TCSII.2015.2504946⟩
Accès au bibtex
BibTex
titre
Cache-aware real-time scheduling simulator: implementation and return of experience
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
ACM SIGBED Review, 2016, Special Issue on the 5th Embedded Operating Systems Workshop (EWiLi 2015), 13 (1), pp.22-28 ⟨10.1145/2907972.2907975⟩
Accès au bibtex
BibTex
titre
TBES: Template-Based Exploration and Synthesis of Heterogeneous Multiprocessor Architectures on FPGA
auteur
Youenn Corre, Jean-Philippe Diguet, Dominique Heller, Dominique Blouin, Loïc Lagadec
article
ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (1), pp.9
Accès au texte intégral et bibtex
https://hal.science/hal-01172103/file/Preprint_TECS_YC%20%283%29.pdf BibTex
titre
A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01121754/file/TVLSI_brief.pdf BibTex
titre
Twin Neurons for Efficient Real-World Data Distribution in Networks of Neural Cliques. Applications in Power Management in Electronic Circuits
auteur
Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
article
IEEE Transactions on Neural Networks and Learning Systems, 2016, 27 (2), pp.375 - 387. ⟨10.1109/TNNLS.2015.2480545⟩
Accès au bibtex
BibTex
titre
Ultra-Low-Energy Mixed-Signal IC Implementing Encoded Neural Networks
auteur
Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2016, 63 (11), pp.1974 - 1985. ⟨10.1109/TCSI.2016.2600663⟩
Accès au bibtex
BibTex

Conference papers

titre
CaRDIN: An Agile Environment for EdgeComputing on Reconfigurable Sensor Networks
auteur
Xuan Sang Le, Jean-Christophe Le Lann, Loïc Lagadec, Luc Fabresse, Noury Bouraqadi, Jannik Laval
article
3rd IEEE International Conference on Computational Science and Computational Intelligence (CSCI 2016), Dec 2016, Las Vegas, United States
Accès au bibtex
BibTex
titre
Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01427642/file/fpt-2016-vl.pdf BibTex
titre
An example of early scheduling analysis with AADL
auteur
Stéphane Rubini, Hai-Nam Tran, Mourad Dridi, Vincent Gaudel, Jalil Boukhobza, Alain Plantec, Christian Fotsing, Frank Singhoff, P Dissaux, J Legrand, A Schach
article
Présentation invitée à la Journée AADL du GDR SOC-SIP, Nov 2016, Paris, France
Accès au bibtex
BibTex
titre
A Contextual Data Selection Tool for an Enhanced Business Process Analysis
auteur
Pierre-Aymeric Masse, Nassim Laga, Jacques Simonin
article
ICEBE 2016 : 13th IEEE International Conference on e-Business Engineering, Nov 2016, Macau, China. pp.1 - 8, ⟨10.1109/ICEBE.2016.013⟩
Accès au bibtex
BibTex
titre
Runtime support for rule-based access-control evaluation through model-transformation
auteur
Salvador Martínez, Jokin Garcia, Jordi Cabot
article
the 2016 ACM SIGPLAN International Conference, Oct 2016, Amsterdam, Netherlands. pp.57-69, ⟨10.1145/2997364.2997375⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02864664/file/sle2016.pdf BibTex
titre
Embedded Diagnosis and Mission Planning based on Stochastic Methods
auteur
Catherine Dezan
article
USP ICMC Robotics seminar, Oct 2016, San Carlos, Brazil
Accès au bibtex
BibTex
titre
An approach for discovering data impacting the execution of a business process
auteur
Pierre-Aymeric Masse, Nassim Laga, Mohammed Oussama Kherbourche, Jacques Simonin
article
CiSt 2016 : IEEE International Colloquium on Information Science and Technology, Oct 2016, Tanger, Morocco. pp.216 - 221, ⟨10.1109/CIST.2016.7805045⟩
Accès au bibtex
BibTex
titre
Framework to Generate and Validate Embedded Decison Trees with Missing Data
auteur
Arwa Khannoussi, Catherine Dezan, Patrick Meyer
article
JRWRTC 2016 : 10th Junior Researcher Workshop on Real-Time Computing, Oct 2016, Brest, France. pp.17 - 20
Accès au bibtex
BibTex
titre
A humanoid robot for coaching patients for physical rehabilitation exercises
auteur
Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris, André Thépaut
article
ACCAS 2016 : 12th Asian Conference on Computer Aided Surgery, Oct 2016, Daejeon, South Korea
Accès au texte intégral et bibtex
https://hal.science/hal-01810169/file/Accas2016Nguyen.pdf BibTex
titre
Overlay Architectures for Heterogeneous FPGA Cluster Management.
auteur
Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
article
DASIP 2016, Oct 2016, Rennes, France
Accès au texte intégral et bibtex
https://hal.science/hal-01405890/file/demo_dasip_2016.pdf BibTex
titre
Crosstalk-aware link power model for Networks-on-Chip
auteur
Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
article
DASIP, Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853807⟩
Accès au bibtex
BibTex
titre
An Organizing System to Perform and Enable Verification and Diagnosis Activities
auteur
Vincent Leilde, Vincent Ribaud, Philippe Dhaussy
article
Intelligent Data Engineering and Automated Learning – IDEAL 2016, Oct 2016, Yangzou, China. pp.576-587
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01472718/file/An%20Organizing%20System%20to%20Perform%20and%20Enable%20Verification%20and%20Diagnosis%20Activities.pdf BibTex
titre
Application of AADL for Marine Control Systems
auteur
Pierre Dissaux, Sofien Kerkeni, Frank Singhoff
article
Dynamic positioning conference, Oct 2016, Houston, United States
Accès au bibtex
BibTex
titre
Associative memory based on clustered neural networks: improved model and architecture for oriented edge detection
auteur
Robin Danilo, Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
article
Conference on Design & Architectures for Signal & Image Processing, Oct 2016, Rennes, France
Accès au bibtex
BibTex
titre
A Dynamically Reconfigurable ECC Decoder Architecture for the next generation communication standards (5G, SDR and behond)
auteur
Cyrille Chavet, Philippe Coussy
article
WInnComm Europe, Oct 2016, Paris, France
Accès au bibtex
BibTex
titre
Efficient Parallel Multi-Objective Optimization for Real-time Systems Software Design Exploration
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
article
International Symposium on Rapid System Prototyping (RSP'16), Oct 2016, Pittsburgh, United States
Accès au bibtex
BibTex
titre
Model-based Diagnosis Patterns for Model Checking
auteur
Vincent Leilde, Vincent Ribaud, Philippe Dhaussy
article
PAME 2016, Oct 2016, St Malo, France. pp.7-12
Accès au texte intégral et bibtex
https://hal.science/hal-01406604/file/PAME_2016_paper_1.pdf BibTex
titre
ModifRoundtrip: A Model-Based tool to reuse legacy transformations
auteur
Paola Vallejo, Jean-Philippe Babau, Mickaël Kerboeuf
article
ACM/IEEE 19th International Conference on Model Driven Engineering Languages and Systems (MoDELS 2016), Oct 2016, Saint Malo, France
Accès au bibtex
BibTex
titre
Heuristic based Routing Algorithm for Network on Chip
auteur
Asma Benmessaoud Gabis, Marc Sevaux, Pierre Bomel, Mouloud Koudil, Karima Benatchba
article
IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), Sep 2016, Lyon, France. pp.39-45, ⟨10.1109/MCSoC.2016.43⟩
Accès au bibtex
BibTex
titre
Network Contention-Aware Method to Evaluate Data Coherency Protocols within a Compilation Toolchain
auteur
Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepulveda
article
10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSOC 2016, Sep 2016, Lyon, France. pp.249-256, ⟨10.1109/MCSoC.2016.54⟩
Accès au bibtex
BibTex
titre
Green metadata based adaptive DVFS for energy efficient video decoding
auteur
Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
article
26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS),, Sep 2016, Bremen, Germany. ⟨10.1109/PATMOS.2016.7833693⟩
Accès au bibtex
BibTex
titre
Modeling legacy code with BIP: How to reduce the gap between formal description and real-time implementation
auteur
B.L. Nabec, B.B. Hedia, Jean-Philippe Babau, M. Jan, H. Guesmi
article
2016 Forum on Specification and Design Languages (FDL), Sep 2016, Bremen, Germany. ⟨10.1109/FDL.2016.7880385⟩
Accès au bibtex
BibTex
titre
Boosting advanced skills in project management thanks to complex human and technical situations
auteur
Marie-Pierre Adam, Matthieu Arzel, Didier Baux, Antoine Beugnard, Jean-Philippe Coupez, Myriam Le Goff-Pronost, Michel Morvan, Pierre Tremenbert, Bruno Vinouze
article
SEFI 2016: 44th European Society for Engineering Education annual conference, Sep 2016, Tampere, Finland. pp.1-11
Accès au texte intégral et bibtex
https://hal.science/hal-01494159/file/Adam2016.pdf BibTex
titre
A Cost Model for DBaaS Storage
auteur
Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa
article
Database and Expert Systems Applications, Sep 2016, Porto, Portugal. pp.223-239, ⟨10.1007/978-3-319-44403-1_14⟩
Accès au bibtex
BibTex
titre
Speedometer Fault Detection and GNSS Fusion using Kalman Filters
auteur
Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
article
OCEANS’16 MTS/IEEE, Sep 2016, Monterey, United States. pp.8
Accès au texte intégral et bibtex
https://hal.science/hal-02141279/file/IRENav_OCEAN_2016_HAUVILLE.pdf BibTex
titre
Towards a hardware-assisted information flow tracking ecosystem for ARM processors
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337579/file/fpl2016.pdf BibTex
titre
Computational Architecture of a Robot Coach for Physical Exercises in Kinesthetic Rehabilitation
auteur
Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris
article
RO-MAN 2016 : IEEE International Symposium on Human and Robot Interactive Communication , Aug 2016, New York, United States. pp.1 - 6, ⟨10.1109/ROMAN.2016.7745251⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01377342/file/NguyenRoman2016.pdf BibTex
titre
Dynamic Round-Trip Engineering in the context of FOMDD
auteur
Glenn Cavarlé, Alain Plantec, Steven Costiou, Vincent Ribaud
article
11th International Workshop on Smalltalk Technologies, Aug 2016, Prague, Czech Republic. pp.1 - 7, ⟨10.1145/2991041.2991056⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01448784/file/IWST_2016_paper_26.pdf BibTex
titre
Lub: A DSL for Dynamic Context Oriented Programming
auteur
Steven Costiou, Mickaël Kerboeuf, Glenn Cavarlé, Alain Plantec
article
International Workshop on Smalltalk Technologies (IWST'16), Aug 2016, Prague, Czech Republic. pp.13, ⟨10.1145/2991041.2991054⟩
Accès au bibtex
BibTex
titre
Pragmas: Literal Messages as Powerful Method Annotations
auteur
Stéphane Ducasse, Eliot Miranda, Alain Plantec
article
International Workshop on Smalltalk Technologies - IWST 2016, Aug 2016, Prague, Czech Republic. ⟨10.1145/2991041.2991050⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01353592/file/main.pdf BibTex
titre
Lynx: A Learning Linux Prefetching Mechanism For SSD Performance Model
auteur
Arezki Laga, Jalil Boukhobza, Michel Koskas, Frank Singhoff
article
The 5th IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA 2016), Aug 2016, Daegu, South Korea. ⟨10.1109/NVMSA.2016.7547186⟩
Accès au bibtex
BibTex
titre
Choosing security elements for the xAAL home automation system
auteur
Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
article
ATC 2016 : 13th IEEE International Conference on Advanced and Trusted Computing, Jul 2016, Toulouse, France. pp.534 - 541, ⟨10.1109/uic-atc-scalcom-cbdcom-iop-smartworld.2016.0093⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01354837/file/article.pdf BibTex
titre
MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
article
4rd Work­shop on Vir­tu­al Pro­to­typ­ing of Par­al­lel and Em­bed­ded Sys­tems (ViPES) as part of the In­ter­na­tio­nal Con­fe­rence on Em­bed­ded Com­pu­ter Sys­tems: Ar­chi­tec­tu­res, Mo­de­ling, and Si­mu­la­ti­on (SAMOS), Jul 2016, Samos, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01347188/file/SAMOS.pdf BibTex
titre
A Scalable Design Approach to Efficiently Map Applications on CGRAs
auteur
Satyajit Das, Kevin Martin, Philippe Coussy, Thomas Peyret, Gwenolé Corre, Mathieu Thevenin
article
IEEE Computer Society Annual Symposium on VLSI, Jul 2016, Pittsburgh, United States. pp.7560275, ⟨10.1109/ISVLSI.2016.54⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01347764/file/article_SatyajitDas.pdf BibTex
titre
Génération de composant "état de santé" pour monitorer le système embarqué de véhicule autonome
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
Compas , Jul 2016, Lorient, France
Accès au bibtex
BibTex
titre
ZeFF : Une plateforme pour l’intégration d’architectures overlay dans le Cloud
auteur
Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
article
COMPAS 2016, Jul 2016, Lorient, France
Accès au bibtex
BibTex
titre
Modèle de consommation d'énergie des liens sensible au crosstalk pour les réseaux sur puces
auteur
Erwan Moréac, Johann Laurent, André Rossi, Pierre Bomel
article
COMPAS, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01449409/file/Modele_de_conso_d_energie_bit-pres_des_liens_pour_NoCs.pdf BibTex
titre
Introduction d'aléas dans le processus de projection d'applications sur CGRA
auteur
Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
article
Conférence d’informatique en Parallélisme, Architecture et Système (COMPAS 2016), Lab-STICC (UMR 6285), Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01347737/file/compas2016.pdf BibTex
titre
Modeling of the Multicriteria Decision Aiding process : methodology and practice
auteur
Tatyana Mironova, Patrick Meyer, Jacques Simonin
article
EURO 2016 : 28th European Conference on Operational Research, Jul 2016, Poznan, Poland
Accès au bibtex
BibTex
titre
Speeding Up Robot Control Software Through Seamless Integration With FPGA
auteur
Xuan Sang Le, Luc Fabresse, Jannik Laval, Jean-Christophe Le Lann, Loïc Lagadec
article
SHARC'16, Jun 2016, Brest, France
Accès au bibtex
BibTex
titre
A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
auteur
Jalil Boukhobza
article
Modélisation de la consommation d’énergie, Jun 2016, Lilles, France
Accès au bibtex
BibTex
titre
Towards risk aware NoCs for data protection in MPSoCs
auteur
Martha Johanna Sepulveda, Flórez Daniel, Ramon Fernandes, César A. M. Marcon, Guy Gogniat, Georg Sigl
article
11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2016, Jun 2016, Tallinn, Estonia
Accès au bibtex
BibTex
titre
Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01347175/file/recosoc_2016_v3.pdf BibTex
titre
Toward sub-pJ per classification in Body Area Sensor Networks
auteur
Paul Chollet, Kevin Colombier, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
article
NEWCAS 2016 : 14th IEEE International on New Circuits and Systems, Jun 2016, Vancouver, Canada. pp.1 - 4, ⟨10.1109/NEWCAS.2016.7604764⟩
Accès au bibtex
BibTex
titre
Analysis of Memory Performance: Mixed Rank Performance Across Microarchitectures
auteur
Mourad Bouache, John L. Glover, Jalil Boukhobza
article
ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 579-590, ⟨10.1007/978-3-319-46079-6_39⟩
Accès au bibtex
BibTex
titre
Considering I/O Processing in CloudSim for Performance and Energy Evaluation
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini, Erwann Kassis
article
ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 591-603, ⟨10.1007/978-3-319-46079-6_40⟩
Accès au bibtex
BibTex
titre
A Transparent home Sensors/Actuators layer for Health & Well-being services
auteur
Philippe Tanguy, Christophe Lohr, Jérôme Kerdreux
article
IoTCare 2016 : EAI (European Alliance for Innovation) International Conference on IoT and Big Data Technologies for HealthCare, Jun 2016, Budapest, Hungary. pp.29 - 35, ⟨10.1007/978-3-319-49655-9_5⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01354817/file/article.pdf BibTex
titre
Embedded and Probabilistic Health Management for the GPS of Autonomous Vehicles
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
5th Mediterranean Conference on Embedded Computing, Jun 2016, Bar, Montenegro
Accès au bibtex
BibTex
titre
Flash and the NVM team to save the data storage world!
auteur
Jalil Boukhobza
article
Journée Scientifiques de l'Université de Nantes, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
A portable approach for SoC-based Dynamic Information Flow Tracking implementations
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311045/file/2016_socsip_wahab.pdf BibTex
titre
Overlay Architectures For FPGA Resource Virtualization
auteur
Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
article
GDR SOC SIP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://hal.science/hal-01405912/file/gdr_soc-sip_2016.pdf BibTex
titre
Amélioration des performances des mémoires associatives par les réseaux à clones
auteur
Hugues Nono, Cyrille Chavet, Philippe Coussy
article
Colloque national du GdR SoC-SiP, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
Maîtrise des Correctifs de Sécurité pour les Systèmes Navals
auteur
Bastien Sultan, Fabien Dagnat, Caroline Fontaine
article
CIEL 2016 : 5ème Conférence en Ingénierie du Logiciel, Jun 2016, Besançon, France. pp.1 - 6
Accès au texte intégral et bibtex
https://hal.science/hal-01431543/file/CIEL2016_SULTAN_DAGNAT_FONTAINE.pdf BibTex
titre
Notifying Memories: a case-study on Data-Flow Applications with NoC Interfaces Implementation
auteur
Kevin Martin, Mostafa Rizk, Martha Johanna Sepulveda Florez, Jean-Philippe Diguet
article
Design Automation Conference, Jun 2016, Austin, United States. ⟨10.1145/2897937.2898051⟩
Accès au bibtex
BibTex
titre
A Process for Evaluating Parametric Models for Mechanical Systems Simulation : the Case of a Sailboat
auteur
E. Lavigne, B. Piquemal, Adeline Bourdon, Simon Chesné, Goulven Guillou, Jean-Philippe Babau
article
Sofware and Hardware Architectures for Robots Control, Jun 2016, Brest, France
Accès au bibtex
BibTex
titre
Side Channel Attacks on networks on Chip
auteur
Johanna Sepúlveda, Lilian Bossuet, Guy Gogniat, Reindbrecht Cezar, Sigl Georg
article
International CryptArchi Workshop , Jun 2016, La Grande-Motte, France
Accès au bibtex
BibTex
titre
Methodology for optimal wind vane design
auteur
Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Frédéric Hauville, Eric Senn, Coneau Raphael
article
OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. ⟨10.1109/OCEANSAP.2016.7485426⟩
Accès au bibtex
BibTex
titre
Methodology for optimal wind vane design
auteur
Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Eric Senn, Frederic Hauville, Raphael Coneau
article
OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. pp.7
Accès au texte intégral et bibtex
https://hal.science/hal-02141286/file/IRENav_OCEAN2_2016_HAUVILLE.pdf BibTex
titre
Proposal of an adaptive service providing system for a multi-user smart home
auteur
Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean Luc Philippe
article
Workshops at the Thirtieth AAAI Conference on Artificial Intelligence, Mar 2016, Phoenix, United States
Accès au bibtex
BibTex
titre
A Dynamically Reconfigurable ECC Decoder Architecture
auteur
Cyrille Chavet, Philippe Coussy, Sani Awais Hussein
article
Design Automation and Test in Europe (DATE 2016), Mar 2016, Dresden, Germany
Accès au bibtex
BibTex
titre
ImocaGen: A Model-based Code Generator for Embedded Systems Tuning
auteur
Goulven Guillou, Jean-Philippe Babau
article
4th International Conference on Model-Driven Engineering and Software Development, Feb 2016, Rome, Italy. pp.390-396, ⟨10.5220/0005804103900396⟩
Accès au bibtex
BibTex
titre
ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
article
24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
Accès au bibtex
BibTex
titre
A Cost Model for Virtual Machine Storage in Cloud IaaS Context
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), Feb 2016, Heraklion, Greece. ⟨10.1109/PDP.2016.119⟩
Accès au bibtex
BibTex
titre
Modélisation de la girouette d’un voilier : expérimentation avec LocalSolver
auteur
Audrey Cerqueus, Marc Sevaux, Hugo Kerhascoet, Johann Laurent
article
ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2016, Compiègne, France
Accès au bibtex
BibTex
titre
Dynamic NoC buffer allocation for MPSoC timing side channel attack protection
auteur
Martha Johanna Sepulveda, Daniel Florez, Mathias Soeken, Jean-Philippe Diguet, Guy Gogniat
article
IEEE 7th Latin American Symposium on Circuits & Systems, LASCAS 2016, Jan 2016, Florianopolis, Brazil
Accès au bibtex
BibTex
titre
Model Checking of SCADE Designed Systems
auteur
S Heim, Xavier Dumas, E Bonnafous, Philippe Dhaussy, C Teodorov, Lise Leroux
article
8th European Congress on Embedded Real Time Software and Systems (ERTS 2016), Jan 2016, TOULOUSE, France
Accès au texte intégral et bibtex
https://hal.science/hal-01289454/file/paper_9.pdf BibTex
titre
Adapting a Fixed Priority Assignment Algorithm to Real-time Embedded Systems with Cache Memory
auteur
Nam Hai Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
Colloque du GDR SoC-SiP, 2016, Rennes, France
Accès au bibtex
BibTex

Book sections

titre
On the Connected Spanning Cubic Subgraph Problem
auteur
Damien Massé, Reinhardt Euler, Laurent Lemarchand
article
K.A. Adiprasito, I. Bárány, C. Vilcu. Proceedings in Mathematics and Statistics, Convexity and Discrete Geometry Including Graph Theory (148), Springer, pp.109-136, 2016, Proceedings in Mathematics and Statistics, ⟨10.1007/978-3-319-28186-5_10⟩
Accès au bibtex
BibTex
titre
Proposed Algorithms to the State Explosion Problem
auteur
Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
article
Aynur Unal; Malaya Nayak; Durgesh Kumar Mishra; Dharm Singh; Joshi Amit. Smart Trends in Information Technology and Computer Communications. First International Conference, SmartCom 2016, Jaipur, India, August 6–7, 2016, Revised Selected Papers, 628, Springer, pp.211-217, 2016, Communications in Computer and Information Science book series (CCIS), 978-981-10-3433-6 (eBook); 978-981-10-3432-9 (Softcover). ⟨10.1007/978-981-10-3433-6_26⟩
Accès au bibtex
BibTex

Books

titre
Fondements de la programmation orientée objet avec Java 8
auteur
Mickaël Kerboeuf
article
Ellipses, 2016, Références sciences, 9782340-014824
Accès au bibtex
BibTex
titre
SIGBED Review, Volume 13, Number 1, January 2016
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, 13 (1), 2016, 1551-3688
Accès au bibtex
BibTex

Poster communications

titre
HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
auteur
Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
article
Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311032/file/2016_sif_nasrallah.pdf BibTex
titre
SWARMS Project : Self-Adaptive HW/SW Architecture for Unmanned Aerial Vehicles (UAVs)
auteur
Sara Zermani, Hanen Chenini, Catherine Dezan, Reinhardt Euler, Dominique Heller, Jean-Philippe Diguet, Duncan Campbell, Brendan Chen, Gilles Coppin
article
Séminaire des doctorantes et doctorants de la SIF, GDR SoC-SiP, Apr 2016, Paris, Nantes, France
Accès au bibtex
BibTex
titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat
article
HiPEAC, Jan 2016, Prague, Czech Republic. 2016
Accès au bibtex
BibTex

2015

Journal articles

titre
A Tracing Toolset for Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
article
EAI endorsed transactions on Internet of Things, 2015, 15 (4), pp.e1. ⟨10.4108/icst.valuetools.2014.258179⟩
Accès au bibtex
BibTex
titre
Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
auteur
Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
article
Journal of Signal Processing Systems, 2015
Accès au bibtex
BibTex
titre
An MDE Approach for Rapid Prototyping and Implementation of Dynamic Reconfigurable Systems
auteur
Gilberto Ochoa Ruiz, Guillet Sébastien, Florent de Lamotte, Eric Rutten, El-Bay Bourennane, Jean-Philippe Diguet, Guy Gogniat
article
ACM Transactions on Design Automation of Electronic Systems, 2015, Vol. 21 Issue 1, Article No. 8, Nov. 2015 ., 21 (1), pp.Article No. 8
Accès au bibtex
BibTex
titre
Recontextualisation de modèles par graphes de dépendance
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2015
Accès au bibtex
BibTex
titre
Disposable configuration of remotely reconfigurable systems
auteur
Lilian Bossuet, Viktor Fischer, Lubos Gaspar, Lionel Torres, Guy Gogniat
article
Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39 (6), pp.382-392. ⟨10.1016/j.micpro.2015.05.007⟩
Accès au bibtex
BibTex
titre
A Multi-Band Stacked RF Energy Harvester With RF-to-DC Efficiency Up to 84%
auteur
Véronique Kuhn, Christian Person, Fabrice Seguin, Cyril Lahuec
article
IEEE Transactions on Microwave Theory and Techniques, 2015, 63 (5), pp.1 - 11. ⟨10.1109/TMTT.2015.2416233⟩
Accès au bibtex
BibTex
titre
Fully Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
auteur
Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Laura Conde-Canencia
article
ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-23. ⟨10.1145/2629510⟩
Accès au bibtex
BibTex
titre
Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible Models
auteur
Jeffrey Krichmar, Philippe Coussy, Nikil Dutt
article
ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-18. ⟨10.1145/2629509⟩
Accès au bibtex
BibTex
titre
NoC-Based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
article
IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
Accès au bibtex
BibTex
titre
MaCACH: An adaptive cache-aware hybrid FTL mapping scheme using feedback control for efficient page-mapped space management
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini, Laurent Lemarchand, Yassine Hadjadj-Aoul, Arezki Laga
article
Journal of Systems Architecture, 2015, 61 (3-4), pp.157-171. ⟨10.1016/j.sysarc.2015.03.001⟩
Accès au bibtex
BibTex
titre
xAAL: A Distributed Infrastructure for Heterogeneous Ambient Devices
auteur
Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
article
Journal of intelligent systems, 2015, 24 (3), pp.321 - 331. ⟨10.1515/jisys-2014-0144⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01187869/file/article-2.pdf BibTex
titre
NoC-based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Guy Gogniat, Marius Strum
article
IEEE Embedded Systems Letters, 2015, 7 (1), pp.DOI:10.1109/LES.2014.2384744
Accès au bibtex
BibTex
titre
A Modeling Approach for Marine Observatory
auteur
Charbel Geryes Aoun, Iyas Alloush, Yvon Kermarrec, Joël Champeau, Oussama Kassem Zein
article
Sensors & Transducers., 2015, Sensors & Transducers Journal, 185 (2), pp.129-139
Accès au bibtex
BibTex
titre
Énergie et puissance dans les systèmes embarqués
auteur
Nathalie Julien
article
Les Techniques de l'Ingenieur, 2015
Accès au bibtex
BibTex
titre
A Methodology for Performance/Energy Consumption Characterization and Modeling of Video Decoding on Heterogeneous SoC and its Applications
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
article
Journal of Systems Architecture, 2015, Volume 61 (Issue 1), pp.Pages 49-70. ⟨10.1016/j.sysarc.2014.11.003⟩
Accès au bibtex
BibTex
titre
Model-Based Design of Real-Time Embedded Application Reconfiguration
auteur
Mouna Ben Said, Yessine Hadj Kacem, Nader Ben Amor, Mickaël Kerboeuf, Mohamed Abid
article
Lecture Notes in Electrical Engineering, Springer, 2015, Languages, Design Methods, and Tools for Electronic System Design, 311, ⟨10.1007/978-3-319-06317-1_13⟩
Accès au bibtex
BibTex
titre
A Model-driven Approach for the Generation of Customizable Model Migrations
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
Communications in Computer and Information Science, 2015, Model-Driven Engineering and Software Development: Third International Conference, MODELSWARD 2015, Angers, France, February 9-11, 2015, Revised Selected Papers, 580, pp.67--81. ⟨10.1007/978-3-319-27869-8_4⟩
Accès au bibtex
BibTex
titre
Design Pattern for self-adaptive RTE systems monitoring
auteur
Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf
article
Studies in Computational Intelligence, 2015, Software Engineering Research, Management and Applications, 578, ⟨10.1007/978-3-319-11265-7_3⟩
Accès au bibtex
BibTex
titre
Activity Monitoring Process based on Model-Driven Engineering - Application to Ambient Assisted Living
auteur
Jacques Simonin, Julie Soulas, Philippe Lenca
article
Journal of intelligent systems, 2015, 24 (3), pp.371 - 382. ⟨10.1515/jisys-2014-0143⟩
Accès au bibtex
BibTex
titre
Modeling the geometry and dynamics of the Endoplasmic Reticulum network
auteur
Congping Lin, Laurent Lemarchand, Reinhardt Euler, Imogen Sparkes
article
IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2015, http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7006715&url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel7%2F8857%2F4359833%2F07006715.pdf%3Farnumber%3D7006715. ⟨10.1109/TCBB.2015.2389226⟩
Accès au bibtex
BibTex

Conference papers

titre
Architecture Exploration of Real-time Systems Based on Multi-Objective Optimization
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
article
20th International Conference on Engineering of Complex Computer Systems (ICECCS 2015), Dec 2015, Golden Coast, Australia
Accès au bibtex
BibTex
titre
Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
auteur
Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01273192/file/reconfig-2015.pdf BibTex
titre
Embedded Health Management for Autonomous UAV Mission
auteur
Catherine Dezan
article
QUT Robotics seminar , Nov 2015, Brisbane, Australia
Accès au bibtex
BibTex
titre
Design Space Exploration and High Level Synthesis
auteur
Philippe Coussy
article
International Workshop on HW/SW co-development, Nov 2015, Toulouse, France
Accès au bibtex
BibTex
titre
Testing Algorithm For Large P-median Problems In Heterogenous Road Networks
auteur
Pascal Rebreyend, Laurent Lemarchand
article
INFORMS, Nov 2015, Philadelphia, United States
Accès au bibtex
BibTex
titre
Relevance of impedance spectroscopy for the monitoring of implant-induced fibrosis: A preliminary study
auteur
Noëlle Lewis, Cyril Lahuec, S Renaud, Eric Mcadams, Paco Bogonez-Franco, Claire Lethias, Sabrina Kellouche, F Carreiras, Andrea Pinna, Aymeric Histace, Michel Boissière, Emmanuel Pauthe, I Lagroye, Fabien Soulier, Serge Bernard, S Binczak, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Olivier Romain
article
BIOCAS: Biomedical Circuits and Systems, Oct 2015, Atlanta, United States. ⟨10.1109/BioCAS.2015.7348399⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01246840/file/BioCAS_2015_subm_corr.pdf BibTex
titre
Compact Interconnect Approach for Networks of Neural Cliques Using 3D Technology
auteur
Bartosz Boguslawski, Sarhan Hossam, Fabrice Seguin, Frédéric Heitzmann, Sebastien Thuries, Olivier Billoint, Fabien Clermidy
article
VLSI-Soc 2015 : IFIP/IEEE International Conference on Very Large Scale Integration, Oct 2015, Daejeon, Corée du Sud. pp.116 - 121, ⟨10.1109/VLSI-SoC.2015.7314402⟩
Accès au bibtex
BibTex
titre
Blending Process Assessment and Employees Competencies Assessment in Very Small Entities
auteur
Vincent Ribaud, Rory V O 'Connor
article
22nd European Conference on Systems, Software and Services Process Improvement (EuroSPI 2015), Sep 2015, Ankara, Turkey. pp.206 - 219, ⟨10.1007/978-3-319-24647-5_17⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01450744/file/Ribaud_BlendingProcessAssessmentAndEmployeesCompetenciesAssessmentInVerySmallEntities.pdf BibTex
titre
Relating ICT Competencies with Personality Types
auteur
Vincent Ribaud, Philippe Saliou
article
EuroSPI 2015, Sep 2015, Ankara, Turkey. pp.1-8, ⟨10.1007/978-3-319-24647-5_24⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01197473/file/SpringerFinal_371133_1_En_24_Chapter_Author.pdf BibTex
titre
Improving Reuse by means of Asymmetrical Model Migrations: An Application to the Orcc Case Study
auteur
Paola Vallejo, Mickaël Kerboeuf, Kevin Martin, Jean-Philippe Babau
article
2015 ACM/IEEE 18th International Conference on Model Driven Engineering Languages and Systems (MODELS), Sep 2015, Ottawa, Canada
Accès au bibtex
BibTex
titre
Context-Aware Verification of a Cruise-Control System
auteur
Ciprian Teodorov, Luka Leroux, Philippe Dhaussy
article
MEDI 2014, Sep 2015, Larnaca, Cyprus. pp.53-64, ⟨10.1007/978-3-319-11587-0_7⟩
Accès au bibtex
BibTex
titre
Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
auteur
Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
article
Colloque National du GRETSI, Lyon, France, september 2015, Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
auteur
Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
article
Colloque National du GRETSI, Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Addressing Cache Related Preemption Delay in Fixed Priority Assignment
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
20th IEEE International Conference on Emerging Technologies and Factory Automation, Sep 2015, Luxembourg, France
Accès au bibtex
BibTex
titre
Réseaux de Clusters de Neurones Restreints
auteur
Robin Danilo, Philippe Coussy, Laura Conde Canencia
article
Colloque sur le Traitement du Signal et de l'Image (GRETSI), Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Relational and graph queries over a transition system
auteur
Siham Rim Boudaoud, Khaoula Es-Salhi, Vincent Ribaud, Ciprian Teodorov
article
International Conference on Computer as a Tool (EUROCON 2015), Sep 2015, Salamanque, Spain. pp.1-6, ⟨10.1109/EUROCON.2015.7313738⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01203662/file/RelationalAndGraphQueriesOverATransitionSystem.pdf BibTex
titre
Matching network improvement for RF energy harvesters in Body Sensor Area Network context
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
EuMC 2015 : 45th European Microwave Conference, Sep 2015, Paris, France. pp.303 - 306, ⟨10.1109/EuMC.2015.7345760⟩
Accès au bibtex
BibTex
titre
KriQL: a query language for the diagnosis of transition systems
auteur
Khaoula Es-Salhi, Siham Rim Boudaoud, Ciprian Teodorov, Zoé Drey, Vincent Ribaud
article
15th International Workshop on Automated Verification of Critical Systems - AVOCS'15, Sep 2015, Edimburgh, United Kingdom. pp.151-165
Accès au texte intégral et bibtex
https://hal.science/hal-01203649/file/KriQL_%20a%20query%20language%20for%20labelled%20transition%20systems.pdf BibTex
titre
Semantics based analysis of botnet activity from heterogeneous data sources
auteur
Santiago Ruano Rincon, Sandrine Vaton, Antoine Beugnard, Serge Garlatti
article
IWCMC 2015 : 11th International Wireless Communications & Mobile Computing Conference - TRAC Workshop : Traffic Analysis and Characterization, Aug 2015, Dubrovnik, Croatia
Accès au texte intégral et bibtex
https://hal.science/hal-01162734/file/paper-botnet-case-study-TB-publishable.pdf BibTex
titre
Teaching Real-Time Scheduling Analysis with Cheddar
auteur
Frank Singhoff, Alain Plantec, Stéphane Rubini, Hai-Nam Tran, Vincent Gaudel, Jalil Boukhobza, Laurent Lemarchand, Shuai Li, Etienne Borde, Laurent Pautet, Jerome Hugues, Pierre Dissaux, Jérôme Legrand, Christian Fotsing, Blandine Djika
article
9ème édition de l’Ecole d’Eté « Temps Réel », Aug 2015, Rennes, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01166136/file/etr.pdf BibTex
titre
A Meta Model Supporting both Hardware and Smalltalk-based Execution of FPGA Circuits
auteur
Xuan Sang Le, Loic Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
article
IWST 2015, ESUG, Jul 2015, Bressia, Italy. ⟨10.1145/2811237.2811296⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01179466/file/IWST_2015_submission_6%20%281%29.pdf BibTex
titre
Design of analog subthreshold encoded neural network circuit in sub-100nm CMOS
auteur
Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
article
IJCNN 2015 : IEEE International Joint Conference on Neural Networks, Jul 2015, Killarney, Ireland. pp.1 - 7, ⟨10.1109/IJCNN.2015.7280672⟩
Accès au bibtex
BibTex
titre
Communication-aware Parallelization Strategies for High Performance Applications.
auteur
Imran Ashraf, Nader Khammassi, Koen Bertels, Jean-Christophe Le Lann
article
ISVLSI'15 - IEEE Computer Society Annual Symposium on VLSI, Jul 2015, Montpellier, France
Accès au bibtex
BibTex
titre
Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
auteur
Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
Accès au bibtex
BibTex
titre
FFSMark : Un Benchmark pour Systèmes de Fichiers Dédiés aux Mémoires Flash
auteur
Pierre Olivier, Jalil Boukhobza
article
Conférence d’informatique en Parallélisme, Architecture et Système 2015, Jun 2015, Lille, France. pp.10
Accès au texte intégral et bibtex
https://hal.science/hal-01166979/file/papier.pdf BibTex
titre
COMPA backend : Runtime dynamique pour l’exécution de programmes flot de données sur plates-formes multiprocesseurs
auteur
Yaset Oliva, Emmanuel Casseau, Kevin Martin, Jean-Philippe Diguet, Thanh Dinh Ngo, Yvan Eustache
article
COMPAS 2015 : - Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France. pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-01167037/file/COMPA_COMPAS15-proceedings.pdf BibTex
titre
IMOCA : une architecture à base de modes de fonctionnement pour les systèmes de contrôle processus
auteur
Goulven Guillou, Jean-Philippe Babau
article
10th National Conference of Control Architectures of Robot (CAR'15), Jun 2015, Lyon, France
Accès au bibtex
BibTex
titre
Score distribution as a tool to reveal group dynamics in student projects?
auteur
Claire Lassudrie, Marie-Pierre Adam, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Sylvie Kerouedan, Myriam Le Goff-Pronost, Michel Morvan, Bruno Vinouze, Didier Baux
article
SEFI 2015 : Annual Conference of the European Society for Engineering Education, Jun 2015, Orléans, France. pp.111 - 111
Accès au texte intégral et bibtex
https://hal.science/hal-01185711/file/SEFI2015_VFinale.pdf BibTex
titre
Reconfigurable Security Architecture for disrupted protection zones in NoC−Based MPSoCs
auteur
Guy Gogniat, Martha Johanna Sepulveda, Flórez Daniel
article
10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2015), Jun 2015, Bremen, Germany
Accès au bibtex
BibTex
titre
FPGA Implementation of Bayesian Network Inference for an Embedded Diagnosis
auteur
Sara Zermani, Catherine Dezan, Hanen Chenini, Jean-Philippe Diguet, Reinhardt Euler
article
IEEE Conference on Prognostics and Health Management (PHM), Jun 2015, Austin, Texas, United States
Accès au bibtex
BibTex
titre
Analyse d'une formation à la conduite de projets selon une grille de maturité de processus
auteur
Marie-Pierre Adam, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Myriam Le Goff-Pronost, Michel Morvan, Bruno Vinouze, Didier Baux
article
QPES 2015 : Colloque Questions de pédagogies dans l'enseignement supérieur. Innover : pourquoi et comment ?, Jun 2015, Brest, France. pp.125-130
Accès au bibtex
BibTex
titre
Un exemple de dispositif constructiviste en Licence 2 Informatique
auteur
Lily Blanleuil, Amandine Grosjean, Pierre Laot, Jean-Baptiste Lauté, Jimmy Tournemaine, Vincent Ribaud
article
Questions de Pédagogie dans l’Enseignement Supérieur, Jun 2015, Brest, France. pp.802-808
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01167366/file/Un-exemple-de-dispositif-constructiviste-en-Licence-2-Informatique-Lily-Blanleuil.pdf BibTex
titre
Bayesian Network-Based Framework for the Design of Reconfigurable Health Management Monitors
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
article
NASA/ESA Conf. on Adaptive Hardware and Systems (AHS), Jun 2015, Montreal, Canada
Accès au bibtex
BibTex
titre
Process Assessment Issues in a Bachelor Capstone Project
auteur
Vincent Ribaud, Alexandre Bescond, Matthieu Gourvenec, Joël Gueguen, Victorien Lamour, Alexandre Levieux, Thomas Parvillers, Rory O'Connor
article
Software Process Education, Training and Professionalism, Jun 2015, Göteborg, Sweden. pp.25-33
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01167361/file/paper4.pdf BibTex
titre
Role Framework to Support Collaborative Virtual Prototyping of System of Systems
auteur
Jean-Philippe Schneider, Joël Champeau, Loïc Lagadec, Eric Senn
article
WETICE 2015, IEEE, Jun 2015, Larnaca, Cyprus
Accès au bibtex
BibTex
titre
Real-Time Design Patterns: Architectural Designs for Automatic Semi-Partitioned and Global Scheduling
auteur
Magdich Amina, Yessine Hadj Kacem, Adel Mahfoudhi, Mickaël Kerboeuf, Mohamed Abid
article
Enterprise, Business-Process and Information Systems Modeling, Jun 2015, Stockholm, France. pp.447--460, ⟨10.1007/978-3-319-19237-6_28⟩
Accès au bibtex
BibTex
titre
A Formal, Model-driven Design Flow for System Simulation and Multi-core Implementation
auteur
Papa Issa Diallo, Seyed-Hosein Attarzadeh-Niaki, Francesco Robino, Ingo Sander, Joel Champeau, Johnny Oberg
article
SIES 2015, University of Siegen, Jun 2015, Siegen, Germany
Accès au bibtex
BibTex
titre
Des capteurs autonomes grâce à la récupération d'énergie RF large-bande
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
JNM 2015 : Journées Nationales Micro-Ondes, Jun 2015, Bordeaux, France. pp.1 - 4
Accès au bibtex
BibTex
titre
Improving Storage of Patterns in Recurrent Neural Networks: Clones Based Model and Architecture
auteur
Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
article
IEEE Int'l Symposium on Circuits & Systems (ISCAS), May 2015, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
Improving Storage of Patterns in Recurrent Neural Networks: Clone-Based Model and Architecture
auteur
Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
article
IEEE International Symposium on Circuits and Systems (ISCAS) 2015, May 2015, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
Model-Driven Integration and Analysis of Access-control Policies in Multi-layer Information Systems
auteur
Salvador Martínez, Joaquin Garcia-Alfaro, Frédéric Cuppens, Nora Cuppens-Bouhlahia, Jordi Cabot
article
30th IFIP International Information Security Conference (SEC), May 2015, Hamburg, Germany. pp.218-233, ⟨10.1007/978-3-319-18467-8_15⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01152528/file/SEC2015.pdf BibTex
titre
Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
auteur
Robin Danilo, Hooman Jarollahi, Vincent Gripon, P Coussy, Laura Conde-Canencia, Warren J. Gross
article
2015 International Symposium on Circuits and Systems (ISCAS 2015), May 2015, Lisbonne, Portugal. pp.2501 - 2504, ⟨10.1109/ISCAS.2015.7169193⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02116052/file/Danilo2015.pdf BibTex
titre
Improving storage of patterns in recurrent neural networks: Clone-based model and architecture
auteur
Hugues Wouafo, Cyrille Chavet, Philippe Coussy
article
2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.577-580, ⟨10.1109/ISCAS.2015.7168699⟩
Accès au bibtex
BibTex
titre
Algorithm and implementation of an associative memory for oriented edge detection using improved clustered neural networks
auteur
Robin Danilo, Hooman Jarollahi, Vincent Gripon, Philippe Coussy, Laura Conde-Canencia, Warren Gross
article
2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.2501-2504, ⟨10.1109/ISCAS.2015.7169193⟩
Accès au bibtex
BibTex
titre
Restricted Clustered Neural Network for Storing Real Data
auteur
Robin Danilo, Philippe Coussy, Laura Conde-Canencia, Vincent Gripon, Warren Gross
article
the 25th edition, May 2015, Pittsburgh, France. pp.205-210, ⟨10.1145/2742060.2743767⟩
Accès au bibtex
BibTex
titre
Embedded Real-Time Localization of UAV based on an Hybrid Device
auteur
Hanen Chenini, Dominique Heller, Catherine Dezan, Jean-Philippe Diguet, Duncan Campbell
article
40th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) 2015, IEEE, Apr 2015, Brisbane, Australia
Accès au bibtex
BibTex
titre
A Role Language to Interpret Multi-Formalism System of Systems Models
auteur
Jean-Philippe Schneider, Joël Champeau, Ciprian Teodorov, Eric Senn, Loic Lagadec
article
Syscon 2015, IEEE, Apr 2015, Vancouver, Canada
Accès au bibtex
BibTex
titre
A Computational Comparison of Different Algorithms for Very Large p -median Problems
auteur
Pascal Rebreyend, Laurent Lemarchand, Reinhardt Euler
article
EvoCOP 2015 - The 15th European Conference on Evolutionary Computation in Combinatorial Optimisation, Apr 2015, Copenhagen, Denmark
Accès au bibtex
BibTex
titre
Improving Storage of Patterns in Binary Cluster-Based Neural Networks: Clone-based Model and Architecture
auteur
Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
article
Internationnal workshop on Neural Coding, co-located with DATE Conference 2015, Mar 2015, Grenoble, France
Accès au bibtex
BibTex
titre
In-place memory mapping approach for optimized parallel hardware interleaver architectures
auteur
Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
article
Design Automation and Test in Europe (DATE 2015), Mar 2015, Grenoble, France
Accès au bibtex
BibTex
titre
In-Place Memory Mapping Approach for Optimized Parallel Hardware Interleaver Architectures
auteur
Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy, Awais Sani
article
Design, Automation and Test in Europe, Mar 2015, Grenoble, France. pp.896-899, ⟨10.7873/DATE.2015.1055⟩
Accès au bibtex
BibTex
titre
Towards a Meta-Language for the Concurrency Concern in DSLs
auteur
Julien Deantoni, Papa Issa Diallo, Ciprian Teodorov, Joël Champeau, Benoit Combemale
article
Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar 2015, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01087442/file/date2015_moccml.pdf BibTex
titre
A Model Driven Approach for Telecommunication Service Creation Environments Relying on Enterprise Architecture
auteur
Iyas Alloush, Vanea Chiprianov, Jacques Simonin, Siegfried Rouvrais, Yvon Kermarrec
article
ICIN 2015 : 18th International Conference on Intelligence in Next Generation Networks, Feb 2015, Paris, France. pp.151 - 153, ⟨10.1109/ICIN.2015.7073824⟩
Accès au bibtex
BibTex
titre
Cycle-based model to evaluate consistency protocols within a multi-protocol compilation tool-chain
auteur
H. Chaker, L. Cudennec, S. Dahmani, G. Gogniat, M.J. Sepúlveda
article
COSMIC '15 Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, Feb 2015, San Francisco Bay Area, United States. ⟨10.1145/2723772.2723779⟩
Accès au bibtex
BibTex
titre
Specification of Adaptable Model Migrations
auteur
Paola Vallejo, Mickael Kerboeuf, Jean-Philippe Babau
article
MODELSWARD 2015 - Proceedings of the 3rd International Conference on Model-Driven Engineering and Software Development, Feb 2015, Angers, France
Accès au bibtex
BibTex
titre
A trace-driven approach for fast and accurate simulation of manycore architectures
auteur
Anastasiia Butko, Rafael Garibotti, Luciano Ost, Chris Adeniyi-Jones, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli
article
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2015, Chiba, Tokyo, Japan. pp.707-712, ⟨10.1109/ASPDAC.2015.7059093⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01255921/file/PID3487621_v3.pdf BibTex

Habilitation à diriger des recherches

titre
Contribution au domaine de la conception des Systèmes Embarqués et Pervasifs Faible Consommation
auteur
Johann Laurent
article
Electronique. Université de Bretagne Sud, 2015
Accès au texte intégral et bibtex
https://hal.science/tel-01229253/file/HDR_Johann_Laurent.pdf BibTex

Patents

titre
Dispositif de conversion d'energie radiofréquence en courant continu et capteur correspondant
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
France, N° de brevet: FR3017752. 14506. 2015
Accès au bibtex
BibTex
titre
Procédé et dispositif d'architecture configurable à gros grains pour exécuter l'intégralité d'un code
auteur
Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Kevin Martin, Philippe Coussy
article
France, N° de brevet: FR1460631. 2015
Accès au bibtex
BibTex
titre
Procédé et dispositif de tolérance aux fautes sur des composants électroniques
auteur
Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Philippe Coussy, Kevin Martin
article
France, N° de brevet: FR1460633. 2015
Accès au bibtex
BibTex

Poster communications

titre
Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
auteur
Robin Danilo, Philippe Coussy, Laura Conde Canencia
article
Colloque national du GdR BioCOmp, Oct 2015, Saint Paul de Vence, France
Accès au bibtex
BibTex
titre
Compa backend: a Dynamic Runtime for the execution of dataflow programs onto multi-core platforms
auteur
Kevin Martin, Jean-Philippe Diguet, Yvan Eustache, Thanh Dinh Ngo, Emmanuel Casseau, Yaset Oliva
article
Conference on Design & Architectures for Signal & Image Processing, Demo Night, Sep 2015, Cracow, Poland. 2015
Accès au bibtex
BibTex
titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
article
CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01252597/file/ches_abstract.pdf BibTex
titre
Réseaux de clusters de neurones restreints
auteur
Robin Danilo, Vincent Gripon, Philippe Coussy, Laura Conde Canencia
article
GRETSI 2015 : 25ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2015, Lyon, France. pp.1 - 5
Accès au bibtex
BibTex
titre
Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
auteur
Robin Danilo, Hooman Jarollahi, Philippe Coussy, Vincent Gripon, Laura Conde Canencia
article
International Workshop on Neuromorphic and Brain-Based Computing Systems (Neucomp), Mar 2015, Grenoble, France
Accès au bibtex
BibTex

Reports

titre
Formal framework of recontextualization by means of dependency graphs
auteur
Mickaël Kerboeuf, Paola Vallejo, Jean-Philippe Babau
article
[Research Report] Lab-STICC_UBO_CACS_MOCS. 2015
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01140107/file/ModifKeysGraph.pdf BibTex

Preprints, Working Papers, ...

titre
Phadeo : un environnement pour FPGA virtuel
auteur
Sebastián Tleye, Ciprian Teodorov, Erwan Fabiani, Loic Lagadec
article
2015
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01179474/file/compas2015.pdf BibTex

2014

Journal articles

titre
Analog Encoded Neural Network for Power Management in MPSoC
auteur
Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
article
Analog Integrated Circuits and Signal Processing, 2014, 81 (3), pp.595 - 605. ⟨10.1007/s10470-014-0420-z⟩
Accès au bibtex
BibTex
titre
Enhancing RF-to-DC conversion efficiency of wideband RF energy harvesters using multi-tone optimization technique
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
International Journal of Microwave and Wireless Technologies, 2014, pp.1 - 11
Accès au bibtex
BibTex
titre
Soft Error Detection and Correction Technique for Radiation Hardening Based on C-element and BICS
auteur
Daniel Gomez Toro, Matthieu Arzel, Fabrice Seguin, Michel Jezequel
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2014, 61 (12), pp.952 - 956
Accès au bibtex
BibTex
titre
IMOCA : une architecture à base de modes de fonctionnement pour les systèmes de contrôle de processus
auteur
Goulven Guillou, Jean-Philippe Babau
article
Revue des Nouvelles Technologies de l'Information, 2014, Avancées récentes dans le domaine des Architectures Logicielles, RNTI-L-7, pp.147-164
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102839/file/39.pdf BibTex
titre
Fully-Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
auteur
Philippe Coussy, Cyrille Chavet, Laura Conde Canencia, Hugues Nono Wouafo
article
ACM Journal on Emerging Technologies in Computing Systems, 2014, pp.xx-yy
Accès au bibtex
BibTex
titre
Design Patterns for Self-Adaptive RTE Systems Specification
auteur
Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf, Nader Ben Amor, Mohamed Abid
article
International Journal of Reconfigurable Computing, 2014, 2014, 2014, Article ID 536362, 21 p. ⟨10.1155/2014/536362⟩
Accès au bibtex
BibTex
titre
Extending Enterprise Architecture Modeling Languages for Domain Specificity and Collaboration: Application to Telecommunications Service Design
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais, Jacques Simonin
article
Software and Systems Modeling, 2014, 13 (3), pp.963 - 974. ⟨10.1007/s10270-012-0298-0⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00783546/file/CollaborativeEMv12.pdf BibTex
titre
RTOS-Aware Refactoring for Portable Real-Time Design Models
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
Journal of Software, 2014, 9 (7), pp.1737-1748. ⟨10.4304/jsw.9.7.1737-1748⟩
Accès au bibtex
BibTex
titre
Vérification formelle de propriétés : Application de l'outil OBP au cas d'étude CCS
auteur
Philippe Dhaussy, Luka Le Roux, Ciprian Teodorov
article
Génie logiciel : le magazine de l'ingénierie du logiciel et des systèmes, 2014, 109
Accès au bibtex
BibTex
titre
Transformation de modèles UML vers Fiacre, via les langages intermédiaires tUML et ABCD
auteur
Frédéric Jouault, Ciprian Teodorov, Jérôme Delatour, Luka Le Roux, Philippe Dhaussy
article
Génie logiciel : le magazine de l'ingénierie du logiciel et des systèmes, 2014, 109
Accès au bibtex
BibTex
titre
A Scalable and Highly Configurable Cache-Aware Hybrid Flash Translation Layer
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
Computers, 2014, 3 (1), pp.36-57. ⟨10.3390/computers3010036⟩
Accès au bibtex
BibTex
titre
Model-Driven Toolset for Embedded Reconfigurable Cores: Flexible Prototyping and Software-like Debugging
auteur
Loic Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Damien Picard, Erwan Fabiani
article
Science of Computer Programming, 2014, pp.1. ⟨10.1016/j.scico.2014.02.015⟩
Accès au bibtex
BibTex
titre
Méthode automatisée de conception de scénarios d'évolution organisationnelle fondée sur les processus et les buts
auteur
Jacques Simonin, Selmin Nurcan, Judith Barrios
article
Revue des Sciences et Technologies de l'Information - Série ISI : Ingénierie des Systèmes d'Information, 2014, 19 (2), pp.9 - 33. ⟨10.3166/isi.19.2.9-33⟩
Accès au bibtex
BibTex
titre
DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
article
ACM SIGBED Review, 2014, Special Interest Group on Embedded Systems, 11 (1), pp.56-61
Accès au bibtex
BibTex
titre
Flashmon V2: monitoring raw NAND flash memory I/O requests on embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
ACM SIGBED Review, 2014, 11 (1), pp.38-43. ⟨10.1145/2597457.2597462⟩
Accès au bibtex
BibTex
titre
Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
auteur
Pascal Cotret, Guy Gogniat
article
Techniques de l'Ingénieur, 2014, Référence IN175 - 10 p
Accès au bibtex
BibTex
titre
Scheduling Analysis from Architectural Models of Embedded Multi-Processor Systems
auteur
Stéphane Rubini, Christian Fotsing, Frank Singhoff, Hai-Nam Tran, Pierre Dissaux
article
ACM SIGBED Review, 2014, 11 (1)
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00983407/file/ewili.pdf BibTex
titre
Composition of Design Pattern : from the modeling of RTOS synchronization tools to schedulability analysis
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
ACM SIGBED Review, 2014, 11 (1), pp.44-49
Accès au bibtex
BibTex
titre
Extending UML/MARTE to support Discrete Controller Synthesis, application to Reconfigurable Systems-on-Chip modeling
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2014, 7 (3), pp.17. ⟨10.1145/2629628⟩
Accès au bibtex
BibTex

Conference papers

titre
Techniques and Challenges for Trace Processing from a Model-Checking Perspective
auteur
Vincent Ribaud, Ciprian Teodorov, Zoé Drey, Luka Leroux, Philippe Dhaussy
article
International Joint Conferences on Computer, Information, Systems Sciences, & Engineering - CISSE 2014, University of Bridgeport, Dec 2014, Bridgeport, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01119571/file/Techniques%20and%20Challenges%20for%20Trace%20Processing%20from%20a%20Model-checking%20Perspective.pdf BibTex
titre
A Tracing Toolset for Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
article
8th International Conference on Performance Evaluation Methodologies and Tools, Dec 2014, Bratislava, Slovakia
Accès au bibtex
BibTex
titre
3D-LeukoNoC: A Dynamic TSV-Based 3D-MPSoC Protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Sepulveda Daniel, Jean-Philippe Diguet, Marius Strum
article
International Conference on Reconfigurable Computing and FPGAs (Reconfig), Dec 2014, Cancun, Mexico
Accès au bibtex
BibTex
titre
A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
auteur
Mickael Lanoe, Bordin Matteo, Dominique Heller, Cyrille Chavet, Philippe Coussy
article
21st IEEE International Conference on Electronics Circuits and Systems, Dec 2014, Marseille, France
Accès au bibtex
BibTex
titre
A Multilevel I/O Tracer for Timing and Performance Analysis of Storage Systems in IaaS Cloud
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
3rd IEEE Real-Time and Distributed Computing in Emerging Applications (REACTION ), Dec 2014, Rome, Italy
Accès au bibtex
BibTex
titre
Domain Specific Modeling Language for Object Localization in Marine Observatories
auteur
Charbel Geryes Aoun, Iyas Alloush, Yvon Kermarrec, Oussama Kassem Zein, Joël Champeau
article
SENSORCOMM 2014, IARIA, Nov 2014, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
On the Energy Efficiency of Parallel Multi-core vs Hardware Accelerated HD Video Decoding
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
EWiLi, the Embedded Operating Systems Workshop, Nov 2014, Lisbon, Portugal
Accès au bibtex
BibTex
titre
Read-ahead Efficiency for Raw NAND Flash Storage in Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
EWiLi the Embedde operating Systems Workshop, Nov 2014, Lisbon, Portugal
Accès au bibtex
BibTex
titre
Elastic Security Zones for NoC-Based 3D-MPSoCs
auteur
Martha Johanna Sepulveda, Guy Gogniat, Marius Strum, Marius Zeferino, Daniel Florez, Jean-Philippe Diguet
article
21st IEEE International Conference on Electronics Circuits and Systems, Nov 2014, Marseille, France
Accès au bibtex
BibTex
titre
What can Emerging Hardware do for your DBMS Buffer ?
auteur
Cheikh Salmi, Nacef Abdelhakim, Ladjel Bellatreche, Jalil Boukhobza
article
Proc. 17th International Workshop on Data Warehousing and OLAP (DOLAP 2014), Nov 2014, Shanghai, China. ⟨10.1145/2666158.2666181⟩
Accès au bibtex
BibTex
titre
Modélisation de la vision inspirée du vivant
auteur
Philippe Coussy, Cyrille Chavet
article
Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
Accès au bibtex
BibTex
titre
Modélisation de la vision inspirée du vivant
auteur
Cyrille Chavet, Philippe Coussy
article
Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
Accès au bibtex
BibTex
titre
In Vehicle Communication Networks : A Power Line Communication Study and Demonstrator for Infotainment Applications
auteur
Fabienne Nouvel, Philippe Tanguy
article
ICSNC 2014, IARIA, Oct 2014, NICE, France
Accès au bibtex
BibTex
titre
Architecture Models Refinement for Fine Grain Timing Analysis of Embedded Systems
auteur
Etienne Borde, Smail Rahmoun, Fabien Cadoret, Laurent Pautet, Frank Singhoff, Pierre Dissaux
article
IEEE International Symposium on Rapid System Prototyping, Oct 2014, New Dehli, India
Accès au bibtex
BibTex
titre
IMOCA: a Model-Based Code Generator for the Development of Multi-Platform Marine Embedded Systems
auteur
Goulven Guillou, Jean-Philippe Babau
article
MOQESM'14. International Conference of Quantitative Monitoring of Underwater Environment, Oct 2014, Brest, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102862/file/stw14.pdf BibTex
titre
Communication-model based Embedded Mapping of Dataflow Actors on Heterogeneous MPSoC
auteur
Thanh Dinh Ngo, Sepulveda Daniel, Kevin Martin, Jean-Philippe Diguet
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2014, Madrid, France
Accès au bibtex
BibTex
titre
Dataflow program implementation onto a heterogeneous multiprocessor platform
auteur
Kevin Martin, Jean-Philippe Diguet, Emmanuel Casseau, Yaset Oliva
article
METODO, Oct 2014, Madrid, France
Accès au texte intégral et bibtex
https://hal.science/hal-01075481/file/metodo14.pdf BibTex
titre
Trusted Computing using Enhanced Manycore Architectures with Cryptoprocessors
auteur
C Mancillas López, M Méndez Real, L Bossuet, G Gogniat, V Fischer, A Baganne
article
22nd IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Oct 2014, http://www.vlsi-soc.com/, France
Accès au texte intégral et bibtex
https://hal.science/hal-01075919/file/VLSI-SOC%20TSUNAMY.pdf BibTex
titre
AADLv2, An Architecture Description Language for the Analysis and Generation of Embedded Systems
auteur
Jérôme Hugues, Frank Singhoff
article
Half day tutorial presented in the ACM HILT conference, Oct 2014, Portland, United States
Accès au bibtex
BibTex
titre
CdmCL, a Specific Textual Constraint Language for Common Data Model
auteur
Ahmed Ahmed, Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
International Workshop on OCL and Textual Modelling, co-located with 17th International Conference on Model Driven Engineering Languages and Systems (MODELS 2014), Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors
auteur
Safae Dahmani, Loïc Cudennec, Stéphane Rémi Louise, Guy Gogniat
article
IEEE 8th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-14), Sep 2014, Aizu-Wakamatsu, Japan
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01071470/file/DahCudLouGog_MCSoC14.pdf BibTex
titre
Introducing complexity into project management through multi-stakeholder interactions
auteur
Myriam Le Goff-Pronost, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Michel Morvan, Bruno Vinouze, Richard Naël, Didier Baux
article
SEFI 2014 : 42th annual conference, Sep 2014, Birmingham, United Kingdom. pp.135 - 135
Accès au texte intégral et bibtex
https://hal.science/hal-01170285/file/SEFI2014_Vfinale.pdf BibTex
titre
Electronic Navigational Chart Generator for a marine mobile augmented reality system
auteur
Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
MTS/IEEE OCEANS 2014, Sep 2014, St Jones, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-01083264/file/Electronic_Navigational_Chart_Generator_for_a_Marine_Mobile_Augmented_Reality_System_OCEANS_2014.pdf BibTex
titre
Integration of cache related preemption delay analysis into a priority assignment algorithm
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
EWiLi'14, The 4th Embedded Operating Systems Workshop., Sep 2014, Lisbon, Portugal
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01166868/file/ewili14-2_pages.pdf https://hal.univ-brest.fr/hal-01166868/file/Poster.pdf BibTex
titre
Open-PEOPLE, A Collaborative Platform for Remote & Accurate Measurement And Evaluation of Embedded Systems Power Consumption
auteur
Yahia Benmoussa, Eric Senn, Jalil Boukhobza, Mickael Lanoe, Djamel Benazzouz
article
IEEE 22nd International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Sep 2014, Paris, France. pp.498-501, ⟨10.1109/MASCOTS.2014.72⟩
Accès au bibtex
BibTex
titre
A Domain-Specific Framework for Creating Early Trusted Underwater Systems Relying on Enterprise Architecture
auteur
Iyas Alloush, Charbel Geryes Aoun, Yvon Kermarrec, Siegfried Rouvrais
article
MASCOTS 2014 - IEEE 22nd International Symposium on Modelling, Analysis & Simulation of Computer and Telecommunication Systems, IEEE computer Society, Sep 2014, Paris, France. ⟨10.1109/MASCOTS.2014.23⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01118923/file/MASCOTS2014.pdf BibTex
titre
Modeling Shared-Memory Multiprocessor Systems with AADL
auteur
Stéphane Rubini, Pierre Dissaux, Frank Singhoff
article
1st Architecture Centric Virtual Integration (ACVI) Workshop. In conjonction with the MODELS international conference., Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
AADLv2, a Domain Specific Language for the Modeling, the Analysis and the Generation of Real-Time Embedded Systems
auteur
Frank Singhoff, Jérôme Hugues
article
Half day tutorial presented in the International MODELS conferences, Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
Extending Schedulability Tests of Tree-Shaped Transactions for TDMA Radio Protocols.
auteur
Shuai Li, Frank Singhoff, Stéphane Rubini, Bourdelles Michel
article
19th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA'2014), Sep 2014, Barcelana, Spain
Accès au bibtex
BibTex
titre
Transforming Viewpoints of Distributed Designs to Support Simulation Scenarios
auteur
Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
article
ICSOFT-EA 2014 : proceedings of the 9th International Conference on Software Engineering and Applications, Aug 2014, Vienna, Austria. pp.321 - 328, ⟨10.5220/0004997103210328⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01072238/file/ICSoft-EA2014.pdf BibTex
titre
Virtual Devices for Hot-Pluggable Processors
auteur
Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
article
17th Euromicro Conference on Digital System Design (DSD), Aug 2014, Verona, Italy
Accès au bibtex
BibTex
titre
Efficient models configuration for an electric vehicle energy management software
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Euromicro Conference series on Software Engineering and Advanced Applications (SEAA), Aug 2014, Verona, Italy. pp.Pages 48-55, ⟨10.1109/SEAA.2014.80⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01001566/file/SEAA2014_-_Efficient_models_configuration_for_an_electric_vehicle_energy_management_software.pdf BibTex
titre
Mobile Augmented Reality System for marine navigation assistance
auteur
Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
12th IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. ⟨10.1109/EUC.2014.49⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01083265/file/Mobile%20Augmented%20Reality%20System%20For%20Marine%20Navigation%20Assistance_ICEUC_2014.pdf BibTex
titre
Dynamic Server Configuration for Multiple Streaming in a Home Network
auteur
Laurent Lemarchand, Isaac Armah-Mensah, Jean-Philippe Babau
article
International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. pp 39--45, ⟨10.1109/EUC.2014.15⟩
Accès au bibtex
BibTex
titre
Instruction cache in hard real-time systems: modeling and integration in scheduling analysis tools with AADL
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
International Conference on Embedded and Ubiquitous Computing (EUC), Aug 2014, Milan, Italy. pp.104-111, ⟨10.1109/EUC.2014.24⟩
Accès au bibtex
BibTex
titre
A HLS-based toolflow to design next-generation heterogeneous many-core platforms with shared memory
auteur
Paolo Burgio, Andrea Marongiu, Philippe Coussy, Luca Benini
article
IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Italy
Accès au bibtex
BibTex
titre
Towards a Dynamic Infrastructure for Playing withSystems of Systems
auteur
Jean-Philippe Schneider, Ciprian Teodorov, Eric Senn, Joël Champeau
article
ECSA 2014, Aug 2014, Vienne, Austria
Accès au bibtex
BibTex
titre
From Smalltalk to Silicon: Towards a methodology to turn Smalltalk code into FPGA
auteur
Le Xuan Sang, Loïc Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
article
IWST 14, Aug 2014, Cambridge, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-01326520/file/from%20smalltalk%20to%20silicon.pdf BibTex
titre
Scheduling Analysis of TDMA-Constrained Tasks: Illustration with Software Radio Protocols.
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
11th IEEE International Conference on Embedded Software and Systems, Aug 2014, paris, France
Accès au bibtex
BibTex
titre
Towards agile cross-platform application development with Smalltalk and Model Driven Engineering
auteur
Glenn Cavarlé, Alain Plantec, Vincent Ribaud, Christophe Touzé
article
International Workshop on Smalltalk Technologies - IWST 2014, ESUG, Aug 2014, Cambridge, United Kingdom
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01078437/file/iwst2014_Towards%20agile%20cross-platform%20application%20development%20with%20Smalltalk%20and%20Model%20Driven%20Engineering.pdf BibTex
titre
Huffman Coding for Storing Non-uniformly Distributed Messages in Networks of Neural Cliques
auteur
Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
article
AAAI 2014 : the 28th Conference on Artificial Intelligence, Jul 2014, Québec, Canada. pp.262-268
Accès au bibtex
BibTex
titre
Synchronization of Models of Rich Languages with Triple Graph Grammars
auteur
Dominique Blouin, Pierre Dissaux, Frank Singhoff, Alain Plantec, Jean-Philippe Diguet
article
International Conference on Model Transformation (ICMT), Jul 2014, York, United Kingdom
Accès au bibtex
BibTex
titre
Stochastic Reliability Evaluation of Sea-of-Tiles Based on Double Gate Controllable-Polarity FETs
auteur
Catherine Dezan, Sara Zermani
article
IEEE/ACM NANOARCH'14, Jul 2014, Paris, France. pp.169-170
Accès au bibtex
BibTex
titre
Modeling the Geometry of the Endoplasmic Reticulum Network
auteur
Laurent Lemarchand, Reinhardt Euler, Congping Lin, Imogen Sparkes
article
1st International Conference on Algorithms for Computational Biology -- AlCob'14, Jul 2014, Tarragona, Spain. pp.132-146
Accès au bibtex
BibTex
titre
Applying Holistic Schedulability Tests to Industrial Systems: Experience and Lessons Learned.
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
5th International Workshop on Analysis Tools and Methodologies for Embedded and Real-time Systems, Jul 2014, Madrid, Spain
Accès au bibtex
BibTex
titre
Modeling the geometry of the Endoplasmic Reticulum network
auteur
Reinhardt Euler, Laurent Lemarchand, Congping Lin, Imogen Sparkes
article
20th Conference of the International Federation of Operational Research Societies IFORS 2014, Jul 2014, Barcelone, Spain
Accès au bibtex
BibTex
titre
Integration of physical models in the ORQA framework for electric vehicle energy management
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Doctoral Symposium on Components and Architecture (WCOP), Jun 2014, Marcq-en-Baroeul, France. pp.7-12, ⟨10.1145/2601328.2601332⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01020135/file/WCOP-CompArch2014_-_Integration_of_Physical_Models_in_the_ORQA_Framework_for_Electric_Vehicle_Energy_Management.pdf BibTex
titre
SRMP: a software pattern for deadlocks prevention inreal-time concurrency models
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
the 10th international ACM Sigsoft conference on Quality of software architectures, QoSA'14, Jun 2014, Lille, France. pp.139-144, ⟨10.1145/2602576.2602591⟩
Accès au bibtex
BibTex
titre
A comparison between Ambient Assisted Living Systems
auteur
Molham Darwish, Eric Senn, Christophe Lohr, Yvon Kermarrec
article
ICOST 2014 : 12th International Conference on Smart Homes and Health Telematics, Jun 2014, Denver, United States. pp.231 - 237, ⟨10.1007/978-3-319-14424-5_26⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01186325/file/icost2014_submission_23.pdf BibTex
titre
A Model Driven Approach for the Development of Fine-Grain Self-Adaptive Multitask and Networked RTE Systems
auteur
Mouna Ben Said, Nader Ben Amor, Yessine Hadj Kacem, Mickaël Kerboeuf, Mohamed Abid
article
IEEE 23rd International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE 2014), Jun 2014, Parma, Italy. ⟨10.1109/WETICE.2014.52⟩
Accès au bibtex
BibTex
titre
A UML/MARTE-Based Design Pattern for Semi-partitioned Scheduling Analysis
auteur
Magdich Amina, Yessine Hadj Kacem, Adel Mahfoudhi, Mickaël Kerboeuf
article
IEEE 23rd International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE 2014), Jun 2014, Parma, Italy. ⟨10.1109/WETICE.2014.52⟩
Accès au bibtex
BibTex
titre
Performance exploration of partially connected 3D NoCs under manufacturing variability
auteur
Anelise Kologeski, Fernanda Lima Kastensmidt, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Aida Todri-Sanial
article
NEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Accès au bibtex
BibTex
titre
Extending response-time analysis for the automatic synthesis of functional graphs into fixed-priority distributed systems
auteur
Asma Mehiaoui, Sara Tucci Piergiovanni, Chokri Mraidha, Jean-Philippe Babau
article
9th IEEE International Symposium on Industrial Embedded Systems (SIES), 2014, Jun 2014, Pisa, Italy. pp.122-132, ⟨10.1109/SIES.2014.6871196⟩
Accès au bibtex
BibTex
titre
Efficient Application Mapping on CGRAs based on Backward Simultaneous Scheduling/Binding and Dynamic Graph Transformations
auteur
Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
article
IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jun 2014, Zurich, Switzerland. pp.6868652, ⟨10.1109/ASAP.2014.6868652⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01009486/file/article_ThomasPeyret.pdf BibTex
titre
Study of fibrosis induced by an implanted medical device
auteur
Noëlle Lewis, Sylvie Renaud, Isabelle Lagroye, Eric Mcadams, Paco Bogonez-Franco, Norbert Noury, Fabien Soulier, Serge Bernard, Claire Lethias, Cyril Lahuec, Matthieu Arzel, Rémy Agniel, Sabrina Kellouche, Michel Boissière, Franck Carreiras, Emmanuel Pauthe, Michel Bonneau, Chantal Kang, Stéphane Binczak, Andrea Pinna, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Aymeric Histace, Olivier Romain
article
Handicap, Jun 2014, Paris, France
Accès au bibtex
BibTex
titre
Designing optimized parallel interleaver architecture through network customization
auteur
Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
article
Colloque national du GdR SoC-SiP, Jun 2014, France
Accès au bibtex
BibTex
titre
Génération de code multi-plates-formes pour la mise au point de modèles IMOCA
auteur
Goulven Guillou, Jean-Philippe Babau
article
CAL 2014. Conférence francophone sur l'Architecture Logicielle, Jun 2014, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102851/file/cal14.pdf BibTex
titre
Context-aware Veri cation of a Landing Gear System
auteur
Philippe Dhaussy, Ciprian Teodorov
article
ABZ 2014, Jun 2014, Toulouse, France. pp.52-65
Accès au bibtex
BibTex
titre
A Task Model for TDMA Communications
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
9th IEEE International Symposium on Industrial Embedded Systems. WIP Session., Jun 2014, Pisa, Italy
Accès au bibtex
BibTex
titre
Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
auteur
Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
article
ICSAS 2014 - International symposium on circuits and systems, Jun 2014, Melbourne, Australia
Accès au bibtex
BibTex
titre
Extending Multicore Architectures with Cryptoptocessors and Parallel Cryptography
auteur
Cuauthemoc Mancillas, Maria Mendez Réal, Lilian Bossuet, Guy Gogniat, Viktor Fischer, Adel Baganne
article
Colloque national du GDR SOC-SIP, Jun 2014, Paris, France
Accès au bibtex
BibTex
titre
A Prototyping Platform for Virtual Reconfigurable Units
auteur
Loïc Lagadec, Jean-Christophe Le Lann, Théotime Bollengier
article
RECOSOC 2014, May 2014, Montpellier, France
Accès au bibtex
BibTex
titre
Evolutions viables de formes par application de mécanismes cellulaires.
auteur
Abdoulaye Sarr, Alexandra Fronville, Laurent Lemarchand, Pascal Ballet, Vincent Rodin
article
34ème séminaire de la société francophone de biologie théorique (SFBT), May 2014, SFBT, France
Accès au bibtex
BibTex
titre
A Design Approach to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerator
auteur
Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
article
GLSVLSI 2014, May 2014, Houston, United States
Accès au bibtex
BibTex
titre
A Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
auteur
Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
article
ISCAS 2014 - IEEE International Symposium on Circuits and Systems, May 2014, Melbourne, Australia. pp.XX
Accès au bibtex
BibTex
titre
A Memory Mapping Approach based on Network Customization to Design Conflict-Free Parallel Hardware Architectures
auteur
Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
article
ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2014, France. pp.xx-yy
Accès au bibtex
BibTex
titre
An automated design approach to map applications on CGRAs
auteur
Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
article
GLSVLSI Great Lakes Symposium on VLSI, May 2014, Houston, Texas, United States. pp.229-230, ⟨10.1145/2591513.2591552⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01002316/file/Peyret_et_al._-_An_Automated_Design_Approach_to_Map_Applications_on_CGRAs_-_2014.pdf BibTex
titre
Flash storage systems: performance and power consumption issues
auteur
Jalil Boukhobza
article
ECOFAC, Ecole thématique COnception FAible Consommation pour les systèmes embarqués temps réels, May 2014, Lorient, France
Accès au bibtex
BibTex
titre
Cluster-Head Selection Algorithm to Enhance Energy-Efficiency and Reliability of Wireless Sensor Networks
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
20th European Wireless (EW) Conference., May 2014, Barcelona, Spain. pp. xxx
Accès au bibtex
BibTex
titre
Embedding Polynomial Time Memory Mapping and Routing Algorithms on-chip to Design Configurable Decoder Architecture
auteur
Saeed Ur Reehman, Awais Hussein Sani, Philippe Coussy, Cyrille Chavet
article
IEEE International Conference on Acoustics, Speech and Signal Processing, May 2014, Italy. pp.xx-yy
Accès au bibtex
BibTex
titre
FPGAs virtuels : enjeux et usages
auteur
Loïc Lagadec
article
COMPAS 2014, Apr 2014, Neuchâtel, Switzerland
Accès au texte intégral et bibtex
https://hal.science/hal-00989984/file/compas2014_submission_51.pdf BibTex
titre
Une approche de conception pour générer automatiquement des moniteurs sur puce pendant la synthèse de haut niveau d'accélérateurs matériels
auteur
Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
article
COMPAS 2014 - Conférence en Parallélisme, Architecture et Système, Apr 2014, Neuchâtel, Suisse
Accès au bibtex
BibTex
titre
Ordonnancement, assignation et transformations dynamiques de graphe simultanés pour projeter efficacement des applications sur CGRAs
auteur
Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
article
ComPAS 2014 : conférence en parallélisme, architecture et systèmes, Apr 2014, Neuchatel, Suisse
Accès au texte intégral et bibtex
https://hal.science/hal-00985815/file/Article_COMPAS.pdf BibTex
titre
A High-Level Programming Model to Ease Pipeline Parallelism Expression On Shared Memory Multicore Architectures
auteur
Nader Khammassi, Jean-Christophe Le Lann
article
HPC 2014, Apr 2014, Tampa, FL, United States. pp.XX
Accès au bibtex
BibTex
titre
From Software Code to Hardware: Directions in High-Level Synthesis
auteur
Philippe Coussy
article
International Workshop on "Electronic System-Level Design towards Heterogeneous Computing", IEEE Design Automation and Test in Europe DATE, March 2014, Mar 2014, Germany
Accès au bibtex
BibTex
titre
Online Inference for Adaptive Diagnosis via Arithmetic Circuit Compilation of Bayesian Networks
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
article
Designing with Uncertainty: Opportunities & Challenges workshop, Mar 2014, York, United Kingdom
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00965533/file/abstract_Online_Inference_17_02_2014.pdf BibTex
titre
A tightly-coupled Hardware Controller to improve scalability and programmability of shared-memory heterogeneous clusters
auteur
Paolo Burgio, Robin Danilo, Andrea Marongiu, Philippe Coussy, Luca Benini
article
IEEE International Conference on Design, Automation and Test in Europe (DATE), Mar 2014, Germany. pp.XX, YY
Accès au bibtex
BibTex
titre
VLSI Architectures and NoCs for Neural Coding
auteur
Jean-Philippe Diguet, Philippe Coussy, Cyrille Chavet
article
1st International Symposium on Brainware LSI, Mar 2014, Japan
Accès au bibtex
BibTex
titre
DESIGN AND IMPLEMENTATION OF A CACHE HIERARCHY-AWARE TASK SCHEDULING FOR PARALLEL LOOPS ON MULTICORE ARCHITECTURES
auteur
Nader Khammassi, Jean-Christophe Le Lann
article
PDCTA 2014, Feb 2014, Sydney, Australia
Accès au bibtex
BibTex
titre
The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
auteur
P Dissaux, O Marc, S. Rubini, C Fotsing, V Gaudel, Frank Singhoff, A Plantec, Vương Nguyễn-Hồng, Hải Nam Trần
article
Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02272192/file/ERTS_2014_submission_76.pdf BibTex
titre
Embedded Databases on Flash Memories: Performance and Lifetime Issues, the case of SQLite
auteur
Jalil Boukhobza, Pierre Olivier, Loic Plassart, Hamza Ouarnoughi, Ladjel Bellatreche
article
Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02272448/file/ERTS_2014_submission_140.pdf BibTex
titre
Tackling Real-Time Signal Processing Applications on Shared Memory Multicore Architectures Using XPU
auteur
Nader Khammassi, Jean-Christophe Le Lann
article
ERTS 2014, Feb 2014, Toulouse, France
Accès au bibtex
BibTex
titre
The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
auteur
Pierre Dissaux, Olivier Marc Marc, Stéphane Rubini, Christian Fotsing, Vincent Gaudel, Frank Singhoff, Alain Plantec, Vuong Nguyen-Hong, Hai-Nam Tran
article
Embedded Real Time Software and Systems, Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00983724/file/SMART_ERTS2014.pdf BibTex
titre
New reconfigurable fault tolerant FPGA architecture: A design for mission critical applications
auteur
Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec
article
Workshop on Reconfigurable Computing (WRC), Jan 2014, Vienne, Austria. pp.WRC 2014
Accès au bibtex
BibTex

Book sections

titre
Hardware design of parallel interleaver architecture: a survey
auteur
Cyrille Chavet, Philippe Coussy
article
Advanced Hardware Design for Error Correcting Codes, Springer, pp.xx-yy, 2014
Accès au bibtex
BibTex
titre
XPU: A C++ Metaprogramming Approach to Ease Parallelism Expression: Parallelization Methodology, Internal Design and Practical Application
auteur
Nader Khammassi, Jean-Christophe Le Lann
article
Parallel Programming: Practical Aspects, Models and Current Limitations, NOVA publishers, pp.175-198, 2014, 978-1-60741-263-2
Accès au bibtex
BibTex

Books

titre
SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 4th Workshop on Embed With Linux (EWiLi 2014)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Pierre Ficheux, Frank Singhoff
article
ACM. ACM, 11 (4), 2014, ISSN: 1551-3688
Accès au bibtex
BibTex
titre
Preface to the special issue on advances in Smalltalk based systems
auteur
Loïc Lagadec, Alain Plantec
article
96 (1), pp.1-3, 2014, Science of Computer Programming, Science direct, ⟨10.1016/j.scico.2014.07.004⟩
Accès au bibtex
BibTex
titre
Advanced Hardware Design for Error Correcting Codes
auteur
Cyrille Chavet, Philippe Coussy
article
Springer, pp.200, 2014
Accès au bibtex
BibTex
titre
International workshop on smalltalk technologies 2011 special issue (Journal of Software: Practice and Experience)
auteur
Alain Plantec, Loic Lagadec
article
Wiley, pp.91, 2014, ⟨10.1002/spe.2172⟩
Accès au bibtex
BibTex
titre
SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 3rd Workshop on Embed With Linux (EWiLi 2013)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, pp.79, 2014, 1551-3688
Accès au bibtex
BibTex

Patents

titre
Architecture de réseau de neurone, procédé d'obtention et programmes correspondants
auteur
Cyrille Chavet, Philippe Coussy, Nicolas Charpentier
article
France, N° de brevet: 1261155. 2014
Accès au bibtex
BibTex
titre
Système de traitement de données avec cache actif
auteur
Cyrille Chavet, Philippe Coussy, Jean-Philippe Diguet, John Shield
article
N° de brevet: 1256715. 2014
Accès au bibtex
BibTex

Poster communications

titre
Orcc's Compa-Backend demonstration
auteur
Yaset Oliva, Emmanuel Casseau, Kevin Martin, Pierre Bomel, Jean-Philippe Diguet, Hervé Yviquel, Mickael Raulet, Erwan Raffin, Laurent Morin
article
Conference on Design and Architectures for Signal and Image Processing, Demo Night, Oct 2014, Madrid, Spain. 2014
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01059858/file/poster_dasip_2014.pdf BibTex
titre
Déploiement à la volée de réseaux d'acteurs dataflow dynamiques sur plateforme multiprocesseurs hétérogène
auteur
Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
article
SoCSiP, Jun 2014, Paris, France. 2014
Accès au texte intégral et bibtex
https://hal.science/hal-01078215/file/SoCSiP2014_Ngo.pdf BibTex
titre
Multithreading for Compute Accelerators Through Distributed Shared Memory Design
auteur
Rafael Garibotti, Luciano Ost, Abdoulaye Gamatié, Vianney Lapotre, Chris Adeniyi-Jones, Gilles Sassatelli
article
DAC: Design Automation Conference, Jun 2014, San Francisco, United States. IEEE Design Automation Conference, 2014, Work-in-Progress Session
Accès au bibtex
BibTex

Proceedings

titre
A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
auteur
Bordin Matteo, Mickael Lanoe, Dominique Heller, Cyrille Chavet, Philippe Coussy
article
21st IEEE International Conference on Electronics Circuits & Systems, Dec 2014, Marseille, France. 2014
Accès au bibtex
BibTex

Reports

titre
Operational Semantics of the Model of Concurrency and Communication Language
auteur
Julien Deantoni, Papa Issa Diallo, Joël Champeau, Benoit Combemale, Ciprian Teodorov
article
[Research Report] RR-8584, INRIA. 2014, pp.23
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01060601/file/RR-8584.pdf BibTex
titre
On Fault Diagnosis using Bayesian Networks ; A Case Study of Combinational Adders.
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
2014
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00966414/file/Project-Report.pdf BibTex

2013

Journal articles

titre
Une démarche de développement conciliant agilité et urbanisation du système d’information
auteur
Jacques Simonin, Philippe Tanguy, Julie Gourmelen
article
Revue des Sciences et Technologies de l'Information - Série ISI : Ingénierie des Systèmes d'Information, 2013, 18 (6), pp.9 - 32. ⟨10.3166/ISI.6.9-32⟩
Accès au bibtex
BibTex
titre
Introduction de la prédiction de branchement dans la synthèse de haut niveau
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, n° 2/2013, 281-301, pp.XX-YY
Accès au bibtex
BibTex
titre
Performance analysis and modeling of SQLite embedded databases on flash file systems
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Pierre Olivier, Loic Plassart, Ladjel Bellatreche
article
Design Automation for Embedded Systems An International Journal, 2013, Volume 17 (Issue 3-4), pp.507-542. ⟨10.1007/s10617-014-9149-2⟩
Accès au bibtex
BibTex
titre
A First Step Toward On-Chip Memory Mapping for Parallel Turbo and LDPC Decoders: A Polynomial Time Mapping Algorithm
auteur
Awais Hussein Sani, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
article
IEEE Transactions on Signal Processing, 2013, pp.xx-yy
Accès au bibtex
BibTex
titre
Un système de cache hiérarchique pour les E/S présentant des motifs séquentiels pour les mémoires flash NAND
auteur
Pierre Olivier, Jalil Boukhobza
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, 32 (2), pp.203-228. ⟨10.3166/tsi.32.203-228⟩
Accès au bibtex
BibTex
titre
Model-driven physical-design automation for FPGAs: fast prototyping and legacy reuse
auteur
Ciprian Teodorov, Loic Lagadec
article
Software: Practice and Experience, 2013, 44 (4), pp.455-482. ⟨10.1002/spe.2190⟩
Accès au bibtex
BibTex
titre
Configurable Memory Security in Embedded Systems
auteur
Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Russel Tessier, D. Unnikrishnan
article
ACM Transactions on Embedded Computing Systems (TECS), 2013, 12/ (3), pp.71. ⟨10.1145/2442116.2442121⟩
Accès au bibtex
BibTex
titre
Management of stateful firewall misconfiguration
auteur
García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Salvador Martinez Perez, Jordi Cabot
article
Computers and Security, 2013, 39 (11), pp.64-85
Accès au bibtex
BibTex
titre
An Efficient Framework for Power-Aware Design of Heterogeneous MPSoC
auteur
Rabie Ben Atitallah, Eric Senn, Daniel Chillet, Mickael Lanoe, Dominique Blouin
article
IEEE Transactions on Industrial Informatics, 2013, 9 (1), pp.487-501. ⟨10.1109/TII.2012.2198657⟩
Accès au bibtex
BibTex
titre
Resource Management for Multimedia Applications, Distributed in Open and Heterogeneous Home Networks
auteur
Maxime Louvel, Alain Plantec, Jean-Philippe Babau
article
Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
Accès au bibtex
BibTex
titre
Architectures of flexible symmetric key crypto engines--a survey: From hardware coprocessor to multi-crypto-processor system on chip
auteur
Lilian Bossuet, Michael Grand, Lubos Gaspar, Viktor Fischer, Guy Gogniat
article
ACM Computing Surveys, 2013, Volume 45 Issue 4, August 2013 (Article No. 41), 32 p. ⟨10.1145/2501654.2501655⟩
Accès au bibtex
BibTex
titre
Resource management for multimedia applications, distributed in open and heterogeneous home networks
auteur
Maxime Louvel, Alain Plantec, Jean-Philippe Babau
article
Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01275907/file/jsa_armor.pdf BibTex
titre
Recent advances in homomorphic encryption: a possible future for signal processing in the encrypted domain
auteur
Carlos Aguilar Melchor, Simon Fau, Caroline Fontaine, Guy Gogniat, Renaud Sirdey
article
IEEE Signal Processing Magazine, 2013, pp.108-107
Accès au bibtex
BibTex
titre
Automated Measurement of Models of Requirements
auteur
Martin Monperrus, Benoit Baudry, Joël Champeau, Brigitte Hoeltzener, Jean-Marc Jézéquel
article
Software Quality Journal, 2013, 21 (1), pp.3-22. ⟨10.1007/s11219-011-9163-6⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00646876/file/main.pdf BibTex
titre
Reconciling run-time evolution and resource-constrained embedded systems through a component-based development framework
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
Science of Computer Programming, 2013, 8, pp.1073-1098. ⟨10.1016/j.scico.2012.08.004⟩
Accès au bibtex
BibTex

Conference papers

titre
A multi-tone RF energy harvester in body sensor area network context
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
LAPC 2013 : Loughborough Antennas and Propagation Conference, Nov 2013, Loughborough, United Kingdom. pp.238 - 241, ⟨10.1109/LAPC.2013.6711891⟩
Accès au bibtex
BibTex
titre
Towards practical program execution over fully homomorphic encryption schemes
auteur
Simon Fau, Renaud Sirdey, Caroline Fontaine, Carlos Aguilar Melchor, Guy Gogniat
article
2013 Eighth International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2013), Oct 2013, Compiègne, France
Accès au bibtex
BibTex
titre
A Model-Driven Approach to Enhance Tool Interoperability using the Theory of Models of Computation
auteur
Papa Issa Diallo, Joël Champeau, Loïc Lagadec
article
SLE 2013 - 6th International Conference on Software Language Engineering, Oct 2013, United States
Accès au bibtex
BibTex
titre
A CONFLICT-FREE MEMORY MAPPING APPROACH TO DESIGN PARALLEL HARDWARE INTERLEAVER ARCHITECTURES WITH OPTIMIZED NETWORK AND CONTROLLER
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy
article
IEEE Workshop on Signal Processing Systems (SiPS), Oct 2013, Taipei, Taiwan. pp.xx-yy
Accès au bibtex
BibTex
titre
How to exploit the device diversity and database interaction to propose a generic cost model?
auteur
Ladjel Bellatreche, Cheikh Salmi, Sebastien Bress, Amira Kerkad, Ahcène Boukorca, Jalil Boukhobza
article
International Database Engineering & Applications Symposium IDEAS '13, Oct 2013, Barcelona, Spain. pp.142-147, ⟨10.1145/2513591.2513660⟩
Accès au bibtex
BibTex
titre
Architecture and Programming Model Support for Efficient Heterogeneous Computing on Tightly-Coupled Shared-Memory Clusters
auteur
Paolo Burgio, Andrea Marongiu, Robin Danilo, Philippe Coussy, Luca Benini
article
IEEE International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2013, Turkey. pp.XX, YY
Accès au bibtex
BibTex
titre
Rapid Design and Prototyping of a Reconfigurable Decoder Architecture for QC-LDPC Codes
auteur
Purushotham Murugappa Velayuthan, Vianney Lapotre, Amer Baghdadi, Michel Jezequel
article
RSP 2013 : 24th IEEE International Symposium on Rapid System Prototyping, Oct 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
Enforcing Software Engineering Tools Interoperability: An Example with AADL Subsets.
auteur
Vincent Gaudel, Alain Plantec, Frank Singhoff, Jérôme Hugues, Pierre Dissaux, Jérôme Legrand
article
24th International Symposium on Rapid System Prototyping (RSP), Oct 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
Specification of a Legacy Tool by Means of a Dependency Graph to Improve its Reusability
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
7th MoDELS workshop on Models and Evolution, Sep 2013, Miami, United States. pp.1
Accès au bibtex
BibTex
titre
PLiMoS, a DSML to Reify Semantics Relationships: An Application to Model-Based Product Lines
auteur
Stephen Creff, Joël Champeau
article
MODELS 2013, Sep 2013, Miami, United States
Accès au texte intégral et bibtex
https://hal.science/hal-00914377/file/_creff_champeau_PLiMoSaDSMLtoReifySemantics_SIMF_submission.pdf BibTex
titre
Model-Driven Extraction and Analysis of Network Security Policies
auteur
Salvador Martinez Perez, García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Jordi Cabot
article
Model-Driven Engineering Languages and Systems - 16th International Conference, MODELS 2013, Sep 2013, Miami, United States. pp.52-68
Accès au bibtex
BibTex
titre
Enhance the reusability of Models and their Behavioral correctness
auteur
Papa Issa Diallo, Joël Champeau, Loïc Lagadec
article
MODELS 2013 - Workshop GEMOC, Sep 2013, Miami, United States
Accès au bibtex
BibTex
titre
Model Federation in toolchains
auteur
Joël Champeau, Vincent Leilde, Papa Issa Diallo
article
MODELS 2013, Sep 2013, Miami, United States
Accès au texte intégral et bibtex
https://hal.science/hal-00914367/file/Model_Federation_for_toolchains_Champeau-18-07-13.pdf BibTex
titre
Fine-grain Adaptation for Real Time Embedded Systems using UML/MARTE Profile
auteur
Mouna Ben Said, Yessine Hadj Kacem, Nader Ben Amor, Mickaël Kerboeuf
article
2013 Forum on specification and Design Languages, Sep 2013, Paris, France. pp.102-108
Accès au bibtex
BibTex
titre
GeCoS: A framework for prototyping custom hardware design flows
auteur
Antoine Floch, Tomofumi Yuki, Ali El-Moussawi, Antoine Morvan, Kevin Martin, Maxime Naullet, Mythri Alle, Ludovic L'Hours, Nicolas Simon, Steven Derrien, François Charot, Christophe Wolinski, Olivier Sentieys
article
13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), Sep 2013, Eindhoven, Netherlands. pp.100-105, ⟨10.1109/SCAM.2013.6648190⟩
Accès au bibtex
BibTex
titre
How to train engineering students to cope with complexity in project management ?
auteur
Bruno Vinouze, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Myriam Le Goff-Pronost, Michel Morvan, Jérome Bourges, Didier Baux
article
SEFI 2013 : 41th SEFI Conference, Sep 2013, Leuven, Belgium
Accès au texte intégral et bibtex
https://hal.science/hal-00939474/file/FP82_V2.pdf BibTex
titre
Early exploring design alternatives of smart sensor software with Model of Computation implemented with actors
auteur
Jean-Philippe Schneider, Zoé Drey, Jean-Christophe Le Lann
article
ESUG 2013 - 21th International Smalltalk Conference, Sep 2013, Annecy, France
Accès au bibtex
BibTex
titre
Parallel deadlock detection and recovery for networks-on-chip dedicated to diffused computations
auteur
Pierre Bomel, Marc Sevaux
article
Proceedings of the Euromicro DSD/SEAA conference, Sep 2013, Santander, Spain. pp.29-36
Accès au bibtex
BibTex
titre
Stopping-free dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
article
DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
Accès au texte intégral et bibtex
https://hal.science/hal-00876005/file/DSD13-final.pdf BibTex
titre
Energy Consumption Modeling of H.264/AVC Video Decoding for GPP and DSP
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
16th EUROMICRO conference Series on Digital System Design (DSD), Sep 2013, France. pp.890-897, ⟨10.1109/DSD.2013.100⟩
Accès au bibtex
BibTex
titre
Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876009/file/Gretsi_final.pdf BibTex
titre
Placement de données en mémoire sans conflit pour l'optimisation du réseau d'interconnexion et du contrôleur des entrelaceurs parallèles
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
article
Colloque GRETSI, Sep 2013, France. pp.xx-yy
Accès au bibtex
BibTex
titre
Dynamic Branch Prediction For High-Level Synthesis
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Robin Danilo
article
International Conference on Field Programmable Logic and Applications, Sep 2013, Portugal. pp.XX-YY
Accès au bibtex
BibTex
titre
AADLv2, an Architecture Description Language for the Analysis and Generation of Embedded Systems
auteur
Jérôme Hugues, Frank Singhoff
article
Half day tutorial presented in the International EMSOFT/ESWEEK conferences, Sep 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
A transversal alignment between measurements and enterprise architecture for early verification of telecom service design
auteur
Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
article
19th Open European Summer School (EUNICE), Aug 2013, Chemnitz, Germany. pp.245-256, ⟨10.1007/978-3-642-40552-5_22⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00859979/file/978-3-642-40552-5_22_Chapter.pdf BibTex
titre
DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz, Yassine Hadjadj-Aoul
article
EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.8
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00860044/file/main.pdf BibTex
titre
Flashmon V2: Monitoring Raw NAND Flash Memory I/O Requests on Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.4
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00859316/file/ewili13_submission_4.pdf BibTex
titre
Reverse Engineering of Database Security Policies
auteur
Salvador Martínez, Martinez Cosentino, Jordi Cabot, Frédéric Cuppens
article
DEXA 2013 : 24th International Conference on Database and Expert Systems Applications, Aug 2013, Prague, Czech Republic. pp.442 - 449, ⟨10.1007/978-3-642-40173-2_37⟩
Accès au bibtex
BibTex
titre
GPP vs DSP : A Performance/Energy Characterization and Evaluation of V ideo Decoding
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Aug 2013, San Francisco, United States. pp.273-282, ⟨10.1109/MASCOTS.2013.35⟩
Accès au bibtex
BibTex
titre
A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
article
ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01002828/file/ISVLSI13_final.pdf BibTex
titre
A generalized model transformation approach to link design models to network simulators: NS-3 case study
auteur
Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
article
SIMULTECH 2013 : the 3rd International Conference on Simulation and Modeling Methodologies, Technologies and Applications, Jul 2013, Rekjavik, Iceland. pp.337 - 344, ⟨10.5220/0004407503370344⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00869553/file/SimulTech2013.pdf BibTex
titre
An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
auteur
Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
article
ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873978/file/ReCoSoC_final.pdf BibTex
titre
Towards an Access-Control Metamodel for Web Content Management Systems
auteur
Salvador Martinez Perez, García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Jordi Cabot
article
ICWE 2013: the International Conference on Web Engineering - MDWE 2013: Model-Driven Web Engineering, Jul 2013, Aalborg, Denmark. pp 148-155
Accès au bibtex
BibTex
titre
An Automated Tool Selection Method based on Model Transformation: OPNET and NS-3 Case Study
auteur
Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
article
SPECTS 2013 : the 16th International Symposium on Performance Evaluation of Computer and Telecommunication Systems, Jul 2013, Toronto, Canada. pp.10 - 17
Accès au texte intégral et bibtex
https://hal.science/hal-00869538/file/SPECTS-2013.pdf BibTex
titre
Study of a Cosmic Ray Impact on Combinatorial Logic Circuits of an 8bit SAR ADC in 65nm CMOS Technology
auteur
Daniel Gomez Toro, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
article
MWSCAS 2013 : IEEE 56th International Midwest Symposium on Circuits and Systems, Jul 2013, Columbus, United States
Accès au bibtex
BibTex
titre
DPMP: A Software Pattern for Real-Time Tasks Merge
auteur
Rania Mzid, Chokri Mraidha, Asma Mehiaoui, Sara Tucci Piergiovanni, Jean-Philippe Babau, Mohamed Abid
article
9th European Conference on Modelling Foundations and Applications, Jul 2013, Montpellier, France. pp.101-117, ⟨10.1007/978-3-642-39013-5_8⟩
Accès au bibtex
BibTex
titre
Coupled open navigation and augmented reality systems for skippers
auteur
Ronan Douguet, Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
International conference on innovation in high performance sailing yachts (Innov'Sail), Jun 2013, Lorient, France
Accès au bibtex
BibTex
titre
TAG SHEPERD: a Low Cost and Non Intrusive Man Overboard Detection System
auteur
Johann Laurent, Nicolas Le Griguer, Jean-Philippe Diguet
article
International Conference On Innovation In Hight Performance Sailing Yatchs, Jun 2013, Lorient, France
Accès au bibtex
BibTex
titre
A two-step optimization technique for functions placement, partitioning, and priority assignment in distributed systems
auteur
Asma Mehiaoui, Ernest Wozniak, Sara Tucci Piergiovanni, Chokri Mraidha, Marco Di Natale, Haibo Zeng, Jean-Philippe Babau, Laurent Lemarchand, Sébastien Gerard
article
SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2013, Jun 2013, Seattle, United States. pp.121-132, ⟨10.1145/2465554.2465572⟩
Accès au bibtex
BibTex
titre
Increasing the autonomy of Wireless Sensor Node by effective use of both DPM and DVFS methods
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
12th Edition of IEEE Faible Tension Faible Consommation - IEEE FTFC 2013, Jun 2013, Paris, France. pp.xx-yy
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00823924/file/article_FTFC_Paris_2013.pdf BibTex
titre
ORQA: Modeling Energy and Quality of Service within AUTOSAR Models
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Quality of Software Architectures (QoSA), Jun 2013, Vancouver, Canada. pp.Pages 3-12, ⟨10.1145/2465478.2465488⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00852862/file/QoSA2013_-_ORQA_-_Modeling_Energy_and_Quality_of_Service_within_AUTOSAR_Models_-_Author_version.pdf BibTex
titre
Analog Encoded Neural Network for Power Management in MPSoC
auteur
Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
article
NEWCAS 2013 : proceedings of the 11th IEEE international NEWCAS conference, Jun 2013, Paris, France. pp.1 - 4
Accès au bibtex
BibTex
titre
Evaluation of the Performance/Energy Overhead in DSP Video Decoding and its Implications
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
Annual Metting of the GDR SoC SiP, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00846463/file/main.pdf BibTex
titre
The detection and localization of hard-failure for Wireless Sensor Node based on online power management
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
Le huitième colloque du GDR SOC-SIP du CNRS, Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Open Data Buoy to Analyze Weather and Sea Conditions for Sailing Regattas
auteur
Ronan Douguet, Jean-Philippe Diguet, Johann Laurent, Yann Riou
article
OCEANS'13 MTS/IEEE Bergen, Jun 2013, Bergen, Norway. Open Data Buoy to Analyze Weather and Sea Conditions for Sailing RegattasGroupama Sailing Team
Accès au bibtex
BibTex
titre
Toward a Unified Performance and Power Consumption NAND Flash Memory Model of Embedded and Solid State Secondary Storage Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
GDR Soc-Sip 2013 Meeting, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00834224/file/Olivier_Boukhobza_Senn_SocSip2013_final.pdf BibTex
titre
Efficient NISI Compensation Technique for a Low-Cost Satellite Video Receiver
auteur
Esteban Gabriel Cabanillas, Cyril Lahuec, Didier Lohy, Michel Jezequel
article
ICC 2013 : IEEE International Conference on Communications, Jun 2013, Budapest, Hungary
Accès au bibtex
BibTex
titre
APPRENTISSAGE SITUE DE L'INGENIERIE DES SYSTEMES D'INFORMATION -LA METHODE DU SAUMON
auteur
Vincent Ribaud, Philippe Saliou
article
Questions de Pédagogies dans l'Enseignement Supérieur, Jun 2013, Sherbrooke, Canada. pp.279-289
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01078439/file/Apprentissage%20situ%C3%A9%20de%20l%27ing%C3%A9nierie%20des%20syst%C3%A8mes%20d%27information%20-%20la%20m%C3%A9thode%20du%20saumon.pdf BibTex
titre
Cheddar : about the usability of the real-time scheduling theory
auteur
Frank Singhoff
article
CCT CNES Seminar, Jun 2013, Toulouse, France
Accès au bibtex
BibTex
titre
Deterministic Implementation of Periodic-Delayed Communications and Experimentation in AADL
auteur
Fabien Cadoret, Robert Thomas, Etienne Borde, Laurent Pautet, Frank Singhoff
article
17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2013), Jun 2013, Paderborn, Germany
Accès au bibtex
BibTex
titre
IMOCA : une architecture à base de modes de fonctionnement pour une application de contrôle dans un environnement incertain
auteur
Goulven Guillou, Jean-Philippe Babau
article
CAL 2013. 7ième conférence francophone sur les architectures logicielles., May 2013, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102659/file/imoca2.pdf BibTex
titre
Evolution organisationnelle fondée sur la cohérence des relations entre acteurs avec les buts métiers
auteur
Jacques Simonin, Selmin Nurcan, Judith Barrios
article
31e congrès Informatique des organisations et systèmes d'information et de décision (INFORSID 2013), May 2013, Paris, France. pp.225-240
Accès au texte intégral et bibtex
https://hal.science/hal-00831621/file/Simonin2013.pdf BibTex
titre
Business Alignment-Based Data Warehousing Physical Design Driven by Models
auteur
Jacques Simonin, Sébastien Bigaret
article
RCIS 2013 : the 7th IEEE International Conference on Research Challenges in Information Science, May 2013, Paris, France. pp.167 - 178, ⟨10.1109/RCIS.2013.6577688⟩
Accès au bibtex
BibTex
titre
PLASTICO : une illustration de démarche de dévealoppement conciliant agilité et urbanisation du système d'information
auteur
Jacques Simonin, Philippe Tanguy, Julie Gourmelen
article
INFORSID 2013 : 31e congrès Informatique des organisations et systèmes d'information et de décision, May 2013, Paris, France. pp.453-468
Accès au bibtex
BibTex
titre
PLASTICO : une illustration de démarche de développement conciliant agilité et urbanisation du système d'information
auteur
Jacques Simonin, Philippe Tanguy, Julie Gourmelen
article
INFORSID 2013 : 31e congrès Informatique des organisations et systèmes d'information et de décision, May 2013, Paris, France. pp.453 - 468
Accès au bibtex
BibTex
titre
ON-CHIP IMPLEMENTATION OF MEMORY MAPPING ALGORITHM TO SUPPORT FLEXIBLE DECODER ARCHITECTURE
auteur
Sani Awais Hussein, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
article
38th International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2013, France. pp.XX-YY
Accès au bibtex
BibTex
titre
Introducing a Data Sliding Mechanism for Cooperative Caching in Manycore Architectures
auteur
Safae Dahmani, Loïc Cudennec, Guy Gogniat
article
The 27th IEEE International Parallel & Distributed Processing Symposium, May 2013, Boston, Massachusetts, United States. pp.335-344
Accès au texte intégral et bibtex
https://hal.science/hal-00833565/file/DahCudGog_HIPS2013.pdf BibTex
titre
Virtual UARTs for Reconfigurable Multi-processor Architectures
auteur
Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
article
IEEE 27th International Symposium on Parallel and Distributed Processing, May 2013, United States. pp.Pages 252-259
Accès au bibtex
BibTex
titre
The Cost of Problem-Based Learning: An Example in Information Systems Engineering
auteur
Vincent Ribaud, Philippe Saliou
article
2013 IEEE 26th Conference on Software Engineering Education and Training (CSEE&T), IEEE, May 2013, San Fransisco, United States. pp.259-263, ⟨10.1109/CSEET.2013.6595257⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01078435/file/The%20cost%20of%20problem-based%20learning.pdf BibTex
titre
Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
article
ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873979/file/ICAS13_ASIP_final2.pdf BibTex
titre
Analog implementation of encoded neural networks
auteur
Benoit Larras, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
article
ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, China. pp.1 - 4
Accès au bibtex
BibTex
titre
Récupération d'énergie pour capteurs autonomes dans un contexte BSAN : Comparaison expérimentale entre sources d'énergie radiofréquences et lumineuses
auteur
Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
article
JNM 2013 : 18èmes Journées Nationales Microondes, May 2013, Paris, France
Accès au bibtex
BibTex
titre
A Memory Mapping Approach for Network and Controller Optimization in Parallel Interleaver Architectures
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy
article
23rd edition of Great Lake symposium on VLSI, May 2013, Paris, France. pp.XX-YY
Accès au bibtex
BibTex
titre
Modeling Driver Level NAND Flash Memory I/O Performance and Power Consumption for Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
IEEE 11th International Symposium on Programming and Systems, Apr 2013, Algiers, Algeria. pp.155-164, ⟨10.1109/ISPS.2013.6581480⟩
Accès au bibtex
BibTex
titre
Fast Template-based Heterogeneous MPSoC Synthesis on FPGA
auteur
Youenn Corre, Jean-Philippe Diguet, Loic Lagadec, Dominique Heller, Dominique Blouin
article
9th Int. Symposium on Applied Reconfigurable Computing, Mar 2013, Los Angeles, United States
Accès au bibtex
BibTex
titre
Modeling Dynamic Adaptations using Augmented Feature Models
auteur
Jean-Baptiste Lezoray, Maria-Teresa Segarra, Antoine Beugnard, Jean-Marie Gilliot
article
SAC 2013 : 28th Symposium On Applied Computing, Mar 2013, Coimbra, Portugal
Accès au bibtex
BibTex
titre
A New Real-Time Method for Sailboat Performance estimation based on Leeway Modeling
auteur
Ronan Douguet, Jean-Philippe Diguet, Johann Laurent, Yann Riou
article
The 21st Chesapeake Sailing Yacht Symposium, Mar 2013, Annapolis, United States. pp.A New Real-Time Method for Sailboat Performance estimation based on Leeway Modeling
Accès au bibtex
BibTex
titre
Modif: Automating data migration for the reuse of legacy tools
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
2ème Conférence en IngénieriE du Logiciel (CIEL 2013), Mar 2013, Nancy, France
Accès au bibtex
BibTex
titre
CACH-FTL: A Cache-Aware Configurable Hybrid Flash Translation Layer
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
International Euromicro Conference on Parallel, Distributed and Network-based Processing, Feb 2013, Belfast, United Kingdom. pp.94-101, ⟨10.1109/PDP.2013.71⟩
Accès au bibtex
BibTex
titre
On-line self-diagnosis based on power measurement for a wireless sensor node
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
First IEEE Workshop on Highly-Reliable Power-Efficient Embedded Designs, Feb 2013, Shenzhen, China. p. xx-xx
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00782758/file/article_harsh_2013.pdf BibTex
titre
Comparison entre une architecture matérielle dédiée et un GP-GPU pour l'optimisation
auteur
Pierre Bomel, Marc Sevaux
article
ROADEF, 14ème congrès de la Société Française de Recherche Opérationnelle et d'Aide à la Décision, Feb 2013, Troyes, France
Accès au bibtex
BibTex

Book sections

titre
Modélisation de l'étude de cas avec MARTE
auteur
Jérôme Delatour, Joël Champeau
article
Hermes. Modélisation et analyse de systèmes embarqués, Lavoisier, pp.161-178, 2013, SEE, 978-2-7462-3900-5
Accès au bibtex
BibTex
titre
Flashing in the Cloud: Shedding some Light on NAND Flash Memory Storage Systems
auteur
Jalil Boukhobza
article
Data Intensive Storage Services for Cloud Environments, IGI Global, 2013, 9781466639348
Accès au bibtex
BibTex
titre
Flash Based Storage in Embedded Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
Embedded Computing Systems: Applications, Optimization, and Advanced Design, IGI Global, 2013, 9781466639225
Accès au bibtex
BibTex
titre
Case Study Modeling Using MARTE
auteur
Jérôme Delatour, Joël Champeau
article
Embedded Systems. Analysis and Modeling with SysML, UML and AADL, Wiley, pp.139-156, 2013
Accès au bibtex
BibTex

Other publications

titre
Adaptive Cooperative Caching for Many-cores systems
auteur
Safae Dahmani, Loïc Cudennec, Guy Gogniat
article
2013, pp.89-92
Accès au texte intégral et bibtex
https://hal.science/hal-00847002/file/DahCudGog_ACACES2013.pdf BibTex

Books

titre
Architecture des ordinateurs
auteur
Loïc Lagadec, Sébastien Pillement, Arnaud Tisserand
article
Loïc Lagadec and Sébastien Pillement and Arnaud Tisserand. Hermes, 32, pp.150, 2013, Technique et science informatique, 9782746245679
Accès au bibtex
BibTex
titre
Memory Allocation Problems in Embedded Systems: Optimization Methods
auteur
Maria Soto, Marc Sevaux, André Rossi, Johann Laurent
article
Wiley-ISTE, pp.256, 2013, 978-1-84821-428-6
Accès au bibtex
BibTex

Patents

titre
Dispositif auto-configurable d'entrelacement/désentrelacement de trames de données
auteur
Cyrille Chavet, Philippe Coussy
article
N° de brevet: 1251688. 2013
Accès au bibtex
BibTex

Poster communications

titre
Du paramétrage de la granularité du calcul et de la localité des données des implémentations sur GPU - Expérimentations OpenCL
auteur
Guevel Emanuel, Anne Jeannin-Girardon, Catherine Dezan
article
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Diagnostic de circuits combinatoires par réseaux bayésiens
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Efficient dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Noël Bazin, Jean-Philippe Diguet, Michael Hubner
article
GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876017/file/GDR_SOC-SIP_%20Poster.pdf BibTex

Reports

titre
A kernel transformation language for metamodel evolution and reversible model co-evolution
auteur
Mickaël Kerboeuf, Paola Vallejo, Jean-Philippe Babau
article
2013
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00842789/file/microdif.pdf BibTex

Theses

titre
Toward dynamically reconfigurable high throughput multiprocessor Turbo decoder in a multimode and multi-standard context
auteur
Vianney Lapotre
article
Electronics. Université de Bretagne-Sud, 2013. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01096975/file/PhDThesis_UEB.pdf BibTex

2012

Journal articles

titre
Applicability of Real-Time schedulability analysis on a software radio protocol
auteur
Li Shuai, Frank Singhoff, Stéphane Rubini, Michel Bourdellès
article
ACM SIGAda Ada Letters, 2012, 32 (3), p. 61-68
Accès au bibtex
BibTex
titre
Asymmetric Cache Coherency: Policy Modifications to Improve Multicore Performance
auteur
John Shield, Jean-Philippe Diguet, Guy Gogniat
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (3), pp.12
Accès au texte intégral et bibtex
https://hal.science/hal-00747710/file/2012-04-12-TRETS_Asymmetric_Cache_Coherency.pdf BibTex
titre
Processus de développement de système fondé sur l'alignement de modèles
auteur
Jacques Simonin, Antoine Beugnard, Rémi Nedelec
article
Revue des Sciences et Technologies de l'Information - Série ISI : Ingénierie des Systèmes d'Information, 2012, 17 (3), pp.119 - 142. ⟨10.3166/isi.17.3.119-142⟩
Accès au bibtex
BibTex
titre
Applying formal methods to PCEP: an industrial case study from modeling to test generation.
auteur
Hwang Iksoon, Mounir Lallali, Ana Rosa Cavalli, Dominique Verchere
article
Journal of Software Testing, Verification and Reliability, 2012, 22 (5), pp.343--361. ⟨10.1002/stvr.445⟩
Accès au bibtex
BibTex
titre
On Benchmarking Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
ACM SIGBED Review, 2012, 9 (2), pp.43-47
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725008/file/papier.pdf BibTex
titre
A Hardware Time Manager Implementation for the Xenomai Real-Time Kernel of Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza
article
ACM SIGBED Review, 2012, 9 (2), pp.38-42
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725015/file/0_papier.pdf BibTex
titre
Constraint Programming Approach to Reconfigurable Processor Extension Generation and Application Compilation
auteur
Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (2), pp.10:1--10:38. ⟨10.1145/2209285.2209289⟩
Accès au bibtex
BibTex
titre
Architecting the CDIO Educational Framework Pursuant to Constructive Alignment Principles
auteur
Siegfried Rouvrais, Vanea Chiprianov
article
International Journal of Quality Assurance in Engineering and Technology Education (IJQAETE), 2012, 2 (2), pp.80 - 92. ⟨10.4018/ijqaete.2012040108⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00701142/file/IJQAETE%20Rouvrais-Final.pdf BibTex
titre
Les observatoires du trait de côte en France métropolitaine et dans les DOM
auteur
Serge S. Suanez, Manuel Garcin, Thomas Bulteau, Mathias Rouan, Loïc Lagadec, Laurence David
article
EchoGéo, 2012, 19, ⟨10.4000/echogeo.12942⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00657607/file/Suanez_et-al_2012_EchoGeo.pdf BibTex
titre
A multi-objective adaptive immune algorithm for multi-application NoC mapping
auteur
Martha Johanna Sepulveda, Jiang Chau Wang, Guy Gogniat, Marius Strum
article
Analog Integrated Circuits and Signal Processing, 2012, 73 (3), pp.851-860. ⟨10.1007/s10470-012-9869-9⟩
Accès au bibtex
BibTex
titre
QoSS architecture for MPSoC dynamic protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
International Journal of Reconfigurable Computing, 2012
Accès au bibtex
BibTex
titre
MemExplorer: From C code to Memory Allocation
auteur
Johann Laurent, André Rossi, Marc Sevaux
article
Journal of Low Power Electronics, 2012, 8 (4), pp.394-402. ⟨10.1166/jolpe.2012.1201⟩
Accès au bibtex
BibTex

Conference papers

titre
Introducing Problem-Based Learning in a Joint Masters Degree: Offshoring Information Technologies
auteur
Vincent Ribaud, Philippe Saliou
article
International Conference on Engineering Education, Instructional Technology, Assessment, and E-learning (EIAE 12), Dec 2012, Bridgeport, United States. pp.311-319, ⟨10.1007/978-3-319-06773-5_42⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00769847/file/ProblemBasedLearning.pdf BibTex
titre
A Hardware/Software CBSE Framework for RTOS Services: the Timing Service Case Study
auteur
Stéphane Rubini, Jean-Philippe Babau, Jalil Boukhobza
article
IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, Dec 2012, Phaphos, Cyprus. pp.570--577
Accès au bibtex
BibTex
titre
Micro-benchmarking Flash Memory File-System Wear leveling and Garbage Collection : a Focus on Initial State Impact
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
The 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, Dec 2012, Paphos, Cyprus. pp.437, ⟨10.1109/ICCSE.2012.67⟩
Accès au bibtex
BibTex
titre
An analytical approach for sizing of heterogeneous multiprocessor flexible platform for iterative demapping and channel decoding
auteur
Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi
article
International Conference on ReConFigurable Computing and FPGAs (Reconfig), Dec 2012, Cancun, Mexico. ⟨10.1109/ReConFig.2012.6416728⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00747714/file/reconfig_V0.pdf BibTex
titre
Relationships Formalization for Model-Based Product Lines
auteur
Stephen Creff, Joël Champeau, Arnaud Monégier, Jean-Marc Jézéquel
article
APSEC 2012, Dec 2012, Hong Kong SAR China. pp.187
Accès au bibtex
BibTex
titre
Paths and shortcuts in an event-oriented ontology
auteur
Mark Fichtner, Vincent Ribaud
article
6th Metadata and Semantics Research Conference 2012, Nov 2012, Cadiz, Spain. pp.214-226, ⟨10.1007/978-3-642-35233-1_22⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00769832/file/PathsAndShortcuts.pdf BibTex
titre
Flashing the Memory Hierarchy: an Overview on Flash Memory Internals
auteur
Jalil Boukhobza, Stéphane Rubini
article
Journée Logiciels Embarqués et Architectures Matérielles du GDR SoC-SiP, Nov 2012, Paris, France
Accès au bibtex
BibTex
titre
From system-level models to heterogeneous embedded systems
auteur
Jean-Christophe Le Lann, Joël Champeau, Papa Issa Diallo, Pierre-Laurent Lagalaye
article
RITF 2012 - Recherche et Innovation pour les Transports du Futur, Nov 2012, Paris, France. pp.XX
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-00771758/file/RITF2012-140.pdf BibTex
titre
Relationships in Variability Modeling Approaches: A Survey and Classification
auteur
Stephen Creff, Joël Champeau
article
5ème journée Lignes de Produits, Nov 2012, Villeneuve d'Ascq, France
Accès au bibtex
BibTex
titre
Early Verification of Embedded System Models using the Requirements Definition and Analysis Language Annex of the SAE AADL
auteur
Dominique Blouin, Skander Turki, Eric Senn
article
SAE 2012 Aerospace Electronics and Avionics Systems Conference, Oct 2012, Phoenix, United States
Accès au bibtex
BibTex
titre
AADL Requirements Annex Explored With FAA Handbook Example
auteur
Eric Senn, Dominique Blouin, Skander Turki
article
SAE 2012 Aerospace Electronics and Avionics Systems Conference, Oct 2012, Phoenix, United States
Accès au bibtex
BibTex
titre
Early Verification of Embedded System Models using the Requirements Definition and Analysis Language Annex of the SAE AADL
auteur
Eric Senn, Dominique Blouin, Skander Turki
article
SAE 2012 Aerospace Electronics and Avionics Systems Conference, Oct 2012, Phoenix, United States
Accès au bibtex
BibTex
titre
AADL Requirements Annex Explored With FAA Handbook Example
auteur
Dominique Blouin, Skander Turki, Eric Senn
article
SAE 2012 Aerospace Electronics and Avionics Systems Conference, Oct 2012, Phoenix, United States
Accès au bibtex
BibTex
titre
Déploiement de service dans un environnement d'aide au handicap
auteur
Cédric Séguin, Florent Frizon de Lamotte, Jean-Luc Philippe
article
MajecSTICC, Oct 2012, Lille, France
Accès au bibtex
BibTex
titre
Cultural immersion aimed at improving professional integration in the Moroccan offshore industry
auteur
Philippe Saliou, Vincent Ribaud
article
The 10th PASCAL International Observatory conference : The role of Higher Education in local and regional social and economic development, Oct 2012, Brest, France. http://www.univ-brest.fr/conferencepascal2012/
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00769842/file/CulturalImmersion.pdf BibTex
titre
Consumption analysis and estimation in the design of GStreamer based multimedia applications
auteur
Eric Senn, Mickael Lanoe
article
Conference on Design and Architectures for Signal and Image Processing, Oct 2012, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
Open-People: an Open Platform for Estimation and Optimizations of energy consumption
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Design and Architectures for Signal and Image Processing Conference (DASIP 2012), Oct 2012, Karlsruhe, Germany. ⟨10.1007/978-3-642-17752-1_26⟩
Accès au bibtex
BibTex
titre
Design under Constraints of Availability and Energy for Sensor Node in Wireless Sensor Network
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
IEEE International Conference on Design and Architectures for Signal and Image Processing (DASIP), October 2012, Oct 2012, Karlsruhe, Germany. pp.E-ISBN : 978-2-9539987-4-0
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00768442/file/DASIP2012_Session_7_Paper3_HOANG.pdf BibTex
titre
AN EXPERIMENTAL TOOLCHAIN BASED ON HIGH-LEVEL DATAFLOW MODELS OF COMPUTATION FOR HETEROGENEOUS MPSOC
auteur
Julien Heulot, Karol Desnos, Jean François Nezan, Maxime Pelcat, Mickaël Raulet, Hervé Yviquel, Pierre-Laurent Lagalaye, Jean-Christophe Le Lann
article
DASIP, Oct 2012, Karlsruhe, Germany
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-00749175/file/2012_DASIP_Julien_Heulot.pdf BibTex
titre
HLS-based Fast Design Space Exploration of ad hoc hardware accelerators: a key tool for MPSoC Synthesis on FPGA
auteur
Youenn Corre, Van-Trinh Hoang, Jean-Philippe Diguet, Dominique Heller, Loic Lagadec
article
International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2012, Germany
Accès au bibtex
BibTex
titre
Dedicated approach to explore design space for hardware architecture of turbo decoders
auteur
Oscar Sanchez, Sani Awais Hussein, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy, Michel Jezequel, Christophe Jego
article
IEEE Workshop on Signal Processing Systems, Oct 2012, Canada. pp.XX-YY
Accès au bibtex
BibTex
titre
Open-People: Open-Power and Energy Optimization PLatform and Estimator (Open-People) ANR Project
auteur
Eric Senn, Cécile Belleudy, Daniel Chillet, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Forum SAME (Sophia Antipolis Microelectronics), Oct 2012, Sophia Antipolis, France
Accès au bibtex
BibTex
titre
QAML: a multi-paradigm DSML for quantitative analysis of embedded system architecture models
auteur
Dominique Blouin, Eric Senn, Kevin Roussel, Olivier Zendra
article
The 6th International Workshop on Multi-Paradigm Modeling, Oct 2012, Innsbruck, Austria. pp.37-42, ⟨10.1145/2508443.2508450⟩
Accès au bibtex
BibTex
titre
A model-driven approach for the extraction of network access-control policies
auteur
Salvador Martínez, García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Jordi Cabot
article
Model-Driven Security Workshop, Nora Koch, Alexander Knapp, Geri Georg, Marina Egea, Benoit Baudry, Oct 2012, Innsbruck, Austria
Accès au bibtex
BibTex
titre
A two-steps model transformation to extend the scope of an analysis framework to standard modeling languages
auteur
Mickael Kerboeuf, Jean-Philippe Babau, V. Gaudel
article
Conférence : 6th MoDELS workshop on Models and Evolution., Oct 2012, Innsbruck, Austria. pp.P.P1-6
Accès au bibtex
BibTex
titre
Functional Validation of AADL Models via Model Transformation to SystemC with ATL
auteur
Pierre Bomel, Dominique Blouin, Mickael Lanoe, Eric Senn
article
5th International Workshop on Model Based Architecting and Construction of Embedded Systems ACES 2012, Sep 2012, Innsbruck, Austria
Accès au texte intégral et bibtex
https://hal.science/hal-00759904/file/AADL2SYSTEMC_ACES-MB_2012_camera_ready.pdf BibTex
titre
Functional Validation of AADL Models via Model Transformation to SystemC with ATL
auteur
Eric Senn, Dominique Blouin, Pierre Bomel, Mickael Lanoe
article
ACM/IEEE 15th International Conference on Model Driven Engineering Languages & Systems, Sep 2012, Innsbruck, Austria
Accès au bibtex
BibTex
titre
Real-Time Design Models to RTOS-Specific Models Refinement Verification
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
5th MoDELS workshop on Model Based Architecting and Construction of Embedded Systems, Sep 2012, Innsbruck, Austria. pp.25-30
Accès au bibtex
BibTex
titre
A Multi-Paradigm DSML for Quantitative Analysis of Embedded System Architecture Models
auteur
Eric Senn, Dominique Blouin, Olivier Zendra
article
ACM/IEEE 15th International Conference on Model Driven Engineering Languages & Systems - MODELS 2012, Sep 2012, Innsbruck, Austria
Accès au bibtex
BibTex
titre
Energy modeling of embedded multimedia streaming applications with GStreamer on heterogeneous MPSoC
auteur
Eric Senn, Mickael Lanoe
article
The 30th IEEE International Conference on Computer Design, Sep 2012, Montréal, Canada
Accès au bibtex
BibTex
titre
Modelling the power and energy consumption of NIOS II softcores on FPGA
auteur
Eric Senn, Lucile Senn, Christian Samoyeau
article
IEEE Cluster 2012, Sep 2012, Beijing, China
Accès au bibtex
BibTex
titre
Service robotics, three case studies
auteur
Yvon Autret, Valérie-Anne Nicolas, David Espes, Philippe Le Parc
article
Ryhti workshop, Sep 2012, Finland
Accès au bibtex
BibTex
titre
Generation of Multiplatform Control for Transitic Systems using a Component-Based Approach
auteur
Romain Bevan, Michael Adam, Pascal Berruet, Florent Frizon de Lamotte, Olivier Cardin, Pierre Castagna
article
IEEE International Conference on Emerging Technologies and Factory Automation, Sep 2012, France
Accès au bibtex
BibTex
titre
System services partitioning in ambient assisted living environment
auteur
Cédric Séguin, Florent Frizon de Lamotte, Jean-Luc Philippe
article
International Workshop on Adaptable Service Delivery in Smart Environment, Sep 2012, United States
Accès au bibtex
BibTex
titre
VBR Video Abstraction for Home-Network Reservation
auteur
Laurent Lemarchand, Maxime Louvel, Jean-Philippe Babau
article
7th International Conference on Embedded and Multimedia Computing (EMC-12), Sep 2012, Gwangu, South Korea. pp.113-122, ⟨10.1007/978-94-007-5076-0_13⟩
Accès au bibtex
BibTex
titre
Energy Characterization and classification of embedded operating system services
auteur
Eric Senn, Cécile Belleudy, Bassem Ouni
article
15th Euromicro Conference on Digital System Design, Sep 2012, Izmir, Turkey
Accès au bibtex
BibTex
titre
A MDD Approach for RTOS Integration on Valid Real-Time Design Model
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
38th Euromicro Conference on Software Engineering and Advanced Applications, Sep 2012, Cesme, Turkey. pp.9-16
Accès au bibtex
BibTex
titre
Open-People: Open Power and Energy Optimization PLatform and Estimator
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Sebastien Bilavarn, Rabie Ben Atitallah, Christian Samoyeau, Agnès Fritsch
article
DSD 2012 - 15th Euromicro Conference on Digital System Design, Sep 2012, Çeşme, Izmir, Turkey. pp.668-675, ⟨10.1109/DSD.2012.98⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00741610/file/senn2012.pdf BibTex
titre
OpenMP-based Synergistic Parallelization and HW Acceleration for On-Chip Shared-Memory Clusters
auteur
Paolo Burgio, Marongiu Andrea, Luca Benini, Cyrille Chavet, Philippe Coussy, Dominique Heller
article
15th Euromicro Conference on Digital System Design: Architectures, Methods & Tools, Sep 2012, Turkey. pp.XX-YY
Accès au bibtex
BibTex
titre
Model-Based Product Line Evolution: an Incremental Growing by Extension
auteur
Stephen Creff, Joël Champeau, Jean-Marc Jézéquel
article
16th International Software Product Line Conference SPLC 2012, Sep 2012, El Salvador. pp.107-114
Accès au bibtex
BibTex
titre
Scheduling analysis principles and tool for time and space partitioned systems
auteur
J. Craveiro, J.L. Souza, J. Rufino, Vincent Gaudel, Laurent Lemarchand, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Simposio de informatica, Sep 2012, Lisbone, Portugal. pp.582-585
Accès au bibtex
BibTex
titre
Modeling and Synthesis of a Dynamic and Partial Reconfiguration Controller
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Jean-Philippe Diguet, Guy Gogniat
article
International Conference on Field Programmable Logic and Applications (FPL), Aug 2012, Oslo, Norway
Accès au bibtex
BibTex
titre
Linking Telecom Service High-level Abstract Models to Simulators based on Model Transformations: The IMS Case Study
auteur
Iyas Alloush, Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
18th European Conference on Information and Communications Technologies (EUNICE), Aug 2012, Budapest, Hungary. pp.100-111, ⟨10.1007/978-3-642-32808-4_10⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00739604/file/978-3-642-32808-4_10_Chapter.pdf BibTex
titre
Lightweight reconfiguration security services for AXI-based MPSoCs
auteur
Pascal Cotret, Guy Gogniat, Jean-Philippe Diguet, Jérémie Crenne
article
FPL 2012 (22nd International Conference on Field Programmable Logic and Applications), Aug 2012, Oslo, Norway. pp.655-658, ⟨10.1109/FPL.2012.6339233⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00750332/file/fpl2012_cotret.pdf BibTex
titre
Optimizing the Deployment of Distributed Real-Time Embedded Applications
auteur
Asma Mehiaoui, Sara Tucci Piergiovanni, Jean-Philippe Babau, Laurent Lemarchand
article
IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Aug 2012, Séoul, South Korea. pp.400-403
Accès au bibtex
BibTex
titre
From Specifications towards Hardware
auteur
Catherine Dezan
article
Invited seminar, ARCAA, Jul 2012, Brisbane, Australia
Accès au bibtex
BibTex
titre
Designing formal reconfiguration control using UML/MARTE
auteur
Sébastien Guillet, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
2012 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2012, York, United Kingdom
Accès au bibtex
BibTex
titre
Security enhancements for FPGA-based MPSoCs: a boot-to-runtime protection flow for an embedded Linux-based system
auteur
Pascal Cotret, Florian Devic, Guy Gogniat, Benoit Badrignans, Lionel Torres
article
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, York, United Kingdom. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-00750339/file/recosoc2012_cotret.pdf BibTex
titre
About architecture description languages and scheduling analysis
auteur
Frank Singhoff
article
Schloss Dagstuhl Seminar number 12272, Driven semantic analysis of embedded systems, Jul 2012, Dagstuhl, Germany
Accès au bibtex
BibTex
titre
MHPM : Multi-Scale Hybrid Programming Model A flexible parallelization Medthodology
auteur
Nader Khammassi, Jean-Christophe Le Lann, Jean-Philippe Diguet, Alexandre Skrzyniarz
article
HPCC 2012, Jun 2012, Liverpool, United Kingdom
Accès au bibtex
BibTex
titre
Weighted Alignment Measures of Enterprise Architecture Viewpoints
auteur
Jacques Simonin, Selmin Nurcan, Julie Gourmelen
article
Workshop on BUSiness/IT Alignment and Interoperability (BUSITAL'2012), Jun 2012, Gdansk, Poland. pp.592-599, ⟨10.1007/978-3-642-31069-0_49⟩
Accès au bibtex
BibTex
titre
Modèles et transformations de modèles pour l'introduction de la consommation énergétique dans le standard AUTOSAR
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Conférence en IngénieriE du Logiciel (CIEL), Jun 2012, Rennes, France. papier n°20
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00734226/file/CIEL2012-Tchakaloff-paper20.pdf BibTex
titre
Compensating the High Power Amplifier Nonlinearity for a DVB-S2 System
auteur
Esteban Gabriel Cabanillas, Didier Lohy, Cyril Lahuec, Michel Jezequel
article
NEWCAS 2012 : IEEE 10th International conference on New Circuits and Systems, Jun 2012, Montréal, Canada. pp.1-4
Accès au bibtex
BibTex
titre
Behavioral System Level Power Consumption Modeling of Mobile Video Streaming applications
auteur
Yahia Benmoussa, Jalil Boukhobza, Yassine Hadjadj-Aoul, Loïc Lagadec, Djamel Benazzouz
article
Colloque du GDR SoC SiP, Jun 2012, Paris, France. pp.39
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00724988/file/GDR_Benmoussa_Boukhobza_Benazzouz_Lagadec.pdf BibTex
titre
Performance Evaluation of Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
Colloque du GDR SoC-SiP, Jun 2012, Paris, France. pp.10
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725003/file/olivier_boukhobza_senn_socsip2012_v7.pdf BibTex
titre
Conception sous Contraintes de Sûreté de Fonctionnement et de Consommation d'énergie de Réseau de Capteurs Sans Fil
auteur
Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
article
Le sixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Accès au bibtex
BibTex
titre
A Design Approach to generate optimized parallel hard- ware interleaver architecture
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
article
Colloque nationnale du GdR CoC-SiP, Jun 2012, France
Accès au bibtex
BibTex
titre
Automated Synergistic Parallelization and HW Accele- ration for On-Chip Shared-Memory Clusters
auteur
Paolo Burgio, Marongiu Andrea, Luca Benini, Cyrille Chavet, Philippe Coussy, Dominique Heller
article
Colloque nationnale du GdR Soc-SiP, Jun 2012, France
Accès au bibtex
BibTex
titre
Modélisation algorithmique et synthèse d'architectures assistées par model-checking
auteur
Jean-Christophe Le Lann, Philippe Dhaussy, Pierre-Laurent Lagalaye
article
CAL 2012-, May 2012, Montpellier, France
Accès au bibtex
BibTex
titre
Une organisation des Lignes de Produits Logiciels autour d'un motif architectural
auteur
Stephen Creff, Joël Champeau, Arnaud Monégier, Jean-Marc Jézéquel
article
CAL 2012, May 2012, Montpellier, France
Accès au bibtex
BibTex
titre
Une gouvernance d'un système d'information par l'apprentissage et la collaboration fondés sur la stratégie d'entreprise
auteur
Jacques Simonin, Emmanuel Bertin
article
AIM 2012 : colloque de l'Association information et management, May 2012, Bordeaux, France
Accès au bibtex
BibTex
titre
A Data Warehouse Logical Design Method Based on the Alignment with Business Processes
auteur
Jacques Simonin, Sébastien Bigaret, Julie Gourmelen
article
RCIS 2012 : IEEE 6th International Conference on Research Challenges in Information Science, May 2012, Valencia, Spain
Accès au bibtex
BibTex
titre
A framework for high-level synthesis of heterogeneous MP-SoC
auteur
Youenn Corre, Jean-Philippe Diguet, Dominique Heller, Loic Lagadec
article
GLSVLSI, May 2012, Salt Lake City, United States. pp.283-286, ⟨10.1145/2206781.2206850⟩
Accès au bibtex
BibTex
titre
A Design Approach Dedicated to Network-Based and Conflict-Free Parallel Interleavers
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
article
GLS-VLSI conference, May 2012, United States. pp.XX-YY
Accès au bibtex
BibTex
titre
An Efficient Power Estimation Methodology for Complex RISC Processor-based Platforms
auteur
Santhosh Kumar Rethinagiri, Rabie Ben Atitallah, Jean-Luc Dekeyser, Smail Niar, Eric Senn
article
GLSVLSI 2012, GLSVLSI, May 2012, Salt lake city, UTAH, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-00675469/file/paper_12.pdf BibTex
titre
Bus-based MPSoC security through communication protection: A latency-efficient alternative
auteur
Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet
article
FCCM 2012 (20th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines), Apr 2012, Toronto, Canada. pp.200-207
Accès au texte intégral et bibtex
https://hal.science/hal-00750343/file/fccm2012_cotret.pdf BibTex
titre
An experience of young software engineers' employability in the Moroccan offshore industry
auteur
Vincent Ribaud, Philippe Saliou
article
IEEE EDUCON2012 Collaborative Learning & New Pedagogic Approaches in Engineering Education, Apr 2012, Marrakech, Morocco. pp.1-7, ⟨10.1109/EDUCON.2012.6201187⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00769821/file/SoftwareEngineerEmployability.pdf BibTex
titre
On the Formalization and Executability of the Decision Aid Process with Service Oriented Architecture
auteur
Sébastien Bigaret, Vanea Chiprianov, Patrick Meyer, Jacques Simonin
article
75th Meeting of the EWG MCDA, Apr 2012, Tarragona, Spain
Accès au bibtex
BibTex
titre
Allocation de mémoire dynamique dans les systèmes embarqués
auteur
Maria Soto, André Rossi, Marc Sevaux, Johann Laurent
article
ROADEF, 13ème congrès de la Société Française de Recherche Opérationnelle et d'Aide à la Décision,, Apr 2012, Angers, France
Accès au bibtex
BibTex
titre
Extending Enterprise Architecture Modeling Languages: Application to Telecommunications Service Creation
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
SAC 2012 - 27th Annual ACM Symposium on Applied Computing, Mar 2012, Trento, Italy. pp.810 - 816
Accès au bibtex
BibTex
titre
Hybrid-on-Chip communication architecture for dynamic MP-SoC protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
Symposium on Integrated Circuits and Systems Design, 2012, Brazil
Accès au bibtex
BibTex
titre
Hierarchical NoC-based security for MP-SoC dynamic protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
IEEE Latin American Symposium on Circuits and Systems, 2012, Mexico
Accès au bibtex
BibTex
titre
Protecting embedded systems through system level security mechanisms: from boot-up to steady state execution
auteur
Guy Gogniat
article
Conference on Design of Circuits and Integrated Systems (DCIS), 2012, France
Accès au bibtex
BibTex
titre
Towards Tool Integration through Artifacts and Roles
auteur
Weiqing Zhang, Vincent Leilde, Birger Moller-Pedersen, Christophe Guychard, Joël Champeau
article
Asia-Pacific Software Engineering Conference, 2012, Hong Kong, China. pp.603-613, ⟨10.1109/APSEC.2012.45·⟩
Accès au bibtex
BibTex
titre
Multi-objective Artificial Immune Algorithm for Security-constrained Multi-application NoC mapping
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Cesar Pedraza, Jiang Chau Wang, Marius Strum
article
Genetic and evolutionary computation conference GECCO 2012, 2012, United States
Accès au bibtex
BibTex

Book sections

titre
Integrating DSLs into a Software Engineering Process: Application to Collaborative Construction of Telecom Services
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
Formal and Practical Aspects of Domain-Specific Languages: Recent Developments, IGI Global, pp.415 - 442, 2012, 9781466620926
Accès au bibtex
BibTex

Other publications

titre
Ecole internationale 'Réseaux de capteurs et applications
auteur
Bernard Pottier, Ahcène Bounceur, Yves Lijour, Alain Plantec, David Espes, Cong-Duc Pham, Serge Stinckwich
article
2012
Accès au bibtex
BibTex

Books

titre
SIGBED Review, Volume 9, Number 2, June 2012 Special Issue the 2nd Workshop on Embed With Linux (EWiLi 2012)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, pp.47, 2012, 1551-3688
Accès au bibtex
BibTex
titre
Proceedings of the 2012 International Workshop on Smalltalk Technologies
auteur
Loic Lagadec, Alain Plantec
article
ACM digital library, pp.68, 2012, 978-1-4503-1897-6
Accès au bibtex
BibTex

Reports

titre
A Mixed Integer Linear Programming formulations for optimizing timing performance during the deployment phase in real-time systems design
auteur
Asma Mehiaoui, Tucci-Piergiovanni Sara, Jean-Philippe Babau
article
2012
Accès au texte intégral et bibtex
https://cea.hal.science/cea-00811359/file/TechnicalReport120307AM.pdf BibTex

Theses

titre
Protection des architectures hétérogènes multiprocesseurs dans les systèmes embarqués : Une approche décentralisée basée sur des pare-feux matériels
auteur
Pascal Cotret
article
Electronique. Université de Bretagne Sud, 2012. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00789541/file/manuscrit_cotret_fixed.pdf BibTex

2011

Journal articles

titre
An ada design pattern recognition tool for AADL performance analysis
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Stéphane Rubini, Pierre Dissaux, J. Legrand
article
ACM SIGAda Ada Letters, 2011, 31 (3), pp.61-68
Accès au bibtex
BibTex
titre
An Efficient Hierarchical Dual Cache System for NAND Flash Memories
auteur
Jalil Boukhobza, Pierre Olivier
article
International journal of digital information and wireless communications (IJDIWC), 2011, 1 (1), pp.175-194
Accès au bibtex
BibTex
titre
L'IDM, un levier pour l'intégration d'outils : l'approche iFEST
auteur
Vincent Leilde, Joël Champeau, Papa Issa Diallo, H. Uascar Espinoza
article
Génie logiciel : le magazine de l'ingénierie du logiciel et des systèmes, 2011, 98, pp.10-15
Accès au bibtex
BibTex
titre
Towards Experience Management for Very Small Entities
auteur
Vincent Ribaud, Philippe Saliou, Claude Laporte
article
International Journal On Advances in Software, 2011, 4 (1), pp.218-230
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630359/file/Experience_Management.pdf BibTex
titre
Stochastic multiple-stream decoding of Cortex codes
auteur
Matthieu Arzel, Cyril Lahuec, Christophe Jego, Warren J. Gross, Yvain Bruned
article
IEEE Transactions on Signal Processing, 2011, 59 (7), pp.3486 - 3491
Accès au texte intégral et bibtex
https://hal.science/hal-00617865/file/MSSDecodingOfCortexCodes.pdf BibTex
titre
Architecture, Mechanisms and Scheduling analysis tool for multicore time-and space-partitioned systems
auteur
J. Craveiro, J. Rufino, Frank Singhoff
article
ACM SIGBED Review, 2011, 8 (3), pp.23-27
Accès au bibtex
BibTex
titre
Un assistant de mémoire pour les très petits projets d'ingénierie du logiciel
auteur
Vincent Ribaud, Philippe Saliou, Claude Laporte
article
Études de communication - Langages, information, médiations, 2011, 36, pp.67-86
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630358/file/Assistant_memoire.pdf BibTex
titre
Closed-loop based self-adaptive HW/SW embedded systems: design methodology and smart cam case study
auteur
Jean-Philippe Diguet, Yvan Eustache, Guy Gogniat
article
ACM Transactions on Embedded Computing Systems (TECS), 2011, 10 (3)
Accès au bibtex
BibTex
titre
A self-powered telemetry system to estimate the postoperative instability of a knee implant
auteur
Cyril Lahuec, Shaban Almouahed, Matthieu Arzel, Deepak Gupta, Chafiaa Hamitouche-Djabou, Michel Jezequel, Christian Roux, Eric Stindel
article
IEEE Transactions on Biomedical Engineering, 2011, 58 (3), pp.822 - 825
Accès au bibtex
BibTex
titre
AADL Extension to Model Classical FPGA and FPGA Embedded within a SoC
auteur
Dominique Blouin, Daniel Chillet, Eric Senn, Sebastien Bilavarn, Robin Bonamy, Christian Samoyeau
article
International Journal of Reconfigurable Computing, 2011, Article ID 425401, 15 p. ⟨10.1155/2011/425401⟩
Accès au bibtex
BibTex
titre
Experiment Centric Teaching for Reconfigurable Processors
auteur
Loic Lagadec, Damien Picard, Youenn Corre, Pierre-Yves Lucas
article
International Journal of Reconfigurable Computing, 2011, 2011, pp.952560. ⟨10.1155/2011/952560⟩
Accès au bibtex
BibTex
titre
Analysis and improvement of the alignment between business and information system for telecom services
auteur
Jacques Simonin, Emmanuel Bertin, Yves Le Traon, Jean-Marc Jézéquel, Noel Crespi
article
International Journal On Advances in Software, 2011, 4 (1 & 2), pp.117-128
Accès au bibtex
BibTex

Conference papers

titre
Study of the performance of the embedded processors on NIOS FPGA platforms
auteur
Eric Senn, Lucile Senn
article
IEEE SPOT conference, Dec 2011, Aalborg, Denmark
Accès au bibtex
BibTex
titre
Efficient Key-Dependent Message Authentication in Reconfigurable Hardware
auteur
Jérémie Crenne, Pascal Cotret, Guy Gogniat, Russell Tessier, Jean-Philippe Diguet
article
International Conference on Field-Programmable Technology (FPT'11), Dec 2011, India
Accès au bibtex
BibTex
titre
Organizational Configurations in Executable Enterprise Architecture Models
auteur
Marie Ludwig, Nicolas Farcet, Jean-Philippe Babau, Joël Champeau
article
poster session of Complex Systems Design and Management 2011, Dec 2011, Paris, France
Accès au bibtex
BibTex
titre
Embedded Operating Systems Energy Overhead
auteur
B. Ouni, Cécile Belleudy, Sébastien Bilavarn, Eric Senn
article
International Conference on Design & Architectures for Signal & Image Processing (DASIP), Nov 2011, Tampere, Finland. pp.Session "Low Power Design & Methodologies"
Accès au bibtex
BibTex
titre
Fast and Accurate Hybrid Power Estimation Methodology for Embedded Systems
auteur
Eric Senn, Rabie Ben Atitallah, Santhosh Kumar Rethinagiri, Smail Niar, Jean-Luc Dekeyser
article
The Conference on Design and Architectures for Signal and Image Processing, Nov 2011, Tampere, Finland
Accès au bibtex
BibTex
titre
Forward engineering and early model validation with Smalltalk
auteur
Alain Plantec, Frank Singhoff, V Gaudel, Vincent Ribaud
article
5th Argentine Smalltalk Conference., Nov 2011, Buenos Aires., Argentina
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00664435/file/smalltalk-final-2011.pdf BibTex
titre
Building embedded real-time applications
auteur
J. Mccormick, Frank Singhoff
article
ACM SIGAda conférence, Nov 2011, Denver, Colorado, United States
Accès au bibtex
BibTex
titre
Ensuring QoS of Multimedia Applications in Heterogeneous Home Networks: The CPU Use Case
auteur
Maxime Louvel, Julien Tous, Jean-Philippe Babau, Alain Plantec
article
Embedded and Ubiquitous Computing, EUC 2011, Oct 2011, Melbourne, Australia. pp.19-26
Accès au bibtex
BibTex
titre
High-Level Synthesis: On the Path to ESL Design
auteur
Philippe Coussy, Cyrille Chavet, Dominique Heller
article
International Conference on ASIC (ASICON 2011), Oct 2011, Xiamen, China
Accès au bibtex
BibTex
titre
On the extensibility of plug-ins
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
ICSEA: 6th International Conference on Software Engineering Advances, Oct 2011, Barcelona, Spain. pp.557 - 562
Accès au texte intégral et bibtex
https://hal.science/hal-00725543/file/On%20the%20Extensibility%20of%20Plug-ins-ICSEA.pdf BibTex
titre
Multiple Feature Models & Relationships in a Model-Based Product Line Process
auteur
Stephen Creff, Arnaud Monégier, Joël Champeau, Jean-Marc Jézéquel
article
Lignes de produits, Oct 2011, Paris, France
Accès au bibtex
BibTex
titre
Model Based Engineering for the support of Models of Computation: The Cometa Approach
auteur
Papa Issa Diallo, Joël Champeau, Vincent Leilde
article
International Workshop on Multi-Paradigm Modeling - MPM 2011, Oct 2011, Wellington, New Zealand. pp.ISSN 1863-2122
Accès au bibtex
BibTex
titre
Open-People: Open-Power and Energy Optimization Platform and Estimator
auteur
Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Sébastien Bilavarn, Rabie Ben Atitallah, Agnès Fritsch, Christian Samoyeau
article
Forum SAME 2011 - Sophia Antipolis Microelectronics, Oct 2011, Sophia Antipolis, France
Accès au bibtex
BibTex
titre
A DSML for reversible transformations
auteur
Mickael Kerboeuf, Jean-Philippe Babau
article
11th OOPSLA Workshop on Domain-Specific Modeling, Oct 2011, Portland, United States. pp.1-6
Accès au bibtex
BibTex
titre
Domain Specific Language Modeling Facilities
auteur
Jean-Philippe Babau, Mickael Kerboeuf
article
5th MoDELS workshop on Models and Evolution, Oct 2011, Wellington, Nouvelle-Zélande. pp.1-6
Accès au bibtex
BibTex
titre
AADL real -time design-pattern automatic recognition
auteur
Pierre Dissaux, J. Legrand, Vincent Gaudel, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Aerotech congress, Oct 2011, Toulouse, France. pp.0-0
Accès au bibtex
BibTex
titre
Characterization of OLTP I/O Workloads for Dimensioning Embedded Write Cache for Flash Memories: A Case Study
auteur
Jalil Boukhobza, Ilyes Khetib, Pierre Olivier
article
International Conference on Model and Data Engineering, Sep 2011, Obidos, Portugal. pp.97
Accès au bibtex
BibTex
titre
Open power and energy optimization platform and estimator (open-people)
auteur
Daniel Chillet, Eric Senn, Cécile Belleudy, Rabie Ben Atitallah, Olivier Zendra, Agnes Fritsch
article
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2011), Sep 2011, Madrid, Spain
Accès au bibtex
BibTex
titre
Synthèse d'Architecture Multi-horloges pour la Conception Faible Consommation sur FPGA
auteur
Ghizlane Lebreton, Philippe Coussy, Martin Eric
article
Colloque sur le Traitement du Signal et de l'Image (GRETSI), Sep 2011, France. pp.ID424
Accès au bibtex
BibTex
titre
Implémentation Matérielle des Services d'un RTOS sur Circuit Reconfigurable
auteur
Pierre Olivier, Jalil Boukhobza, Jean-Philippe Babau, Damien Picard, Stéphane Rubini
article
Ecole d'été du temps réel, Aug 2011, Brest, France. pp.199
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00620070/file/ETR2011_camera.pdf BibTex
titre
Defining an annex language to the architecture analysis and design language for requirements engineering activities support
auteur
Dominique Blouin, Skander Turki, Eric Senn
article
2011 Model-Driven Requirements Engineering Workshop (MoDRE), Aug 2011, Trento, France. pp.11-20, ⟨10.1109/MoDRE.2011.6045362⟩
Accès au bibtex
BibTex
titre
Defining an Annex Language to the Architecture Analysis and Design Language for Requirements Engineering Activities Support
auteur
Eric Senn, Dominique Blouin, Skander Turki
article
The 19th IEEE International Requirements Engineering Conference, Aug 2011, Trento, Italy
Accès au bibtex
BibTex
titre
MDE-based FPGA Physical Design Fast Model-Driven Prototyping with Smalltalk
auteur
Ciprian Teodorov, Loic Lagadec
article
IWST 2011, Aug 2011, Edinburgh, United Kingdom. pp.1-13
Accès au bibtex
BibTex
titre
Sélection automatique de tests de faisabilité à l'aide de patrons de conception
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
Session doctorants de l'école temps réel 2011, Aug 2011, Brest, France. pp.185-188
Accès au bibtex
BibTex
titre
Telecommunications service creation: towards extensions for enterprise architecture modeling languages
auteur
Vanea Chiprianov, Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
article
ICSOFT 2011: 6th International Conference on Software and Data Technologies, Jul 2011, Seville, Spain. pp.23 - 29
Accès au bibtex
BibTex
titre
Biniou: Prototypage d'architecture reconfigurable: Volet matériel et flot d'exploitation
auteur
Loic Lagadec
article
Journée du GDR Soc-Sip, Thème architectures reconfigurables, Jul 2011, Paris, France
Accès au bibtex
BibTex
titre
A Cache Management Strategy to Replace Wear Leveling Techniques for Embedded Flash Memory
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
International Symposium on Performance Evaluation of Computer & Telecommunication Systems, Jun 2011, La Haye, Netherlands. paper_45
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607350/file/Boukhobza_Olivier_Rubini_SPECTS_camera_ready.pdf BibTex
titre
An analog core computing the center of pressure in in a knee replacement prosthesis
auteur
Cyril Lahuec, Matthieu Arzel
article
IEEE NEWCAS 2011: IEEE 9th International conference on New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.105 - 108, ⟨10.1109/NEWCAS.2011.5981230⟩
Accès au bibtex
BibTex
titre
A Model based Methodology for SCA Waveform Design Enhancing Portability: Application to the FM3TR Waveform Application
auteur
Frédéric Le Roy, Joël Champeau, J.P. Delehaye
article
SDR'11 WInnComm Europe, Jun 2011, Washington, United States
Accès au bibtex
BibTex
titre
C-lash: a Cache System for Optimizing NAND Flash Memory Performance and Lifetime
auteur
Jalil Boukhobza, Pierre Olivier
article
Digital Information and Communication Technologyand Its Applications (DICTAP), Jun 2011, Dijon, France. page 599
Accès au bibtex
BibTex
titre
Fast Prototyping Environment for Embedded Reconfigurable Units
auteur
Damien Picard, Loic Lagadec
article
RECOSOC, Jun 2011, France. pp.1-8
Accès au bibtex
BibTex
titre
FPGA Physical-Design Automation using Model-Driven Engineering
auteur
Ciprian Teodorov, Damien Picard, Loic Lagadec
article
RECOSOC, Jun 2011, Montpellier, France. pp.1-6
Accès au bibtex
BibTex
titre
FPGA SDK for Nanoscale Architectures
auteur
Ciprian Teodorov, Loic Lagadec
article
RECOSOC, Jun 2011, Montpellier, France. pp.1-8
Accès au bibtex
BibTex
titre
Approach for modeling embedded operating systems energy characterization
auteur
B. Ouni, H. Ben Rekhissa, Cécile Belleudy, Eric Senn
article
Colloque GDR SoC/SiP (System On Chip - System In Package), Jun 2011, Lyon, France. Session Poster P1 : Consommation et Energie dans les SOC/SIP. paper 1.18
Accès au bibtex
BibTex
titre
Management of reconfigurable multi-standards ASIP-based receiver
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Salim Haddad, Jean-Philippe Diguet, John Shield
article
SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2011, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00724998/file/Management_of_reconfigurable_multi-standards_ASIP-based_receiver.pdf BibTex
titre
Hiérarchie mémoire avec un focus sur les mémoires non volatiles de type Flash
auteur
Jalil Boukhobza
article
Ecole thématique ARCHI'11, Jun 2011, Mont-Louis, France
Accès au bibtex
BibTex
titre
Regular 2D Nasic-based Architecture and Design Space Exploration
auteur
Ciprian Teodorov, Pritish Narayanan, Loic Lagadec, Catherine Dezan
article
NANOARCH 2011, Jun 2011, San Diego, United States. pp.70-77
Accès au bibtex
BibTex
titre
Practical meta-model extension for modeling language profiles. An enterprise architecture modeling language extension for telecommunications service creation
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
7th Days of Model Driven Engineering, Jun 2011, Lille, France. pp.85 - 91
Accès au texte intégral et bibtex
https://hal.science/hal-00623182/file/idm2011_submission.pdf BibTex
titre
An approach for describing concurrency and communication of heterogeneous systems
auteur
Papa Issa Diallo, Joël Champeau, Vincent Leilde
article
BM-FA'11, Jun 2011, Birmingham, United Kingdom
Accès au bibtex
BibTex
titre
Framework for Integrating ESL Tools
auteur
Ali Koudri, Teodora Petrisor, Joël Champeau, Vincent Leilde
article
PMDE 2011, Jun 2011, United Kingdom
Accès au bibtex
BibTex
titre
Integrating Design and Runtime Variability Support into a System ADL
auteur
M. Ludwig, Nicolas Farcet, Jean-Philippe Babau, Joël Champeau
article
7th European Conference Modelling Foundations and Applications ECMFA 2011, Jun 2011, Birmingham, United Kingdom. pp.270-281, ⟨10.1007/978-3-642-21470-7_19⟩
Accès au bibtex
BibTex
titre
Designing Parallel Interleaver architecture through Tripartite Edge Coloring Approach
auteur
Sani Awais Hussein, Cyrille Chavet, Philippe Coussy, Eric Martin
article
GDR SoC-SiP, Jun 2011, lyon, France
Accès au bibtex
BibTex
titre
Domain Specific Modelling Applied to Smart Sensors
auteur
Jean-Philippe Schneider, Joël Champeau, Dominique Kerjean, Oussama Kassem Zein, Yves Auffret, Laurent Dufrechou
article
Oceans 2011, Jun 2011, Santander, Spain
Accès au bibtex
BibTex
titre
A Design Approach Dedicated to Pattern-Based and Conflict-Free Parallel Memory System
auteur
Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
article
GDR SoC-SiP, Jun 2011, Lyon, France
Accès au bibtex
BibTex
titre
Towards an Enactment Mechanism for MODAL Process Models
auteur
Pierre-Yves Pillain, Joël Champeau, Hanh Nhi Tran
article
ECMFA 2011, Jun 2011, United Kingdom
Accès au bibtex
BibTex
titre
FPGA modeling for SoC design exploration
auteur
Dominique Blouin, Eric Senn, Robin Bonamy, Daniel Chillet, Sebastien Bilavarn, Christian Samoyeau
article
HEART (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies, Imperial college, Jun 2011, London, United Kingdom
Accès au bibtex
BibTex
titre
Asymmetric Cache Coherency: Improving Multicore Performance for Non-uniform Workloads
auteur
John Shield, Jean-Philippe Diguet, Guy Gogniat
article
6th Int. Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC'11), Jun 2011, France
Accès au bibtex
BibTex
titre
An experiment of a MDE approach for the design of reusable DSL tools
auteur
Mickael Kerboeuf, Alain Plantec, Jean-Philippe Babau
article
journées sur l'Ingénierie Dirigée par les Modèles (IDM), Jun 2011, Lille, France. pp.25-30
Accès au bibtex
BibTex
titre
Alert Management based on Home Automation service activations in an Ambient Assisted Living Environment
auteur
Florent Frizon de Lamotte
article
pHealth 2011, Jun 2011, Lyon, France
Accès au bibtex
BibTex
titre
Processus de développement de système contraint par l'urbanisation d'un système d'information
auteur
Jacques Simonin, Antoine Beugnard, Rémi Nedelec
article
INFORSID 2011 : 29e congrès Informatique des organisations et systèmes d'information et de décision, May 2011, Lille, France. pp.163 - 178
Accès au bibtex
BibTex
titre
A Methodology based on Transportation Problem Modeling for Designing Parallel Interleaver Architectures
auteur
Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
article
36th IEEE International Conference on Acoustics, Speech and Signal Processing, May 2011, Prague, Czech Republic. pp.XX-YY
Accès au bibtex
BibTex
titre
An Approach Based on Edge Coloring of Tripartite Graph for Designing Parallel LDPC Interleaver Architecture
auteur
Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
article
IEEE International Symposium on Circuits and Systems (ISCAS) 2011, May 2011, Rio de Janeiro, Brazil. pp.XX-YY
Accès au texte intégral et bibtex
https://hal.science/hal-00592617/file/ISCAS_2011_Final.pdf BibTex
titre
RDAL: A new language for the definition and verification of requirements against AADL and other architecture models
auteur
Eric Senn, Dominique Blouin
article
NEPTUNE Workshop (Nice Environment with a Process and Tools Using Norms and Examples), May 2011, Paris, France
Accès au bibtex
BibTex
titre
Distributed security for communications and memories in a multiprocessor architecture
auteur
Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Lubos Gaspar, Guillaume Duc
article
RAW 2011 (18th Reconfigurable Architectures Workshop), May 2011, Anchorage, Alaska, United States. pp.326-329, ⟨10.1109/IPDPS.2011.158⟩
Accès au texte intégral et bibtex
https://ujm.hal.science/ujm-00664284/file/2011_RAW_lubos.pdf BibTex
titre
A Reconfigurable Multi-core cryptoprocessor for Multi-channel Communication Systems
auteur
Michael Grand, Lilian Bossuet, Guy Gogniat, Bertrand Le Gal, Jean-Philippe Delahaye, Dominique Dallet
article
IPDPS - 25th IEEE International Parallel & Distributed Processing Symposium, May 2011, Anchorage, United States. pp.199-206
Accès au texte intégral et bibtex
https://hal.science/hal-00595998/file/raw2011-final.pdf BibTex
titre
Modeling the Energy Consumption of Page Fault for Embedded Linux
auteur
Eric Senn, Mickael Lanoe, Jeremy Guillot
article
Symposium en Architectures nouvelles de machines (Sympa'14), May 2011, Saint(Malo, France
Accès au bibtex
BibTex
titre
Constititution d'une chaine d'outils pour une technologie reconfigurable
auteur
Loic Lagadec, Damien Picard
article
Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France
Accès au bibtex
BibTex
titre
Experiment centric teaching for reconfigurable processor
auteur
Damien Picard, Loic Lagadec
article
Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France. pp.1-14
Accès au bibtex
BibTex
titre
Prédiction de Branchement dans la Synthèse de Haut Niveau
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet
article
SYMPosium en Architectures, Saint Malo, Mai 2011, May 2011, St Malo, France. pp.XX-YY
Accès au bibtex
BibTex
titre
Un mécanisme de cache pour les E/S séquentielles en mémoires flash
auteur
Pierre Olivier, Jalil Boukhobza
article
Symposium d'Architecture nouvelles des machines (SympA), May 2011, Saint Malo, France. paper_16
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607339/file/Papier.pdf BibTex
titre
Flashmon : un outil de trace pour les accès à la mémoire flash NAND
auteur
Jalil Boukhobza, Ilyes Khetib, Pierre Olivier
article
Embed With Linux (EWiLi), May 2011, France. pp.2
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607089/file/Papier.pdf BibTex
titre
Modélisation et contrôle de la reconfiguration dynamique et partielle
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Guy Gogniat, Jean-Philippe Diguet
article
SympA 2011, May 2011, France
Accès au bibtex
BibTex
titre
Modeling and verification of memory architectures with AADL and REAL
auteur
Stéphane Rubini, Frank Singhoff, J. Hugues
article
In the proceedings of the 16th IEEE international conference on engineering of complex computer systems, Apr 2011, Las Vegas, United States. pp.338-343
Accès au bibtex
BibTex
titre
Towards semantic interoperability of graphical domain specific modeling languages for telecommunications service design
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
2nd International Conference on Models and Ontology-based Design of Protocols, Architectures and Services (MOPAS), Apr 2011, Budapest, Hungary. pp.21 - 24
Accès au texte intégral et bibtex
https://hal.science/hal-00609302/file/MopasCameraReady.pdf BibTex
titre
Design and implementation of a multi-core crypto-processor for software defined radios
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Guy Gogniat, Dominique Dallet
article
Symposium on Applied Reconfigurable Computing, Mar 2011, Belfast, United Kingdom. pp.29-40, ⟨10.1007/978-3-642-19475-7_5⟩
Accès au bibtex
BibTex
titre
A Network Resource Management Framework for Multimedia Applications Distributed in Heterogeneous Home Networks
auteur
Maxime Louvel, P. Bonhomme, Jean-Philippe Babau, Alain Plantec
article
Advanced Information Networking and Applications, AINA 2011, Mar 2011, Singapour, Singapore. pp.724-731
Accès au bibtex
BibTex
titre
Open Power and Energy Optimization Platform and Estimator (Open-People) ANR Project
auteur
Eric Senn, Jérémie Guillot, Daniel Chillet, Cécile Belleudy, Smail Niar, Olivier Zendra, Christian Samoyeau
article
DATE 2011 - Design, Automation & Test in Europe, Mar 2011, Grenoble, France
Accès au bibtex
BibTex
titre
Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Sébastien Guillet
article
Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2011, Grenoble, France. ⟨10.1109/DATE.2011.5763315⟩
Accès au bibtex
BibTex
titre
Ambient Assisted Living with Linux
auteur
Willy Allègre, Cédric Séguin, Thomas Burger, Florent de Lamotte, Pascal Berruet, Jean-Luc Philippe, Jean-Philippe Diguet
article
Embed With Linux (EWiLi) workshop, 2011, Saint-Malo, France
Accès au texte intégral et bibtex
https://hal.science/hal-00599666/file/misc_com_7.pdf BibTex
titre
Hybrid System Level Power Consumption Estimation for 29FPGA-Based MPSoC
auteur
Santhosh Kumar Rethinagiri, Rabie Ben Atitallah, Smail Niar, Eric Senn, Jean-Luc Dekeyser
article
29th IEEE International Conference on Computer Design ICCD 2011, 2011, Amherst, United States
Accès au bibtex
BibTex
titre
Perturbations of the Laplace-Beltrami Operator
auteur
Nicolas Courty, Thomas Burger, Johann Laurent
article
ECML-PKDD, 2011, Greece. pp.359-374
Accès au bibtex
BibTex

Book sections

titre
End-to-End Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
auteur
Jérémie Crenne, Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
Guy Gogniat, Dragomir Milojevic, Adam Morawiec, Ahmet Erdogan. Algorithm-Architecture Matching for Signal and Image Processing, Springer, pp.171-194, 2011, Lecture Notes in Electrical Engineering
Accès au bibtex
BibTex
titre
Experiments of in-vehicle power line Communications
auteur
Fabienne Nouvel, Sébastien Lenours, Sébastien Pillement, Philippe Tanguy
article
INTECH. Advances in Vehicular Networking Technologies, , 2011, ISBN 978-953-307-241-8. ⟨10.5772/624⟩
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Synthèse d'architectures pour les applications de traitement du signal et de l'image
auteur
Philippe Coussy
article
Electronique. Université de Bretagne Sud, 2011
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00663253/file/HDR2011_parties1_2_3.pdf BibTex

Books

titre
Proceedings of the 2011 International Workshop on Smalltalk Technologies
auteur
Loic Lagadec, Alain Plantec
article
ACM digital library, pp.112, 2011, 978-1-4503-1050-5
Accès au bibtex
BibTex
titre
Security Trends for FPGAS
auteur
Benoit Badrignans, Jean-Luc Danger, Guy Gogniat, Lionel Torres, Viktor Fischer
article
B. Badrignans, J.L. Danger, V. Fischer, G. Gogniat, L. Torres. Springer, 282 p., 2011, 978-94-007-1337-6
Accès au bibtex
BibTex
titre
Building Parallel, Embedded, and Real-Time applications with Ada.
auteur
J.W Mccormick, Frank Singhoff, J. Hugues
article
Cambridge university press UK, 365 p., 2011, 13:9780521197168
Accès au bibtex
BibTex
titre
Selected Papers from the International Workshop on Reconfigurable Communication-centric Systems on Chips (ReCoSoC' 2010)
auteur
Michael Hübner, Jurgen Becker, Loic Lagadec, Gilles Sassatelli
article
Hindawi publishing corporation, pp.164, 2011
Accès au bibtex
BibTex

Reports

titre
Contrôle de capteurs bio sourcés par liaison RFID
auteur
Johann Laurent, Hugues Nono Wouafo
article
2011
Accès au texte intégral et bibtex
https://hal.science/hal-00662690/file/Rapport_final_ECO_I_PACK.pdf BibTex

Theses

titre
Model-Driven Physical-Design for Future Nanoscale Architectures
auteur
Ciprian Teodorov
article
Emerging Technologies [cs.ET]. Université de Bretagne Occidentale (UBO), Brest, 2011. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-02515931/file/manuscript-teodorov_FINAL.pdf BibTex

2010

Journal articles

titre
High-Level Synthesis for Designing Multimode Architectures
auteur
Caaliph Andriamisaina, Philippe Coussy, Emmanuel Casseau, Cyrille Chavet
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, 29 (11), pp.1736
Accès au bibtex
BibTex
titre
Equipping Software Engineering Apprentices with a Repertoire of Practices
auteur
Vincent Ribaud, Philippe Saliou
article
International Journal On Advances in Software, 2010, 3 (1), pp.201-212
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630577/file/Equipping-software.pdf BibTex
titre
Scaling of analog LDPC decoders in sub-100nm CMOS processes
auteur
Meysam Zargham, Christian Schlegel, Jorge Ernesto Perez Chamorro, Cyril Lahuec, Fabrice Seguin, Michel Jezequel, Vincent Gaudet
article
Integration, the VLSI Journal, 2010, 43 (4), pp.365-377. ⟨10.1016/j.vlsi.2010.05.001⟩
Accès au bibtex
BibTex
titre
Spatial Switching data coding technique analysis and improvements for interconnect power consumption optimization
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys
article
Journal of Low Power Electronics, 2010, 6 (1 (2010))
Accès au bibtex
BibTex
titre
Conception fonctionnelle de services d'entreprise fondée sur l'alignement entre coeur de métier et Système d'Information
auteur
Jacques Simonin, Philippe Picouet, Jean-Marc Jézéquel
article
Revue des Sciences et Technologies de l'Information - Série ISI : Ingénierie des Systèmes d'Information, 2010, pp.37-61
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00477524/file/Demarche_de_conception_fonctionnelle_SOE.pdf BibTex
titre
Hardware Discrete Channel Emulator
auteur
Emmanuel Boutillon, Tang Yangyang, Cédric Marchand, Pierre Bomel
article
High Performance Computing and Simulation (HPCS), 2010 International Conference on, 2010, pp.452-458. ⟨10.1109/HPCS.2010.5547099⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00760113/file/Hardware_Discrete_Channel_Emulator_Final.pdf BibTex

Conference papers

titre
IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS)
auteur
Ghizlane Lebreton, Philippe Coussy, Dominique Heller, Eric Martin
article
IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS) 2010, Athens : Grèce (2010), Dec 2010, Greece. pp.531-534
Accès au bibtex
BibTex
titre
An Optimized Run-time Evolution Infrastructure for Component-Based Embedded Systems
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
BENEVOL, Dec 2010, Lille, France
Accès au bibtex
BibTex
titre
HCrypt: a novel concept of crypto-processor with secured key management
auteur
Lubos Gaspar, Viktor Fischer, Florent Bernard, Lilian Bossuet, Pascal Cotret
article
ReConFig 2010 (2010 International Conference on ReConFigurable Computing and FPGAs), Dec 2010, Cancùn, Mexico. pp.280-285, ⟨10.1109/FPT.2011.6132722⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00750348/file/reconfig2010_gaspar.pdf BibTex
titre
Design of Parallel LDPC Interleaver Architecture: A Bipartite Edge Coloring Approach
auteur
Awais Hussein Sani, Philippe Coussy, Cyrille Chavet, Eric Martin
article
IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS) 2010, Dec 2010, Athens, Greece. pp.XX-YY
Accès au texte intégral et bibtex
https://hal.science/hal-00551432/file/PID1509553.pdf BibTex
titre
JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java
auteur
Olivier Reynet, Jean-Christophe Le Lann, Benoit Clement
article
3èmes Journées Démonstrateurs 2010, Nov 2010, Angers, France
Accès au texte intégral et bibtex
https://hal.science/hal-00911269/file/jd2010-OReynet-JCLelann-BClement.pdf BibTex
titre
Fast and Accurate Power Estimation Methodology for Embedded Systems
auteur
Eric Senn, Rabie Ben Atitallah, Jean-Luc Dekeyser, Smail Niar, Santhosh Kumar Rethinagiri
article
PROGram for Research on Embedded Systems & Software (PROGRESS) workshop, Nov 2010, Veldhoven, Netherlands
Accès au bibtex
BibTex
titre
RT-simex: retro-analysis of execution traces
auteur
Julien Deantoni, Frédéric Mallet, Frédéric Thomas, Gonzague Reydet, Jean-Philippe Babau, Chokri Mraidha, Ludovic Gauthier, Laurent Rioux, Nicolas Sordon
article
SIGSOFT FSE, Nov 2010, Santa Fe, United States. pp.377-378, ⟨10.1145/1882291.1882357⟩
Accès au bibtex
BibTex
titre
End-user storytelling with a CIDOC CRM - based semantic wiki
auteur
Vincent Ribaud
article
22th General Conference - International Council of Museums (CIDOC 2010), Nov 2010, Shanghai, China. pp.1 -22
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630580/file/End_user.pdf BibTex
titre
Energy Modeling of the Virtual Memory Subsystem for Real-Time Embedded Systems
auteur
Eric Senn, Mickael Lanoe
article
Conference on Design and Architectures for Signal and Image Processing, DASIP, Oct 2010, Edinburgh, United Kingdom
Accès au bibtex
BibTex
titre
An Introduction to the SystemC Synthesis Subset Standard
auteur
Philippe Coussy, Andres Takach, Michael Mcnamara, Michael Meredith
article
IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2010, Oct 2010, United States
Accès au bibtex
BibTex
titre
A Component-based Run-time Evolution Infrastructure for Resource-Constrained Embedded Systems
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
Generative Programming and Component Engineering, Oct 2010, Eindhoven, Netherlands. pp.73-82
Accès au bibtex
BibTex
titre
A MARTE to AADL Mapping
auteur
Eric Senn, Dominique Blouin
article
ACM/IEEE International Conference on Model Driven Engineerging, Oct 2010, Oslo, Norway
Accès au bibtex
BibTex
titre
Using a Semantic Wiki for Documentation Management in Very Small Projects
auteur
Vincent Ribaud, Philippe Saliou
article
Fourth Metadata and Semantics Conference (MTSR 2010), Oct 2010, Alcala de Henares, Espagne. pp.119-130
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630578/file/Wiki_documentation_management.pdf BibTex
titre
Un wiki sémantique basé sur le CIDOC CRM
auteur
Vincent Ribaud, Patrick Le Boeuf
article
Sixième colloque Veille Stratégique Scientifique et Technologique (VSST 2010), Oct 2010, Toulouse, France. pp.1-12
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00630579/file/Wiki_CIDOC.pdf BibTex
titre
A Multi-Core AES Cryptoprocessor for Multi-Channel SDR
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
article
Military Communication and Information Systems Conference, MCC 2010, Sep 2010, Wroclaw, Poland. pp.1-7
Accès au bibtex
BibTex
titre
Context adaptation for content delivery based on architectural patterns in telecommunication networks
auteur
Vincent Feru, Jacques Simonin, Gaël Fromentoux
article
VFfP 2010 : 2nd International Workshop on Visual Formalisms for Patterns, Sep 2010, Madrid, Spain
Accès au bibtex
BibTex
titre
On Introducing Built-In Test for Software Components in AADL Models
auteur
Valérie-Anne Nicolas
article
IEEE EAST-WEST DESIGN & TEST SYMPOSIUM EWDTS'10, Sep 2010, St. Petersburg, Russia. p.179
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607247/file/paper_nicolasEWDTS10.pdf BibTex
titre
Quantity of Resource aggregation for heterogeneous resource reservation for multimedia applications
auteur
Maxime Louvel, Jacques Pulou, Alain Plantec, Jean-Philippe Babau
article
WIP session, Real-time and networked embedded systems track, Sep 2010, Bilbao, Spain
Accès au bibtex
BibTex
titre
Smalltalk debug lives in the Matrix
auteur
Loic Lagadec, Damien Picard
article
International Workshop on Smalltalk Technologies, Sep 2010, Barcelona, Spain. pp.1-10
Accès au bibtex
BibTex
titre
Decreasing the effects of BJT's parasitics of computing cells for analog decoders
auteur
Nicolas Duchaux, Cyril Lahuec, Gurvan Porhiel, Matthieu Arzel, Fabrice Seguin, Michel Jezequel
article
6th Symposium of Turbo codes and related topics, Sep 2010, Brest, France. pp.261 - 264
Accès au bibtex
BibTex
titre
Alert Management for Home Healthcare Based on Home Automation Analysis
auteur
Thi Bich Thanh Truong, Florent de Lamotte, Jean-Philippe Diguet, Farida Saïd-Hocine
article
32nd Int. Conf. of the IEEE Engineering in Medicine and Biology Society (EMBC'10), Sep 2010, Buenos Aires, Argentina, France
Accès au bibtex
BibTex
titre
Software Engineering Support Activities for Very Small Entities
auteur
Vincent Ribaud, Philippe Saliou, Rory O'Connor, Claude Laporte
article
EuroSPI 2010, Sep 2010, Grenoble, France. pp.165-176
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504444/file/Support_Activities.pdf BibTex
titre
Ligament imbalance metrics and an autonomous measurement system for post TKA
auteur
Deepak Gupta, Cyril Lahuec, Matthieu Arzel, Chafiaa Hamitouche-Djabou, Michel Jezequel
article
32nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Aug 2010, Buenos Aires, Argentina. pp.6417 - 6420
Accès au bibtex
BibTex
titre
Rapid application development on multi-processor reconfigurable systems
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
The International Conference on Field Programmable Logic and Applications (FPL), Aug 2010, Milan, Italy. ⟨10.1109/FPL.2010.65⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00488527/file/Rapid_application_development_on_multi-Processor_reconfigurable_systems_YE_FPL10.pdf BibTex
titre
MDA tool for automating telecom service functional design
auteur
Ankit Ahuja, Jacques Simonin, Rémi Nedelec
article
ECSA 2010 : 4th European Conference on Software Architecture, Aug 2010, Copenhague, Denmark. pp.519 - 522, ⟨10.1007/978-3-642-15114-9⟩
Accès au bibtex
BibTex
titre
Business and information system alignment: a formal solution for telecom services
auteur
Jacques Simonin, Emmanuel Bertin, Yves Le Traon, Jean-Marc Jézéquel, Noel Crespi
article
ICSEA 2010 : The Fifth International Conference on Software Engineering Advances, Aug 2010, Nice, France. pp.278 - 283, ⟨10.1109/ICSEA.2010.49⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00523087/file/Simonin10b.pdf BibTex
titre
A model-driven tool for telecom service development process
auteur
Ankit Ahuja, Jacques Simonin, Rémi Nedelec
article
MASCOTS 2010 : the 18th Annual Meeting of the IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Aug 2010, Miami, United States. pp.427 - 429, ⟨10.1109/MASCOTS.2010.58⟩
Accès au bibtex
BibTex
titre
Experience Management for Very Small Entities: Improving the Copy-paste Model
auteur
Vincent Ribaud, Philippe Saliou, Claude Laporte
article
ICSEA 2010, Aug 2010, France. pp.x-y
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504445/file/ExperienceManagement.pdf BibTex
titre
A Design Flow for Critical Embedded Systems
auteur
Vincent Lefftz, Jean Bertrand, Hugues Cassé, Christophe Clienti, Philippe Coussy, Laurent Maillet-Contoz, Philippe Mercier, Pierre Moreau, Laurence Pierre, Emmanuel Vaumorin
article
5th IEEE Symposium on Industrial Embedded Systems (SIES 2010), Jul 2010, Trento, Italy. pp.229 - 233, ⟨10.1109/SIES.2010.5551393⟩
Accès au bibtex
BibTex
titre
Self-reconfigurable embedded systems: from modeling to implementation
auteur
Guy Gogniat, Jorgiano Vidal, Linfeng Ye, Jeremie Crenne, Sébastien Guillet, Florent de Lamotte, Jean-Philippe Diguet, Pierre Bomel
article
Engineering of Reconfigurable Systems and Algorithms, Jul 2010, Las Vegas, Nevada, United States
Accès au bibtex
BibTex
titre
Hardware Discrete Channel Emulator
auteur
Emmanuel Boutillon, Yangyang Tang, Cédric Marchand, Pierre Bomel
article
Workshop on Exploitation of Hardware Accelerators (WEHA 2010), Jun 2010, France. pp.1-6
Accès au bibtex
BibTex
titre
CAT: An extensible system-level power Consumption Analysis Toolbox for Model-Driven design
auteur
Dominique Blouin, Eric Senn
article
2010 8th IEEE International NEWCAS Conference (NEWCAS), Jun 2010, Montreal, France. pp.33-36, ⟨10.1109/NEWCAS.2010.5603737⟩
Accès au bibtex
BibTex
titre
An Extensible System-Level Power Consumption Analysis Toolbox for Model-Driven Design
auteur
Eric Senn, Dominique Blouin
article
8th IEEE International NEWCAS Conference, Jun 2010, Canada
Accès au bibtex
BibTex
titre
A Bipartite Edge Coloring Approach for designing Parallel Interleaver architecture
auteur
Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
article
Colloque nationnale du GDR SoC-SiP, Jun 2010, France
Accès au bibtex
BibTex
titre
Process Assessment Issues of the ISO/IEC 29110 emerging standard
auteur
Vincent Ribaud, Philippe Saliou
article
PROFES 2010, Jun 2010, Ireland. pp.28-31
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504446/file/ProcessAssessment.pdf BibTex
titre
ISO-Standardized Requirements Activities for Very Small Entities
auteur
Philippe Saliou, Vincent Ribaud
article
RESC 2010 - REFSQ 2010, Jun 2010, Germany. pp.16-28
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504338/file/ISOrequirements.pdf BibTex
titre
A memory Mapping Approach for Parallel Interleaver design with multiples read and write accesses
auteur
Cyrille Chavet, Philippe Coussy
article
IEEE International Symposium on Circuits and Systems (ISCAS), May 2010, Paris, France. page 3168-3171, ⟨10.1109/ISCAS.2010.5537955⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00482682/file/iscas_V5.pdf BibTex
titre
AADL Design-Patterns and Tools for Modelling and Performance Analysis of Real-Time systems
auteur
Pierre Dissaux, Jérôme Legrand, Alain Plantec, Mickael Kerboeuf, Frank Singhoff
article
ERTS2 2010, Embedded Real Time Software & Systems, May 2010, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02264385/file/2C-1.pdf BibTex
titre
Virtual SoPC Rad-Hardening for Satellite Applications
auteur
Ludovic Barrandon, Thierry Capitaine, Loïc Lagadec, Nathalie Julien, Christophe Moy, Thierry Monédière
article
ReCoSoC'10, May 2010, Karlsruhe, Germany. 2 p
Accès au bibtex
BibTex
titre
Meta-tools for software language engineering : a flexible collaborative modeling language for efficient telecommunications service design
auteur
Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais
article
FlexiTools'2010 : Workshop on Flexible Modeling Tools (in conjonction with the 32nd ACM/IEEE ICSE Intl. Conf. on Software Engineering), May 2010, Cape Town, South Africa
Accès au texte intégral et bibtex
https://hal.science/hal-00498418/file/5a.pdf BibTex
titre
AADL design patterns and tools for modelling and performance analysis of real-time systems.
auteur
P. Disseaux, Alain Plantec, Mickael Kerboeuf, Frank Singhoff
article
5th european congress ERTSS Embedded Real-Time Software and System., May 2010, France
Accès au bibtex
BibTex
titre
Predictibility of inter-components latency in a Software Communications Architecture Open Environment
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
24th IEEE International Parallel and Distributed Processing Symposium, Apr 2010, Atlanta, United States
Accès au bibtex
BibTex
titre
Réseaux de Capteurs et Applications au développement durable. Exposition de 9 posters destinés au grand public (http://wsn.univ-brest.fr/ForumDurable)
auteur
Bernard Pottier, Alain Plantec, Philippe Le Parc, Jean Vareille
article
Salon du Développement Durable Ouest. http://www.salondd-ouest.fr/, Mar 2010, Brest, Penfeld, France
Accès au bibtex
BibTex
titre
Static Address Generation Easing: a Design Methodology for Parallel Interleaver Architectures
auteur
Cyrille Chavet, Philippe Coussy, Eric Martin, Pascal Urard
article
35th International Conference on Acoustics, Speech, and Signal Processing (ICASSP) 2010, Mar 2010, Dallas, United States. Pages: 1594-1597, Paper ID : 2559, ⟨10.1109/ICASSP.2010.5495535⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00455121/file/Sage_ICASSP_V13.pdf BibTex
titre
Latency estimation due to middleware used in Software Defined Radio Platform
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
6th Workshop on Software Radio, Mar 2010, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
Comparison of six ways to extend the scope of Cheddar to AADL v2 with Osate
auteur
Mickael Kerboeuf, Alain Plantec, Frank Singhoff, A. Schach, Pierre Dissaux
article
5th international workshop on AADL and UML, Mar 2010, United Kingdom. pp.367-372
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable embedded systems
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
Design Automation and Test in Europe, 2010, Dresden, Germany. pp.1195
Accès au bibtex
BibTex
titre
Modeling and formal control of partial dynamic reconfiguration
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
Reconfig, 2010, Cancun, Mexico
Accès au bibtex
BibTex
titre
Flot ADL d'exploration et de prototypage d'architectures reconfigurables
auteur
Damien Picard, Loïc Lagadec
article
Colloque annuel du GDR Soc-Sip, 2010, Cergy pontoise, France
Accès au bibtex
BibTex
titre
Teaching reconfigurable computer : the Biniou approach
auteur
Loïc Lagadec, Damien Picard, Pierre-Yves Lucas
article
ReCoSoc 2010, 2010, Karlshrue, Germany. pp.127-134
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable multiprocessor embedded systems,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Philippe Soulard
article
Design, Automation & Test in Europe Conference & Exhibition, 2010, Germany. pp.1195 - 1200
Accès au bibtex
BibTex

Book sections

titre
Chapter 5: Hardware Security in Embedded Systems
auteur
Lilian Bossuet, Guy Gogniat
article
Editied by F. Krief. Communicating Embedded Systems, Network Applications, ISTE Ltd and John Wiley & Sons, Inc,, pp.139 - 174, 2010
Accès au bibtex
BibTex
titre
Modeling and testing secure web applications
auteur
Wissam Mallouli, Mounir Lallali, Amel Mammar, Gerardo Morales, Ana Rosa Cavalli
article
Web-based information technologies and distributed systems, Atlantis Press, pp.207-255, 2010, Atlantis Ambient and Pervasive Intelligence, ⟨10.1007/978-94-91216-32-9_10⟩
Accès au bibtex
BibTex
titre
Advanced Metaheuristics for High-Level Synthesis
auteur
Kods Trabelsi, Marc Sevaux, Philippe Coussy, André Rossi, Kenneth Sörensen
article
Metaheuristics, Springer, pp.xxx-xxx, 2010
Accès au bibtex
BibTex
titre
Model integration for formal qualification of timing-aware software data acquisition components
auteur
Jean-Philippe Babau, Philippe Dhaussy, Pierre-Yves Pillain
article
Model Driven Engineering for Distributed Real-Times and Embedded Systems, ISTE, pp.167-200, 2010
Accès au bibtex
BibTex

Other publications

titre
Retour d'expérience sur un projet de recherche appliquée dans le domaine de l'automatisation de la génération de données de test
auteur
Valérie-Anne Nicolas
article
2010
Accès au bibtex
BibTex
titre
Open-PEOPLE ANR Project, Open Power and Energy Optimization Platform and Estimator
auteur
Daniel Chillet, Eric Senn, Olivier Zendra, Smail Niar, Cécile Belleudy, V. Tissier, Christian Samoyeau
article
2010, vol.24, p.16
Accès au bibtex
BibTex

Patents

titre
Système de surveillance
auteur
Johann Laurent, Jean-Philippe Diguet, Yvan Eustache
article
France, N° de brevet: BFF 10P0276. 2010, pp.9
Accès au bibtex
BibTex
titre
Procédé de codage de données à au moins deux étapes d'encodage à et au moins une étape de permutation, dispositif de codage, programme d'ordinateur et signal correspondants
auteur
Jorge Ernesto Perez Chamorro, Fabrice Seguin, Cyril Lahuec, Matthieu Arzel
article
France, N° de brevet: 2 941 829. 7662. 2010
Accès au bibtex
BibTex

Theses

titre
Architecture multi-agents pour le pilotage automatique des voiliers de compétition et Extensions algébriques des réseaux de Petri
auteur
Goulven Guillou
article
Informatique [cs]. Université de Bretagne occidentale - Brest, 2010. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00559442/file/these.pdf BibTex

2009

Journal articles

titre
Energy and power consumption estimation for embedded applications and operating systems
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Dominique Blouin, Johann Laurent
article
Journal of Low Power Electronics, 2009, 5 (3)
Accès au bibtex
BibTex
titre
Validate, Simulate and Implement ARINC653 Systems using the AADL
auteur
Julien Delange, Laurent Pautet, Alain Plantec, Mickael Kerboeuf, Frank Singhoff, Fabrice Kordon
article
ACM SIGAda Ada Letters, 2009, 29 (3), pp.31-44. ⟨10.1145/1653616.1647435⟩
Accès au bibtex
BibTex
titre
Investigating the usability of real-time scheduling theory with the Cheddar project
auteur
Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
Journal of Real Time Systems. Volume 3. Number 43. Springer Verlag. ISSN:0922-6443, 2009, pp.259-295
Accès au bibtex
BibTex
titre
Analog decoder performance degradation due to BJT's parasitic elements
auteur
Nicolas Duchaux, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
article
IEEE Transactions on Circuits and Systems I: Regular Papers, 2009, 56 (11), pp.2402 - 2410
Accès au texte intégral et bibtex
https://hal.science/hal-01801572/file/tcas2009.pdf BibTex
titre
A subthreshold PMOS analog cortex decoder for the (8,4,4) hamming code
auteur
Jorge Ernesto Perez Chamorro, Cyril Lahuec, Fabrice Seguin, Gérald Le Mestre, Michel Jezequel
article
Journal of the electronics and telecommunications research institute, 2009, 31 (5), pp.585 - 592. ⟨10.4218/etrij.09.0109.0207⟩
Accès au bibtex
BibTex
titre
Qinna: a component-based framework for runtime safe resource adaptation of embedded systems
auteur
Laure Gonnord, Jean-Philippe Babau
article
Scalable Computing : Practice and Experience, 2009, 10 (3), pp.253-264
Accès au bibtex
BibTex
titre
Exploration and Rapid Prototyping of DSP Applications using SystemC Behavioral Simulation and High-Level Synthesis
auteur
F. Thabet, Philippe Coussy, Dominique Heller, E. Martin
article
Journal of Signal Processing Systems, 2009, 56 (2), pp.167-186. ⟨10.1007/s11265-008-0235-1⟩
Accès au bibtex
BibTex
titre
An Introduction to High-Level Synthesis
auteur
Philippe Coussy, Daniel D. Gajski, Andres Takach, Michael Meredith
article
IEEE Design & Test, 2009, 26 (4), pp.8-17
Accès au bibtex
BibTex
titre
Raising the Abstraction Level of Hardware Design
auteur
Philippe Coussy, Andres Takach
article
IEEE Design & Test, 2009, 26 (4), pp.4-6
Accès au bibtex
BibTex
titre
Discussion on the general oscillation startup condition and the Barkhausen criterion
auteur
He Fan, Raymond Ribas, Cyril Lahuec, Michel Jezequel
article
Analog Integrated Circuits and Signal Processing, 2009, 59 (2), pp.215 - 221
Accès au bibtex
BibTex
titre
Toolset for Nano Reconfigurable Computing
auteur
Loïc Lagadec, Bernard Pottier, Damien Picard
article
Micro electronics journal, 2009, 40 (4-5), pp.665-672
Accès au bibtex
BibTex
titre
Towards a Framework for Designing Applications onto hybrid nano/CMOS fabrics
auteur
Catherine Dezan, Ciprian Teodorov, Loïc Lagadec, Michael Leuchtenburg, Teng Wang, Pritish Narayanan, Csaba Andras Moritz
article
Microelectronics Journal, 2009, 40 (4-5), pp.656-664. ⟨10.1016/j.mejo.2008.07.072⟩
Accès au bibtex
BibTex
titre
Ultra-Fast Downloading of Partial Bitstreams Through Ethernet
auteur
Pierre Bomel, Jeremie Crenne, Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
Lecture Notes in Computer Science, 2009, vol. 5455., pp.72-83
Accès au bibtex
BibTex
titre
Multi-Level Simulation of Heterogeneous Reconfigurable Platforms
auteur
Damien Picard, Loïc Lagadec
article
International Journal of Reconfigurable Computing, 2009, 2009, pp.12. ⟨10.1155/2009/162416⟩
Accès au bibtex
BibTex
titre
Experiencing a Problem-Based Learning Approach for Teaching Reconfigurable Architecture Design
auteur
Erwan Fabiani
article
International Journal of Reconfigurable Computing, 2009, 2009, pp.923415. ⟨10.1155/2009/923415⟩
Accès au bibtex
BibTex
titre
A Security Approach for Off-chip Memory in embedded Microprocessor Systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Eduardo Wanderley, Russell Tessier, Wayne Burleson
article
Microprocessors and Microsystems: Embedded Hardware Design , 2009, pp. 37-45
Accès au bibtex
BibTex

Conference papers

titre
Modelling and estimating the energy consumption of embedded applications and operating systems
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Johann Laurent
article
IEEE 12th International Symposium on Integrated Circuits (ISIC 2009), Dec 2009, Singapore. pp.1
Accès au bibtex
BibTex
titre
A Sequential Workload Performance Study of Embedded NAND Flash Memories
auteur
Jalil Boukhobza
article
3rd International Conference on Electrical Engineering, Dec 2009, Boumerdès, Algeria. pp.track2_esc007
Accès au bibtex
BibTex
titre
Assisted Living Service Identification Based on Activity Patterns
auteur
Thi Bich Thanh Truong, Florent de Lamotte, Jean-Philippe Diguet, Farida Saïd-Hocine
article
The 4th International Conference on Pervasive Computing and Applications, Dec 2009, Taipei, Taiwan. pp.1-6
Accès au bibtex
BibTex
titre
IP reuse in an MDA MPSoPC co-design approach
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
International Conference on Microelectronics (ICM'09), Dec 2009, Morocco. pp.0
Accès au bibtex
BibTex
titre
A ligament laxity telemetry system architecture for a knee replacement prosthesis
auteur
Cyril Lahuec, Matthieu Arzel, Manuel Gouriou, François Gallée
article
2nd International Symposium on Applied Sciences in Biomedical and Communication Technologies, Nov 2009, Bratislava, Slovakia. pp.1 - 6
Accès au texte intégral et bibtex
https://hal.science/hal-01801574/file/PID1050089.pdf BibTex
titre
A Reconfigurable Crypto Sub System for the Sotware Communication Architecture
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
article
IEEE Military Communications Conference, MILCOM 2009, Oct 2009, Boston, United States. pp.1-7
Accès au bibtex
BibTex
titre
Kohonen approach for assisted living services construction
auteur
Thi Bich Thanh Truong, Farida Saïd-Hocine, Florent Frizon de Lamotte, Jean-Philippe Diguet
article
XVI rencontres de la société Francophone de classification, Oct 2009, France
Accès au bibtex
BibTex
titre
Bootstrapping an empty repertoire of experience: the design case
auteur
Philippe Saliou, Vincent Ribaud
article
HAOSE 2009 - OOPSLA 2009, Oct 2009, United States. pp.x-y
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504339/file/Bootstrapping.pdf BibTex
titre
Building a Semantic Virtual Museum: from Wiki to Semantic Wiki using Named Entity Recognition
auteur
Alain Plantec, Vincent Ribaud
article
OOPSLA 2009, Oct 2009, United States. pp.769-770
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504321/file/Wiki-to-SMW-UsingNER-02072009.pdf BibTex
titre
Configuration server for self-adaptive architectures
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
DASIP, Sep 2009, Sophia Antipolis, France. Paper ID: 47
Accès au bibtex
BibTex
titre
Reconfigurable MPSoCs for On-Demand Computing
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
GRETSI 2009, Sep 2009, Dijon, France. pp.1
Accès au bibtex
BibTex
titre
Building an Observatory of Course-of-Action in Software Engineering: towards a Link between ISO/IEC Software Engineering standards and a Reflective Practice
auteur
François-Xavier Bru, Gaëlle Frappin, Ludovic Legrand, Estéban Merrer, Sylvain Piteau, Guillaume Salou, Philippe Saliou, Vincent Ribaud
article
EuroSPI 2009, Sep 2009, Spain. pp.185-200
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504449/file/Ribaud_Saliou_Building_An_Observatory_of_Software_Engineering_Activities.pdf BibTex
titre
Hierarchical and Multiple-Clock Domain High-Level Synthesis for Low-Power Design on FPGA
auteur
Ghizlane Lebreton, Philippe Coussy, Dominique Heller, Eric Martin
article
International Conference on Field Programmable Logic and Applications, Aug 2009, Italy. pp.464-468
Accès au bibtex
BibTex
titre
Using integer linear programming in test-bench generation for evaluating communication processors
auteur
Eric Senn, André Rossi, Nathalie Julien, Dominique Monnereau
article
12th Euromicro Conference on Digital System Design (DSD), Aug 2009, Patras, Greece
Accès au bibtex
BibTex
titre
Proactive remote healthcare based on multimedia and home automation services
auteur
Thi Bich Thanh Truong, Florent Frizon de Lamotte, Jean-Philippe Diguet
article
IEEE international Conference on Automation Science and Engineering, Aug 2009, Brangalore, India. pp.385-390
Accès au bibtex
BibTex
titre
Efficient and Adapted Component-Based Strategies for Embedded Software Device Drivers Development
auteur
Juan Navas Mantilla, Jean-Philippe Babau
article
EPS'09, Aug 2009, Canada. pp.514-519
Accès au bibtex
BibTex
titre
Revealing Software Engineering Theory-in-Use through the Observation of Software Engineering Apprentices' Course-of-action
auteur
Vincent Ribaud, Philippe Saliou
article
ICCGI 2009, Aug 2009, France. pp.202-210
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504450/file/Revealing.pdf BibTex
titre
Minimal yet Effective Reconfiguration Infrastructures in Component-Based Embedded Systems
auteur
Juan Navas Mantilla, Jean-Philippe Babau, O. Lobry
article
SINTER'09, Aug 2009, Netherlands. pp.43-48
Accès au bibtex
BibTex
titre
Interconnect Explorer: A High-level Power Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
User Track of the Design Automation Conference, DAC 2009, Jul 2009, San Francisco, United States. pp.1
Accès au bibtex
BibTex
titre
Optimizing Component-Based Embedded Software
auteur
Olivier Lobry, Juan Navas, Jean-Philippe Babau
article
2nd IEEE International Workshop on Component-Based Design of Resource-Constrained Systems(CORCS09), Jul 2009, Seattle, United States. pp.491-496
Accès au bibtex
BibTex
titre
Networked Self-Adaptive Systems: An Opportunity for Configuring in the Large
auteur
Jean-Philippe Diguet, Linfeng Ye, Yvan Eustache, Jeremy Crennes, Pierre Bomel, Guy Gogniat, Jorgiano Vidal, Florent de Lamotte
article
Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA), Jul 2009, Las Vegas, USA, France
Accès au bibtex
BibTex
titre
VNS for high-level synthesis
auteur
Philippe Coussy, André Rossi, Marc Sevaux, Kenneth Sörensen, Kods Trabelsi
article
Proceedings of 8th Metaheuristics International Conference, MIC 2009, Jul 2009, Hamburg, Germany. pp.173:1-173:10
Accès au bibtex
BibTex
titre
Building and Using System, Algorithmic, and Architectural Power and Energy Models in the FPGA Design-Flow
auteur
Eric Senn, Nathalie Julien
article
ReCoSoC 2006, Jul 2009, France
Accès au bibtex
BibTex
titre
Modelling and estimating the energy consumption of embedded applications and operating systems
auteur
Eric Senn, Saadia Douhib, Jean-Philippe Diguet, Johann Laurent
article
IEEE 12th International Symposium on Integrated Circuits, Jul 2009, Singapore
Accès au bibtex
BibTex
titre
Trade-off between surface, biasing current and performance of an analog turbo decoder
auteur
Nicolas Duchaux, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
article
IEEE joint conference NEWCAS-TAISA'09, June 28 - July 01, Toulouse, France, Jun 2009, Toulouse, France. pp.199 - 202
Accès au bibtex
BibTex
titre
Towards a Model II Theory-in-use for young software engineers and small sofware teams
auteur
Vincent Ribaud, Philippe Saliou
article
CISE 2009, Jun 2009, Bulgaria. pp.26.1-8
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504448/file/Towards_a_Model_II.pdf BibTex
titre
Model Driven High-level Power Estimation of Embedded Operating Systems Communication Services
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Johann Laurent, Dominique Blouin
article
IEEE 2009 International Conferences on Embedded Software and Systems, May 2009, Hangzhu, China. pp.ISBN: 978-0-7695-3678-1, ⟨10.1109/ICESS.2009.94⟩
Accès au bibtex
BibTex
titre
Model Driven High-level Power Estimation of Embedded Operating Systems Communication and Synchronization Services
auteur
Eric Senn, Saadia Douhib, Jean-Philippe Diguet
article
6th IEEE International Conference on Embedded Software and Systems, May 2009, China
Accès au bibtex
BibTex
titre
Decoding a family of dense codes using the sum-product algorithm and subthreshold PMOS
auteur
Jorge Ernesto Perez Chamorro, Fabrice Seguin, Cyril Lahuec, Gérald Le Mestre, Michel Jezequel
article
IEEE International Symposium on Circuits And Systems, Taiwan, May 2009, Taipei, Taiwan. pp.2685 - 2688
Accès au bibtex
BibTex
titre
A Convolutional Code for On-chip Interconnect Crosstalk Reduction
auteur
Antoine Courtay, Emmanuel Boutillon, Johann Laurent
article
IEEE International Symposium on Circuits and Systems, ISCAS 2009, May 2009, Taipei, Taiwan. pp.1
Accès au bibtex
BibTex
titre
VNS for High Level Synthesis
auteur
Kods Trabelsi, Philippe Coussy, André Rossi, Marc Sevaux
article
Colloque national du GDR SOC-SIP, May 2009, Paris, France
Accès au bibtex
BibTex
titre
Quantity of Resource Properties Expression and Runtime Assurance for Embedded Systems
auteur
Laure Gonnord, Jean-Philippe Babau
article
AICCSA - The seventh ACS/IEEE International Conference on Computer Systems and Applications, May 2009, Rabat, Morocco
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00349918/file/aiccsa2009_gonnord_babau_with_copyright.pdf BibTex
titre
An infrastructure for planning, monitoring and reusing capstone projects with the help of semantic wikis
auteur
Jean-Hugues Belpois, Vincent Ribaud, Philippe Saliou
article
Wikis4SE: Wiki4SE - ICSE 2009, May 2009, United States. pp.91-100
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00502064/file/An_infrastructure_for_planning_monitoring_and_reusing_capstone_projects_with_the_help_of_semantic_wikis.pdf BibTex
titre
Optimizing Memory Access Latencies on a Reconfigurable Multimedia Accelerator: A Case of a Turbo Product Codes Decoder
auteur
Samar Yazdani, Thierry Goubier, Bernard Pottier, Catherine Dezan
article
ARC 2009, the 5th International Workshop on Applied Reconfigurable Computing, Mar 2009, Germany. pp.287-292, ⟨10.1007/978-3-642-00641-8_30⟩
Accès au bibtex
BibTex
titre
Contribution du Lab-STICC au projet FP6 Morpheus
auteur
Loïc Lagadec
article
Colloque annuel du GDR Soc-SIP, 2009, Paris, France
Accès au bibtex
BibTex
titre
A co-design approach for embedded system modeling and code generation with UML and MARTE,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Philippe Soulard, Jean-Philippe Diguet
article
Design, Automation & Test in Europe, 2009, France
Accès au bibtex
BibTex
titre
Software-Like Debugging Methodology for Reconfigurable Platforms
auteur
Loïc Lagadec, Damien Picard
article
International Symposium on Parallel&Distributed Processing, 2009, Rome, European Union. pp.1-4, ⟨10.1109/IPDPS.2009.5161224⟩
Accès au bibtex
BibTex
titre
Présentation de l'Université de Bretagne Occidentale / Université Européenne de Bretagne et des activités du LAB-STICC
auteur
Loic Lagadec
article
Facultés des sciencs exactes, 2009, Béjaia, Algeria
Accès au bibtex
BibTex
titre
Using Integer Linear Programming in Test-Bench Generation for Evaluating Communication Processors
auteur
Eric Senn, David Monnereau, André Rossi, Nathalie Julien
article
12th Euromicro Conference on Digital System Design, 2009, Greece. pp.217-220, ⟨10.1109/DSD.2009.236⟩
Accès au bibtex
BibTex

Book sections

titre
Power and energy consumption estimations in model based design
auteur
Eric Senn, Saadia Dhouib, Dominique Blouin, Johann Laurent, Skander Turki, Jean-Philippe Diguet
article
Languages for Embedded Systems and their Applications, Springer, 2009
Accès au bibtex
BibTex
titre
Power and energy consumption estimations in model based design
auteur
Eric Senn, Jean-Philippe Diguet, Johann Laurent, Saadia Douhib, Skander Turki, Dominique Blouin
article
Martin Radetzki. Languages for Embedded Systems and their Applications, Springer, pp.1, 2009, Lecture Notes in Electrical Engineering series
Accès au bibtex
BibTex
titre
Spatial Design
auteur
Loïc Lagadec, Damien Picard, Bernard Pottier
article
Voros, Nikolaos and Rosti, Alberto and Hübner, Michael (Eds.). Dynamic System Reconfiguration in Heterogeneous Platforms. The MORPHEUS Approach, Springer, chapter 13, 2009, Lecture Notes in Electrical Engineering, Vol. 40, ⟨10.1007/978-90-481-2427-5⟩
Accès au bibtex
BibTex
titre
SoC/SoPC development using MDD and MARTE profile
auteur
Denis Aulagnier, Ali Koudri, Stéphane Lecomte, Philippe Soulard, Joël Champeau, Jorgiano Vidal, Gilles Perrouin, Pierre Leray
article
Babau, Jean-Philippe and Blay-Fornarino, Mireille and Champeau, Joël and Gèrard, Sèbastien and Robert, Sylvain and Sabetta, Antonino. Model Driven Engineering for Distributed Real-time Embedded Systems, ISTE, 2009
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00468650/file/Aulagnier09a.pdf BibTex

Other publications

titre
On-chip interconnects energy consumption: High-level estimation and architectural optimizations
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2009
Accès au bibtex
BibTex

Books

titre
IEEE Design and Test of Computer, Special Issue on High-Level Synthesis
auteur
Philippe Coussy, Andres Takach
article
IEEE, pp.104, 2009
Accès au bibtex
BibTex

Patents

titre
Apparatus for data interleaving algorithm
auteur
Cyrille Chavet, Philippe Coussy, Eric Martin, Pascal Urard
article
France, Patent n° : 0754793. 2009, pp.10
Accès au bibtex
BibTex

Poster communications

titre
Building a Semantic Virtual Museum: from Wiki to Semantic Wiki using Named Entity Recognition
auteur
Alain Plantec, Vincent Ribaud, Vasudeva Varma
article
Symposium on wikis - Wikisym colocated with 24th ACM SIGPLAN Object oriented programming systems languages and applications 2009, Oct 2009, Orlando, United States
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02912811/file/Visio-PosterBuildingASemanticVirtualMuseumFromWikiToSemanticWikiUsingNamedEntityRecognition.pdf BibTex

2008

Journal articles

titre
A Priori Implementation Effort Estimation for HW Design Based on Independent-Path Analysis
auteur
Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
article
EURASIP Journal on Embedded Systems, 2008
Accès au bibtex
BibTex
titre
High-Level Interconnect Delay and Power Estimation
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
Journal of Low Power Electronics, 2008, 4 (1), pp.1-13. ⟨10.1166/jolpe.2008.152⟩
Accès au bibtex
BibTex
titre
A Networked, Lightweight and Partially Reconfigurable Platform
auteur
Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
4th International Workshop, ARC 2008, London, UK, LNCS 4943, 2008, LNCS 4943 (ISSN 0302-9743, ISBN-10 3-540-78609-0, ISBN-13 978-3-540-78609-2), pp.318-323
Accès au texte intégral et bibtex
https://hal.science/hal-00282224/file/arc2008.pdf BibTex
titre
Reconfigurable hardware for high-security high-performance embedded systems : The SAFES perspective
auteur
Guy Gogniat, Tim Wolf, Wayne Burleson, Jean-Philippe Diguet, Lilian Bossuet, Romain Vaslin
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, 16 (2)
Accès au bibtex
BibTex
titre
Estimation et optimisation de la consommation des mémoires
auteur
Eric Senn, Gwenolé Corre, Nathalie Julien
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2008, 27 (1-2)
Accès au bibtex
BibTex
titre
Multiple Word-Length High-Level Synthesis
auteur
Philippe Coussy, Ghizlane Lebreton, Dominique Heller
article
EURASIP Journal on Embedded Systems, 2008, pp.xx-yy. ⟨10.1155/2008/916867⟩
Accès au bibtex
BibTex

Conference papers

titre
Evolution of an integrated course towards a sandwich course
auteur
Vincent Ribaud, Philippe Saliou
article
IEEIII 2008, Dec 2008, Italy. pp.92-104
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504454/file/EvolutionOfAnICTASC.pdf BibTex
titre
A Comparative Study of Two Software Defined Radio Environments
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
SDR Forum Technical Conference, Oct 2008, Washington, United States
Accès au bibtex
BibTex
titre
Validation of a Mixed-Signal Board ATPG Method
auteur
Valérie-Anne Nicolas, Bertrand Gilles, Laurent Tchamnda Nana
article
6th IEEE EAST-WEST DESIGN & TEST SYMPOSIUM EWDTS'08, Oct 2008, Lviv, Ukraine
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00783154/file/EWDTS08.pdf BibTex
titre
Resources Annotation, Retrieval and Presentation: a semantic annotation management system
auteur
Marc Albert, Ceddrik Allery, Nicolas Freiss, Grégory L'Azou, Alban Moreau, Jonathan Piron, Vincent Ribaud, Philippe Saliou
article
CSTST 2008, Oct 2008, France. pp.303-309
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504455/file/Resourcesannotation.pdf BibTex
titre
Multi-Level power consumption modelling in the AADL design flow for DSP, GPP, and FPGA
auteur
Eric Senn, Johann Laurent, Jean-Philippe Diguet
article
International Workshop on Model Based Architecting and Construction of Embedded Systems (ACESMB 2008, in conjunction with MODELS 2008), Sep 2008, Toulouse, France. pp.1
Accès au bibtex
BibTex
titre
Refining power consumption estimations in the component based AADL design flow
auteur
Eric Senn, Johann Laurent, Jean-Philippe Diguet
article
ECSI Forum on specification & Design Languages FDL08, Sep 2008, Stuttgart, Germany. pp.1
Accès au bibtex
BibTex
titre
Novel Cross-Transition Elimination Technique Improving Delay and Power Consumption for On-Chip Buses
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
International Workshop on Power and Timing Modeling, Optimization and Simulation. PATMOS 2008, Sep 2008, Lisbonne, Portugal. pp.359-368
Accès au bibtex
BibTex
titre
Fine Grain Parallel Decoding of Turbo Product Codes: Algorithm and Architecture
auteur
Thierry Goubier, Catherine Dezan, Bernard Pottier, Christophe Jégo
article
5th international symposium on turbo codes and related topics, Sep 2008, Lausanne, Switzerland. pp.90-95
Accès au bibtex
BibTex
titre
Energy models of real time operating systems on FPGA
auteur
Saadia Dhouib, Jean-Philippe Diguet, Eric Senn, Johann Laurent
article
Euromicro 4th Int. Work. on Operating Systems Platforms for Embedded Real-Time Applications (OSPERT), Jul 2008, Prague, Czech Republic
Accès au bibtex
BibTex
titre
Process System Modeling for RSoC
auteur
Damien Picard, Bernard Pottier, Ciprian Teodorov
article
Reconfigurable Communication-centric Systems-on-Chip workshop., Jul 2008, Barcelone, Spain. Session 6: Mapping and Programming Models
Accès au bibtex
BibTex
titre
Effect of BJT's parasitics on computing cells for analog decoders
auteur
Nicolas Duchaux, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
article
NEWCAS-TAISA, 22-25 juin 2008, Montréal, Québec, Canada, Jun 2008, Montréal, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-01809330/file/N_Duchaux_TelecomBZH_TAISA_2008.pdf BibTex
titre
Control/Command code generation using Model Engineering applied on an electric train
auteur
Florent de Lamotte, Pascal Berruet, André Rossi, Jean Luc Philippe
article
17th IFAC World Congress 2008, Jun 2008, Seoul, South Korea. pp.8327-8332
Accès au bibtex
BibTex
titre
On the Way to Design Computing Architectures with Emerging Nanoscale Technologies
auteur
Ciprian Teodorov, Catherine Dezan, Loïc Lagadec
article
Colloque annuel GDR-SOC-Sip, Jun 2008, Paris, France
Accès au bibtex
BibTex
titre
About Real Time Scheduling Analysis of Ada Applications
auteur
Frank Singhoff
article
Tutorial presented in the 13th International Conference on Reliable Software Technologies, Ada-Europe, Jun 2008, Italy. pp.x-y
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504346/file/rts.pdf BibTex
titre
Can we increase the usability of real time scheduling theory ? The Cheddar project.
auteur
Frank Singhoff, Alain Plantec, Pierre Dissaux
article
Invited paper in the 13th International Conference on Reliable Software technologies, Ada-Europe, LNCS/Lecture notes on computer science, Springer-Verlag editor, Jun 2008, France
Accès au bibtex
BibTex
titre
Experiencing a problem-based learning approach for teaching reconfigurable architecture design
auteur
Erwan Fabiani
article
3rd International Workshop on Reconfigurable Computing Education, Apr 2008, Montpellier, France
Accès au bibtex
BibTex
titre
A project-based immersion system
auteur
Vincent Ribaud, Philippe Saliou
article
CSEET 2008 - Workshop, Apr 2008, United States. pp.25-28
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504453/file/AProjectBased.pdf BibTex
titre
A few elements in software development engineering education
auteur
Vincent Ribaud, Philippe Saliou
article
Workshop on the Roles of Student Projects and Work Experience in Undergraduate and Taught Postgraduate Programmes - CSEET 2008, Apr 2008, United States. pp.18-21
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504451/file/AFewElements.pdf BibTex
titre
New Directions in Interconnect Performance Optimization
auteur
Antoine Courtay, Johann Laurent, Nathalie Julien, Olivier Sentieys
article
3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008. DTIS 2008., Mar 2008, Tozeur, Tunisia. pp.6, ⟨10.1109/DTIS.2008.4540228⟩
Accès au bibtex
BibTex
titre
Design Methodology for Efficient Space Time AdapteR
auteur
Cyrille Chavet, Philippe Coussy, Pascal Urard, Eric Martin
article
PhD forum, in Design, Automation and Test in Europe (DATE), Mar 2008, Munich, Germany
Accès au bibtex
BibTex
titre
Design space exploration tool for Space-Time AdapteRs
auteur
Cyrille Chavet, Philippe Coussy, Pascal Urard, Eric Martin
article
Workshop The new wave of High Level Synthesis, in Design, Automation and Test in Europe (DATE), Mar 2008, Munich, Germany
Accès au bibtex
BibTex
titre
Using MARTE in a Co-Design Methodology
auteur
Ali Koudri, Denis Aulagnier, Didier Vojtisek, Philippe Soulard, Christophe Moy, Joël Champeau, Jorgiano Vidal, Jean-Christophe Le Lann
article
MARTE UML profile workshop co-located with DATE'08, Mar 2008, Munich, Germany. 6 p
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-00354356/file/Koudri08a.pdf BibTex
titre
Ordonnancement et Assignation en Synthèse de Haut Niveau
auteur
Kods Trabelsi, Philippe Coussy, André Rossi, Marc Sevaux
article
ROADeF, 9me congrès de la Société Française de Recherche Opérationnelle et d'Aide à la Décision, Feb 2008, Clermont-Ferrand, France
Accès au bibtex
BibTex
titre
Stood and Cheddar: AADL as a Pivot Language for Analysing Performances of Real Time Architectures
auteur
Pierre Dissaux, Frank Singhoff
article
4th European Congress ERTS 2008, Jan 2008, Toulouse, France, France
Accès au texte intégral et bibtex
https://hal.science/hal-02269760/file/ERTS2008_0008_paper.pdf BibTex
titre
Memory Security Management for Reconfigurable embedded Systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Russell Tessier, Deepak Unnikrishnan, Kris Gaj
article
International Conference on Field-Programmable Technology 2008, 2008, Taiwan
Accès au bibtex
BibTex
titre
MADEO : Object Oriented Programming, Modelization, and Tools for FPGAS
auteur
Loïc Lagadec
article
European Smalltalk User Group, 2008, Amsterdam, Netherlands
Accès au bibtex
BibTex
titre
Spatial design backend : CDFG mapping on eFPGA and DREAM IPs
auteur
Loïc Lagadec, Damien Picard
article
AMWAS, 2008, Lugano, Switzerland
Accès au bibtex
BibTex
titre
Chaîne de programmation pour architecture hétérogène reconfigurable
auteur
Loïc Lagadec, Jalil Boukhobza, Alain Plantec
article
SYMPosium en Architectures nouvelles de machines, 2008, Fribourgh, Suisse
Accès au bibtex
BibTex
titre
Building CAD tools as an Efficient Learning for both EE and CS students
auteur
Loïc Lagadec
article
Reconfigurable Computing Education, 2008, Montpellier, France
Accès au bibtex
BibTex
titre
Multi-Level Simulation of Heterogeneous Reconfigurable Platforms
auteur
Damien Picard, Loïc Lagadec
article
ReCoSoC, 2008, Barcelone, France
Accès au bibtex
BibTex
titre
A Method for A Priori Implementation Effort Estimation for Hardware Design
auteur
Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
article
Intl. Consortium for Educational Development, 2008, Malaysia
Accès au bibtex
BibTex
titre
System level design space exploration for multiprocessor system on chip
auteur
Issam Maalej, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
article
IEEE Computer Society Annual Symposium on VLSI, 2008, France
Accès au bibtex
BibTex

Book sections

titre
GAUT: A High-Level Synthesis Tool for DSP Applications
auteur
Eric Senn, Philippe Coussy, Cyrille Chavet, Pierre Bomel, Dominique Heller
article
High-Level Synthesis : From Algorithm to Digital Circuit, Springer, 2008
Accès au bibtex
BibTex
titre
∂ GAUT: A High-Level Synthesis Tool for DSP applications
auteur
Philippe Coussy, Cyrille Chavet, Pierre Bomel, Dominique Heller, Eric Senn, E. Martin
article
Philippe Coussy & Adam Morawiec. High-Level Synthesis: From Algorithm to Digital Circuits, Springer, pp.147-170, 2008
Accès au bibtex
BibTex
titre
Chapitre 5 : La sécurité matérielle des systèmes embarqués,
auteur
Lilian Bossuet, Guy Gogniat
article
Les systèmes embarqués communicants : mobilité, sécurité, autonomie (Traité IC2, série réseaux et télécoms), sous la direction de F. Krief, Hermes - Lavoisier, p. 143-173, 2008
Accès au bibtex
BibTex
titre
Measuring Models
auteur
Martin Monperrus, Jean-Marc Jézéquel, Joël Champeau, Brigitte Hoeltzener
article
Model-Driven Software Development: Integrating Quality Assurance, pp.147-169, 2008, 978-1-60566-006-6. ⟨10.4018/978-1-60566-006-6.ch007⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01575355/file/Measuring-models-in-Model-Driven-Software-Development-Integrating-Quality-Assurance.pdf BibTex

Other publications

titre
Rapport et bilan pour le projet VALMADEO pour l'étape 3
auteur
Catherine Dezan, Thierry Goubier, Ciprian Teodorov, Samar Yazdani, Loïc Lagadec, Erwan Fabiani, Loïc Le Dréau, Loïc Gueguen, Christophe Jego, Bernard Pottier
article
2008
Accès au bibtex
BibTex
titre
Interconnect Explorer: a High-Level Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2008
Accès au bibtex
BibTex
titre
Validation d'une méthode de génération de données de test pour des cartes hybrides
auteur
Bertrand Gilles, Valérie-Anne Nicolas, Laurent Tchamnda Nana
article
2008
Accès au bibtex
BibTex

Books

titre
High-Level Synthesis: From Algorithm to Digital Circuits
auteur
Philippe Coussy, Adam Morawiec
article
Springer, pp.297, 2008
Accès au bibtex
BibTex

Patents

titre
Spatial Switching
auteur
Johann Laurent, Antoine Courtay, Olivier Sentieys, Nathalie Julien
article
France, N° de brevet: 0851672. 2008, pp.19
Accès au bibtex
BibTex
titre
Procédé de reconfiguration d'un ensemble de composants d'un circuit électronique, système de reconfiguration et procédé de transmission de données correspondants
auteur
Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat
article
France, N° de brevet: FR 0850641. 2008
Accès au bibtex
BibTex

Reports

titre
Validation of a Mixed-Signal Board ATPG Method: The TCB case study
auteur
Valérie-Anne Nicolas, Bertrand Gilles, Laurent Tchamnda Nana
article
2008
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607290/file/techreport_juin08.pdf BibTex

Preprints, Working Papers, ...

titre
Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
auteur
Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat, Jeremie Crenne
article
2008
Accès au texte intégral et bibtex
https://hal.science/hal-00369078/file/ispdc.pdf BibTex
titre
Une Plate-Forme Légère Reconfigurable Partiellement à Distance
auteur
Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
2008
Accès au texte intégral et bibtex
https://hal.science/hal-00202146/file/sympa2008.pdf BibTex