Publications HAL

2024

Conference papers

titre
Defending the Citadel: Fault Injection Attacks against Dynamic Information Flow Tracking and Related Countermeasures
auteur
William Pensec, Francesco Regazzoni, Vianney Lapotre, Gogniat Guy
article
2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Jul 2024, Knoxville, United States
Accès au texte intégral et bibtex
https://hal.science/hal-04620057/file/isvlsi2024_protectedDIFT-2.pdf BibTex
titre
On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
auteur
Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapotre, Pascal Cotret, Gogniat Guy, Tim Guneysu
article
19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore
Accès au bibtex
BibTex
titre
A Fine-Grained Dynamic Partitioning Against Cache-Based Timing Attacks via Cache Locking
auteur
Nicolas Gaudin, Pascal Cotret, Gogniat Guy, Vianney Lapotre
article
2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2024), Jul 2024, Knoxville, TN, United States
Accès au texte intégral et bibtex
https://hal.science/hal-04619896/file/2024___ISVLSI___A_Fine_Grained_Dynamic_Partitioning_Against_Cache_based_Timing_Attacks_via_Cache_Locking-2.pdf BibTex
titre
Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
Cyber On Board, Mar 2024, ile des Embiez, France
Accès au bibtex
BibTex
titre
Cache locking against cache-based side-channel attacks
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
Accès au bibtex
BibTex

2023

Conference papers

titre
Protecting a RISC-V embedded processor against physical and software attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France
Accès au bibtex
BibTex
titre
Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses
auteur
William Pensec, Vianney Lapôtre, Guy Gogniat
article
SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩
Accès au bibtex
BibTex
titre
Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
auteur
Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
article
2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
Accès au texte intégral et bibtex
https://hal.science/hal-04155139/file/silm2023-cache-protection.pdf BibTex
titre
When in-core DIFT faces fault injection attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain
Accès au bibtex
BibTex

Poster communications

titre
When in-core DIFT faces fault injection attacks
auteur
William Pensec, Vianney Lapotre, Guy Gogniat
article
RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04132319/file/2023-06-07-William-PENSEC-poster.pdf BibTex

2022

Journal articles

titre
The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection
auteur
Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy
article
Annals of Telecommunications - annales des télécommunications, 2022, 77, pp.731-747. ⟨10.1007/s12243-021-00906-3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03545078/file/Annals_of_Telecommunications__Kingsguard_2021-2.pdf BibTex

Conference papers

titre
Token-based Authentication and Access Delegation for HW-Accelerated telco Cloud Solution
auteur
Semih Ince, David Espes, Gogniat Guy, Renaud Santoro, Julien Lallet
article
IEEE 11th International Conference on Cloud Networking (CloudNet), Nov 2022, Paris, France
Accès au bibtex
BibTex
titre
Data Extraction and Deep Learning Method for Predictive Maintenance in Vessel’s Engine Room
auteur
Cédric Seguin, Yohann Rioual, Jean-Philippe Diguet, Guy Gogniat
article
32nd European Safety and Reliability Conference (ESREL 2022)., Aug 2022, Dublin, Ireland. pp.1983-1990
Accès au texte intégral et bibtex
https://hal.science/hal-03769035/file/esrel2022.pdf BibTex
titre
Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks
auteur
Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand
article
DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic
Accès au texte intégral et bibtex
https://hal.science/hal-03599317/file/ddecs-hal.pdf BibTex

Book sections

titre
Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud
auteur
Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy
article
Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩
Accès au bibtex
BibTex

2021

Journal articles

titre
Attacks toward Wireless Network-on-Chip and Countermeasures
auteur
Arnab Kumar Biswas, Navonil Chatterjee, Hemanta Kumar Mondal, Guy Gogniat, Jean-Philippe Diguet
article
IEEE Transactions on Emerging Topics in Computing, 2021, 9 (2), ⟨10.1109/TETC.2020.2973427⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02484906/file/Final_Submitted_Version_TETC20.pdf BibTex

Conference papers

titre
OAuth 2.0-based authentication solution for FPGA-enabled cloud computing
auteur
Semih Ince, David Espes, Guy Gogniat, Julien Lallet, Renaud Santoro
article
14th IEEE/ACM International Conference on Utility and Cloud Computing Companion, Dec 2021, Leicester, United Kingdom. ⟨10.1145/3492323.3495635⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606350/file/Papier%20UCC21%20%281%29.pdf BibTex
titre
Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis
auteur
Hannah Badier, Christian Pilato, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
article
DATE'21 Design Automation and Test in Europe, Feb 2021, Grenoble (virtuel), France
Accès au texte intégral et bibtex
https://hal.science/hal-03228922/file/date21_birthmarking_camera_ready.pdf BibTex

2020

Journal articles

titre
Winter is here! A decade of cache-based side-channel attacks, detection & mitigation for RSA
auteur
Maria Mushtaq, Muhammad Asim Mukhtar, Vianney Lapotre, Muhammad Khurram Bhatti, Guy Gogniat
article
Information Systems, 2020, 92, pp.#101524. ⟨10.1016/j.is.2020.101524⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02537540/file/Winter%20is%20here%20A%20decade%20of%20cache-based%20side-channel%20attacks%20detection.pdf BibTex
titre
WHISPER A Tool for Run-time Detection of Side-Channel Attacks
auteur
Maria Mushtaq, Jeremy Bricq, Muhammad Khurram Bhatti, Ayaz Akram, Vianney Lapotre, Guy Gogniat, Pascal Benoit
article
IEEE Access, 2020, 8, pp.83871-83900. ⟨10.1109/ACCESS.2020.2988370⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02546630/file/09069285.pdf BibTex
titre
Meet the Sherlock Holmes’ of Side Channel Leakage: A Survey of Cache SCA Detection Techniques
auteur
Ayaz Akram, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
IEEE Access, 2020, 8, pp.70836-70860. ⟨10.1109/ACCESS.2020.2980522⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02508889/file/Meet_the_Sherlock_Holmes_of_Side_Channel_Leakage_A_Survey_of_Cache_SCA_Detection_Techniques.pdf BibTex
titre
FLUSH + PREFETCH: A Countermeasure Against Access-driven Cache-based Side-Channel Attacks
auteur
M Asim Mukhtar, Maria Mushtaq, M Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
Journal of Systems Architecture, 2020, 104, pp.101698. ⟨10.1016/j.sysarc.2019.101698⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02417391/file/1-s2.0-S1383762119305053-main.pdf BibTex

Conference papers

titre
A novel Network-on-Chip security algorithm for tolerating Byzantine faults
auteur
Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Jean-Michel Dricot, Guy Gogniat
article
2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2020, Frascati, Italy. pp.1-6, ⟨10.1109/DFT50435.2020.9250906⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03606356/file/A_novel_Network-on-Chip_security_algorithm_for_tolerating_Byzantine_faults.pdf BibTex
titre
IE-Cache: Counteracting Eviction-Based Cache Side-Channel Attacks Through Indirect Eviction
auteur
Muhammad Asim Mukhtar, Muhammad Khurram Bhatti, Guy Gogniat
article
35th IFIP International Conference on ICT Systems Security and Privacy Protection (SEC), Sep 2020, Maribor, Slovenia. pp.32-45, ⟨10.1007/978-3-030-58201-2_3⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03440838/file/497034_1_En_3_Chapter.pdf BibTex

Book sections

titre
Towards NoC Protection of HT-Greyhole Attack
auteur
Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Jean-Michel Dricot, Gogniat Guy
article
Algorithms and Architectures for Parallel Processing, 12454, Springer International Publishing, pp.309-323, 2020, Lecture Notes in Computer Science, ⟨10.1007/978-3-030-60248-2_21⟩
Accès au bibtex
BibTex

2019

Conference papers

titre
MicroLET: A new SDNoC-based communication protocol for chipLET-based systems
auteur
Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Sotirios Kontogiannis, Jean-Michel Dricot, Guy Gogniat
article
22nd Euromicro Conference on Digital System Design (DSD), Aug 2019, Kallithea, Greece. ⟨10.1109/DSD.2019.00019⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02444877/file/08875256.pdf BibTex
titre
Sherlock Holmes of Cache Side-Channel Attacks in Intel's x86 Architecture
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Usman Ali, Vianney Lapotre, Guy Gogniat
article
IEEE-Communications and Network Security, Jun 2019, Washington DC, United States
Accès au texte intégral et bibtex
https://hal.science/hal-02151838/file/IEEE-CNS-2019.pdf BibTex
titre
Transient Key-based Obfuscation for HLS in an Untrusted Cloud Environment
auteur
Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
article
2019 Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Mar 2019, Florence, Italy
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-02052433/file/DATE19_camera_ready_final.pdf BibTex
titre
Architectures for Security: A comparative analysis of hardware security features in Intel SGX and ARM TrustZone
auteur
Muhammad Asim Mukhtar, Muhammad Khurram Bhatti, Guy Gogniat
article
C-CODE 2019 - 2nd International Conference on Communication, Computing and Digital systems, Mar 2019, Islamabad, Pakistan. pp.299-304, ⟨10.1109/C-CODE.2019.8680982⟩
Accès au bibtex
BibTex

2018

Journal articles

titre
Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01827400/file/TECS.pdf BibTex
titre
Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
Accès au bibtex
BibTex

Conference papers

titre
A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
article
AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911621/file/asianhost-preprint.pdf BibTex
titre
Machine Learning For Security: The Case of Side-Channel Attack Detection at Run-time
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Muneeb Yousaf, Umer Farooq, Vianney Lapotre, Guy Gogniat
article
ICECS-2018, Dec 2018, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01876792/file/ICECS_2018%20%284%29.pdf BibTex
titre
A small and adaptive coprocessor for information flow tracking in ARM SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
article
ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911619/file/main.pdf BibTex
titre
Run-time Detection of Prime+Probe Side-Channel Attack on AES Encryption Algorithm
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Naveed Bin Raees Rao, Vianney Lapotre, Guy Gogniat
article
Global Information Infrastructure and Networking Symposium (GIIS), Oct 2018, Thessaloniki, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01879950/file/giis.pdf BibTex
titre
Cache-Based Side-Channel Intrusion Detection using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
CryptArchi 2018 - 16th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/cel-01824512/file/cryptarchi%20%282%29.pdf BibTex
titre
NIGHTs-WATCH: A Cache-based Side-channel Intrusion Detector Using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Vianney Lapotre, Guy Gogniat
article
7th International Workshop on Hardware and Architectural Support for Security and Privacy, Jun 2018, Los Angeles, United States. ⟨10.1145/3214292.3214293⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01806729/file/HASP_ISCA_2018-3.pdf BibTex

Reports

titre
Prospective Report of the scientific council of the Information Sciences and their interactions Institute of CNRS
auteur
Christian Barillot, Inbar Fijalkow, Isabelle Queinnec, Fabrice Theoleyre, Hélène Touzet, Michel Beaudouin-Lafon, Marie-Paule Cani, François Chaumette, Gérald Conreur, Véronique Cortier, Cyril Gavoille, Guy Gogniat, Julien Gossa, Andreas Herzig, Jean Krivine, Philippe Lamarre, Françoise Lamnabhi-Lagarrigue, Anne-Catherine Letournel, Lionel Seinturier, Isabelle Tellier, Serge Torres, Charlotte Truchet, Alexandros Tsoukias, Michel Verleysen
article
[0] CNRS. 2018, pp.1-87
Accès au texte intégral et bibtex
https://hal.science/hal-01956087/file/Rapport%20de%20Prospective%20CSI%20INS2I%202018%20%2B%20%20Annexes.pdf BibTex

2017

Journal articles

titre
Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01595772/file/s13634-017-0468-x.pdf BibTex
titre
A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
auteur
Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01630065/file/TECS-2017.pdf BibTex

Conference papers

titre
Improving Confidentiality Against Cache-based SCAs
auteur
Maria Mushtaq, Vianney Lapotre, Guy Gogniat, M Asim Mukhtar, Muhammad Khurram Bhatti
article
ACM WomENcourage, Sep 2017, barcelona, France
Accès au texte intégral et bibtex
https://hal.science/hal-01748057/file/womENcourage_2017_paper_10.pdf BibTex
titre
ARMHEx: A hardware extension for DIFT on ARM-based SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01558473/file/bare_conf.pdf BibTex
titre
ARMHEx: embedded security through hardware-enhanced information flow tracking
auteur
Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
article
RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
Accès au texte intégral et bibtex
https://hal.science/hal-01558155/file/bare_conf.pdf BibTex
titre
Somewhat/Fully Homomorphic Encryption: Implementation Progresses and Challenges
auteur
Guillaume Bonnoron, Caroline Fontaine, Guy Gogniat, Vincent Herbert, Vianney Lapotre, Vincent Migliore, Adeline Roux-Langlois
article
C2SI 2017 : 2nd International Conference on Codes, Cryptology and Information Security, Apr 2017, Rabat, Morocco. pp.68 - 82, ⟨10.1007/978-3-319-55589-8_5⟩
Accès au bibtex
BibTex

Poster communications

titre
ARMHEx: a framework for efficient DIFT in real-world SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01558475/file/bare_conf.pdf BibTex

2016

Journal articles

titre
Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
auteur
Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
article
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
Accès au bibtex
BibTex
titre
A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01121754/file/TVLSI_brief.pdf BibTex
titre
A Fast Evaluation Approach of Data Consistency Protocols within a Compilation Toolchain
auteur
Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepulveda
article
Procedia Computer Science, 2016, 80, pp.2297-2301. ⟨10.1016/j.procs.2016.05.421⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01327335/file/1-s2.0-S1877050916309000-main.pdf BibTex

Conference papers

titre
Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01427642/file/fpt-2016-vl.pdf BibTex
titre
Network Contention-Aware Method to Evaluate Data Coherency Protocols within a Compilation Toolchain
auteur
Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepulveda
article
10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSOC 2016, Sep 2016, Lyon, France. pp.249-256, ⟨10.1109/MCSoC.2016.54⟩
Accès au bibtex
BibTex
titre
Towards a hardware-assisted information flow tracking ecosystem for ARM processors
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337579/file/fpl2016.pdf BibTex
titre
MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
article
4rd Work­shop on Vir­tu­al Pro­to­typ­ing of Par­al­lel and Em­bed­ded Sys­tems (ViPES) as part of the In­ter­na­tio­nal Con­fe­rence on Em­bed­ded Com­pu­ter Sys­tems: Ar­chi­tec­tu­res, Mo­de­ling, and Si­mu­la­ti­on (SAMOS), Jul 2016, Samos, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01347188/file/SAMOS.pdf BibTex
titre
Modèles temporels d'évaluation des protocoles de gestion des données
auteur
Hamza Chaker, Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepùlveda
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas'2016), Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://cea.hal.science/cea-02129247/file/compas2016.pdf BibTex
titre
Towards risk aware NoCs for data protection in MPSoCs
auteur
Martha Johanna Sepulveda, Flórez Daniel, Ramon Fernandes, César A. M. Marcon, Guy Gogniat, Georg Sigl
article
11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2016, Jun 2016, Tallinn, Estonia
Accès au bibtex
BibTex
titre
Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01347175/file/recosoc_2016_v3.pdf BibTex
titre
A portable approach for SoC-based Dynamic Information Flow Tracking implementations
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311045/file/2016_socsip_wahab.pdf BibTex
titre
Side Channel Attacks on networks on Chip
auteur
Johanna Sepúlveda, Lilian Bossuet, Guy Gogniat, Reindbrecht Cezar, Sigl Georg
article
International CryptArchi Workshop , Jun 2016, La Grande-Motte, France
Accès au bibtex
BibTex
titre
ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
article
24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
Accès au bibtex
BibTex
titre
Dynamic NoC buffer allocation for MPSoC timing side channel attack protection
auteur
Martha Johanna Sepulveda, Daniel Florez, Mathias Soeken, Jean-Philippe Diguet, Guy Gogniat
article
IEEE 7th Latin American Symposium on Circuits & Systems, LASCAS 2016, Jan 2016, Florianopolis, Brazil
Accès au bibtex
BibTex

Poster communications

titre
HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
auteur
Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
article
Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311032/file/2016_sif_nasrallah.pdf BibTex
titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat
article
HiPEAC, Jan 2016, Prague, Czech Republic. 2016
Accès au bibtex
BibTex

2015

Journal articles

titre
An MDE Approach for Rapid Prototyping and Implementation of Dynamic Reconfigurable Systems
auteur
Gilberto Ochoa Ruiz, Guillet Sébastien, Florent de Lamotte, Eric Rutten, El-Bay Bourennane, Jean-Philippe Diguet, Guy Gogniat
article
ACM Transactions on Design Automation of Electronic Systems, 2015, Vol. 21 Issue 1, Article No. 8, Nov. 2015 ., 21 (1), pp.Article No. 8
Accès au bibtex
BibTex
titre
Disposable configuration of remotely reconfigurable systems
auteur
Lilian Bossuet, Viktor Fischer, Lubos Gaspar, Lionel Torres, Guy Gogniat
article
Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39 (6), pp.382-392. ⟨10.1016/j.micpro.2015.05.007⟩
Accès au bibtex
BibTex
titre
NoC-Based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
article
IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
Accès au bibtex
BibTex
titre
NoC-based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Guy Gogniat, Marius Strum
article
IEEE Embedded Systems Letters, 2015, 7 (1), pp.DOI:10.1109/LES.2014.2384744
Accès au bibtex
BibTex

Conference papers

titre
Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
auteur
Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01273192/file/reconfig-2015.pdf BibTex
titre
Reconfigurable Security Architecture for disrupted protection zones in NoC−Based MPSoCs
auteur
Guy Gogniat, Martha Johanna Sepulveda, Flórez Daniel
article
10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2015), Jun 2015, Bremen, Germany
Accès au bibtex
BibTex
titre
Aide à la décision pour le choix et le paramétrage de protocoles de cohérence des données
auteur
Safae Dahmani, Loïc Cudennec, Guy Gogniat
article
Roadef, Feb 2015, Marseille, France
Accès au texte intégral et bibtex
https://hal.science/hal-01273631/file/78.pdf BibTex
titre
Cycle-based model to evaluate consistency protocols within a multi-protocol compilation tool-chain
auteur
H. Chaker, L. Cudennec, S. Dahmani, G. Gogniat, M.J. Sepúlveda
article
COSMIC '15 Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, Feb 2015, San Francisco Bay Area, United States. ⟨10.1145/2723772.2723779⟩
Accès au bibtex
BibTex

Poster communications

titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
article
CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01252597/file/ches_abstract.pdf BibTex

2014

Journal articles

titre
Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
auteur
Pascal Cotret, Guy Gogniat
article
Techniques de l'Ingénieur, 2014, Référence IN175 - 10 p
Accès au bibtex
BibTex
titre
Extending UML/MARTE to support Discrete Controller Synthesis, application to Reconfigurable Systems-on-Chip modeling
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2014, 7 (3), pp.17. ⟨10.1145/2629628⟩
Accès au bibtex
BibTex

Conference papers

titre
3D-LeukoNoC: A Dynamic TSV-Based 3D-MPSoC Protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Sepulveda Daniel, Jean-Philippe Diguet, Marius Strum
article
International Conference on Reconfigurable Computing and FPGAs (Reconfig), Dec 2014, Cancun, Mexico
Accès au bibtex
BibTex
titre
Elastic Security Zones for NoC-Based 3D-MPSoCs
auteur
Martha Johanna Sepulveda, Guy Gogniat, Marius Strum, Marius Zeferino, Daniel Florez, Jean-Philippe Diguet
article
21st IEEE International Conference on Electronics Circuits and Systems, Nov 2014, Marseille, France
Accès au bibtex
BibTex
titre
Trusted Computing using Enhanced Manycore Architectures with Cryptoprocessors
auteur
C Mancillas López, M Méndez Real, L Bossuet, G Gogniat, V Fischer, A Baganne
article
22nd IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Oct 2014, http://www.vlsi-soc.com/, France
Accès au texte intégral et bibtex
https://hal.science/hal-01075919/file/VLSI-SOC%20TSUNAMY.pdf BibTex
titre
Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors
auteur
Safae Dahmani, Loïc Cudennec, Stéphane Rémi Louise, Guy Gogniat
article
IEEE 8th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-14), Sep 2014, Aizu-Wakamatsu, Japan
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01071470/file/DahCudLouGog_MCSoC14.pdf BibTex
titre
Extending Multicore Architectures with Cryptoptocessors and Parallel Cryptography
auteur
Cuauthemoc Mancillas, Maria Mendez Réal, Lilian Bossuet, Guy Gogniat, Viktor Fischer, Adel Baganne
article
Colloque national du GDR SOC-SIP, Jun 2014, Paris, France
Accès au bibtex
BibTex

2013

Journal articles

titre
Configurable Memory Security in Embedded Systems
auteur
Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Russel Tessier, D. Unnikrishnan
article
ACM Transactions on Embedded Computing Systems (TECS), 2013, 12/ (3), pp.71. ⟨10.1145/2442116.2442121⟩
Accès au bibtex
BibTex
titre
Architectures of flexible symmetric key crypto engines--a survey: From hardware coprocessor to multi-crypto-processor system on chip
auteur
Lilian Bossuet, Michael Grand, Lubos Gaspar, Viktor Fischer, Guy Gogniat
article
ACM Computing Surveys, 2013, Volume 45 Issue 4, August 2013 (Article No. 41), 32 p. ⟨10.1145/2501654.2501655⟩
Accès au bibtex
BibTex
titre
Recent advances in homomorphic encryption: a possible future for signal processing in the encrypted domain
auteur
Carlos Aguilar Melchor, Simon Fau, Caroline Fontaine, Guy Gogniat, Renaud Sirdey
article
IEEE Signal Processing Magazine, 2013, pp.108-107
Accès au bibtex
BibTex

Conference papers

titre
Towards practical program execution over fully homomorphic encryption schemes
auteur
Simon Fau, Renaud Sirdey, Caroline Fontaine, Carlos Aguilar Melchor, Guy Gogniat
article
2013 Eighth International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2013), Oct 2013, Compiègne, France
Accès au bibtex
BibTex
titre
Stopping-free dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
article
DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
Accès au texte intégral et bibtex
https://hal.science/hal-00876005/file/DSD13-final.pdf BibTex
titre
Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876009/file/Gretsi_final.pdf BibTex
titre
A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
article
ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01002828/file/ISVLSI13_final.pdf BibTex
titre
An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
auteur
Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
article
ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873978/file/ReCoSoC_final.pdf BibTex
titre
Introducing a Data Sliding Mechanism for Cooperative Caching in Manycore Architectures
auteur
Safae Dahmani, Loïc Cudennec, Guy Gogniat
article
The 27th IEEE International Parallel & Distributed Processing Symposium, May 2013, Boston, Massachusetts, United States. pp.335-344
Accès au texte intégral et bibtex
https://hal.science/hal-00833565/file/DahCudGog_HIPS2013.pdf BibTex
titre
Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
article
ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873979/file/ICAS13_ASIP_final2.pdf BibTex

Other publications

titre
Adaptive Cooperative Caching for Many-cores systems
auteur
Safae Dahmani, Loïc Cudennec, Guy Gogniat
article
2013, pp.89-92
Accès au texte intégral et bibtex
https://hal.science/hal-00847002/file/DahCudGog_ACACES2013.pdf BibTex

Poster communications

titre
Efficient dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Noël Bazin, Jean-Philippe Diguet, Michael Hubner
article
GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876017/file/GDR_SOC-SIP_%20Poster.pdf BibTex

2012

Journal articles

titre
Asymmetric Cache Coherency: Policy Modifications to Improve Multicore Performance
auteur
John Shield, Jean-Philippe Diguet, Guy Gogniat
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (3), pp.12
Accès au texte intégral et bibtex
https://hal.science/hal-00747710/file/2012-04-12-TRETS_Asymmetric_Cache_Coherency.pdf BibTex
titre
A multi-objective adaptive immune algorithm for multi-application NoC mapping
auteur
Martha Johanna Sepulveda, Jiang Chau Wang, Guy Gogniat, Marius Strum
article
Analog Integrated Circuits and Signal Processing, 2012, 73 (3), pp.851-860. ⟨10.1007/s10470-012-9869-9⟩
Accès au bibtex
BibTex
titre
QoSS architecture for MPSoC dynamic protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
International Journal of Reconfigurable Computing, 2012
Accès au bibtex
BibTex

Conference papers

titre
An analytical approach for sizing of heterogeneous multiprocessor flexible platform for iterative demapping and channel decoding
auteur
Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi
article
International Conference on ReConFigurable Computing and FPGAs (Reconfig), Dec 2012, Cancun, Mexico. ⟨10.1109/ReConFig.2012.6416728⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00747714/file/reconfig_V0.pdf BibTex
titre
Modeling and Synthesis of a Dynamic and Partial Reconfiguration Controller
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Jean-Philippe Diguet, Guy Gogniat
article
International Conference on Field Programmable Logic and Applications (FPL), Aug 2012, Oslo, Norway
Accès au bibtex
BibTex
titre
Lightweight reconfiguration security services for AXI-based MPSoCs
auteur
Pascal Cotret, Guy Gogniat, Jean-Philippe Diguet, Jérémie Crenne
article
FPL 2012 (22nd International Conference on Field Programmable Logic and Applications), Aug 2012, Oslo, Norway. pp.655-658, ⟨10.1109/FPL.2012.6339233⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00750332/file/fpl2012_cotret.pdf BibTex
titre
Designing formal reconfiguration control using UML/MARTE
auteur
Sébastien Guillet, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
2012 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2012, York, United Kingdom
Accès au bibtex
BibTex
titre
Security enhancements for FPGA-based MPSoCs: a boot-to-runtime protection flow for an embedded Linux-based system
auteur
Pascal Cotret, Florian Devic, Guy Gogniat, Benoit Badrignans, Lionel Torres
article
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, York, United Kingdom. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-00750339/file/recosoc2012_cotret.pdf BibTex
titre
Bus-based MPSoC security through communication protection: A latency-efficient alternative
auteur
Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet
article
FCCM 2012 (20th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines), Apr 2012, Toronto, Canada. pp.200-207
Accès au texte intégral et bibtex
https://hal.science/hal-00750343/file/fccm2012_cotret.pdf BibTex
titre
Hybrid-on-Chip communication architecture for dynamic MP-SoC protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
Symposium on Integrated Circuits and Systems Design, 2012, Brazil
Accès au bibtex
BibTex
titre
Hierarchical NoC-based security for MP-SoC dynamic protection
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
article
IEEE Latin American Symposium on Circuits and Systems, 2012, Mexico
Accès au bibtex
BibTex
titre
Protecting embedded systems through system level security mechanisms: from boot-up to steady state execution
auteur
Guy Gogniat
article
Conference on Design of Circuits and Integrated Systems (DCIS), 2012, France
Accès au bibtex
BibTex
titre
Multi-objective Artificial Immune Algorithm for Security-constrained Multi-application NoC mapping
auteur
Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Cesar Pedraza, Jiang Chau Wang, Marius Strum
article
Genetic and evolutionary computation conference GECCO 2012, 2012, United States
Accès au bibtex
BibTex

2011

Journal articles

titre
Closed-loop based self-adaptive HW/SW embedded systems: design methodology and smart cam case study
auteur
Jean-Philippe Diguet, Yvan Eustache, Guy Gogniat
article
ACM Transactions on Embedded Computing Systems (TECS), 2011, 10 (3)
Accès au bibtex
BibTex

Conference papers

titre
Efficient Key-Dependent Message Authentication in Reconfigurable Hardware
auteur
Jérémie Crenne, Pascal Cotret, Guy Gogniat, Russell Tessier, Jean-Philippe Diguet
article
International Conference on Field-Programmable Technology (FPT'11), Dec 2011, India
Accès au bibtex
BibTex
titre
Management of reconfigurable multi-standards ASIP-based receiver
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Salim Haddad, Jean-Philippe Diguet, John Shield
article
SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2011, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00724998/file/Management_of_reconfigurable_multi-standards_ASIP-based_receiver.pdf BibTex
titre
Asymmetric Cache Coherency: Improving Multicore Performance for Non-uniform Workloads
auteur
John Shield, Jean-Philippe Diguet, Guy Gogniat
article
6th Int. Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC'11), Jun 2011, France
Accès au bibtex
BibTex
titre
Distributed security for communications and memories in a multiprocessor architecture
auteur
Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Lubos Gaspar, Guillaume Duc
article
RAW 2011 (18th Reconfigurable Architectures Workshop), May 2011, Anchorage, Alaska, United States. pp.326-329, ⟨10.1109/IPDPS.2011.158⟩
Accès au texte intégral et bibtex
https://ujm.hal.science/ujm-00664284/file/2011_RAW_lubos.pdf BibTex
titre
A Reconfigurable Multi-core cryptoprocessor for Multi-channel Communication Systems
auteur
Michael Grand, Lilian Bossuet, Guy Gogniat, Bertrand Le Gal, Jean-Philippe Delahaye, Dominique Dallet
article
IPDPS - 25th IEEE International Parallel & Distributed Processing Symposium, May 2011, Anchorage, United States. pp.199-206
Accès au texte intégral et bibtex
https://hal.science/hal-00595998/file/raw2011-final.pdf BibTex
titre
Modélisation et contrôle de la reconfiguration dynamique et partielle
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Guy Gogniat, Jean-Philippe Diguet
article
SympA 2011, May 2011, France
Accès au bibtex
BibTex
titre
Design and implementation of a multi-core crypto-processor for software defined radios
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Guy Gogniat, Dominique Dallet
article
Symposium on Applied Reconfigurable Computing, Mar 2011, Belfast, United Kingdom. pp.29-40, ⟨10.1007/978-3-642-19475-7_5⟩
Accès au bibtex
BibTex
titre
Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Sébastien Guillet
article
Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2011, Grenoble, France. ⟨10.1109/DATE.2011.5763315⟩
Accès au bibtex
BibTex

Book sections

titre
End-to-End Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
auteur
Jérémie Crenne, Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
Guy Gogniat, Dragomir Milojevic, Adam Morawiec, Ahmet Erdogan. Algorithm-Architecture Matching for Signal and Image Processing, Springer, pp.171-194, 2011, Lecture Notes in Electrical Engineering
Accès au bibtex
BibTex

Books

titre
Security Trends for FPGAS
auteur
Benoit Badrignans, Jean-Luc Danger, Guy Gogniat, Lionel Torres, Viktor Fischer
article
B. Badrignans, J.L. Danger, V. Fischer, G. Gogniat, L. Torres. Springer, 282 p., 2011, 978-94-007-1337-6
Accès au bibtex
BibTex

2010

Conference papers

titre
A Multi-Core AES Cryptoprocessor for Multi-Channel SDR
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
article
Military Communication and Information Systems Conference, MCC 2010, Sep 2010, Wroclaw, Poland. pp.1-7
Accès au bibtex
BibTex
titre
Rapid application development on multi-processor reconfigurable systems
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
The International Conference on Field Programmable Logic and Applications (FPL), Aug 2010, Milan, Italy. ⟨10.1109/FPL.2010.65⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00488527/file/Rapid_application_development_on_multi-Processor_reconfigurable_systems_YE_FPL10.pdf BibTex
titre
Self-reconfigurable embedded systems: from modeling to implementation
auteur
Guy Gogniat, Jorgiano Vidal, Linfeng Ye, Jeremie Crenne, Sébastien Guillet, Florent de Lamotte, Jean-Philippe Diguet, Pierre Bomel
article
Engineering of Reconfigurable Systems and Algorithms, Jul 2010, Las Vegas, Nevada, United States
Accès au bibtex
BibTex
titre
Predictibility of inter-components latency in a Software Communications Architecture Open Environment
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
24th IEEE International Parallel and Distributed Processing Symposium, Apr 2010, Atlanta, United States
Accès au bibtex
BibTex
titre
Latency estimation due to middleware used in Software Defined Radio Platform
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
6th Workshop on Software Radio, Mar 2010, Karlsruhe, Germany
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable embedded systems
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
Design Automation and Test in Europe, 2010, Dresden, Germany. pp.1195
Accès au bibtex
BibTex
titre
Modeling and formal control of partial dynamic reconfiguration
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
Reconfig, 2010, Cancun, Mexico
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable multiprocessor embedded systems,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Philippe Soulard
article
Design, Automation & Test in Europe Conference & Exhibition, 2010, Germany. pp.1195 - 1200
Accès au bibtex
BibTex

Book sections

titre
Chapter 5: Hardware Security in Embedded Systems
auteur
Lilian Bossuet, Guy Gogniat
article
Editied by F. Krief. Communicating Embedded Systems, Network Applications, ISTE Ltd and John Wiley & Sons, Inc,, pp.139 - 174, 2010
Accès au bibtex
BibTex

2009

Journal articles

titre
Ultra-Fast Downloading of Partial Bitstreams Through Ethernet
auteur
Pierre Bomel, Jeremie Crenne, Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
Lecture Notes in Computer Science, 2009, vol. 5455., pp.72-83
Accès au bibtex
BibTex
titre
A Security Approach for Off-chip Memory in embedded Microprocessor Systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Eduardo Wanderley, Russell Tessier, Wayne Burleson
article
Microprocessors and Microsystems: Embedded Hardware Design , 2009, pp. 37-45
Accès au bibtex
BibTex

Conference papers

titre
IP reuse in an MDA MPSoPC co-design approach
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
International Conference on Microelectronics (ICM'09), Dec 2009, Morocco. pp.0
Accès au bibtex
BibTex
titre
A Reconfigurable Crypto Sub System for the Sotware Communication Architecture
auteur
Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
article
IEEE Military Communications Conference, MILCOM 2009, Oct 2009, Boston, United States. pp.1-7
Accès au bibtex
BibTex
titre
Configuration server for self-adaptive architectures
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
DASIP, Sep 2009, Sophia Antipolis, France. Paper ID: 47
Accès au bibtex
BibTex
titre
Reconfigurable MPSoCs for On-Demand Computing
auteur
Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
article
GRETSI 2009, Sep 2009, Dijon, France. pp.1
Accès au bibtex
BibTex
titre
Networked Self-Adaptive Systems: An Opportunity for Configuring in the Large
auteur
Jean-Philippe Diguet, Linfeng Ye, Yvan Eustache, Jeremy Crennes, Pierre Bomel, Guy Gogniat, Jorgiano Vidal, Florent de Lamotte
article
Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA), Jul 2009, Las Vegas, USA, France
Accès au bibtex
BibTex
titre
A co-design approach for embedded system modeling and code generation with UML and MARTE,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Philippe Soulard, Jean-Philippe Diguet
article
Design, Automation & Test in Europe, 2009, France
Accès au bibtex
BibTex

2008

Journal articles

titre
A Priori Implementation Effort Estimation for HW Design Based on Independent-Path Analysis
auteur
Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
article
EURASIP Journal on Embedded Systems, 2008
Accès au bibtex
BibTex
titre
A Networked, Lightweight and Partially Reconfigurable Platform
auteur
Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
4th International Workshop, ARC 2008, London, UK, LNCS 4943, 2008, LNCS 4943 (ISSN 0302-9743, ISBN-10 3-540-78609-0, ISBN-13 978-3-540-78609-2), pp.318-323
Accès au texte intégral et bibtex
https://hal.science/hal-00282224/file/arc2008.pdf BibTex
titre
Reconfigurable hardware for high-security high-performance embedded systems : The SAFES perspective
auteur
Guy Gogniat, Tim Wolf, Wayne Burleson, Jean-Philippe Diguet, Lilian Bossuet, Romain Vaslin
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, 16 (2)
Accès au bibtex
BibTex

Conference papers

titre
A Comparative Study of Two Software Defined Radio Environments
auteur
Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
article
SDR Forum Technical Conference, Oct 2008, Washington, United States
Accès au bibtex
BibTex
titre
Memory Security Management for Reconfigurable embedded Systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Russell Tessier, Deepak Unnikrishnan, Kris Gaj
article
International Conference on Field-Programmable Technology 2008, 2008, Taiwan
Accès au bibtex
BibTex
titre
A Method for A Priori Implementation Effort Estimation for Hardware Design
auteur
Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
article
Intl. Consortium for Educational Development, 2008, Malaysia
Accès au bibtex
BibTex
titre
System level design space exploration for multiprocessor system on chip
auteur
Issam Maalej, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
article
IEEE Computer Society Annual Symposium on VLSI, 2008, France
Accès au bibtex
BibTex

Book sections

titre
Chapitre 5 : La sécurité matérielle des systèmes embarqués,
auteur
Lilian Bossuet, Guy Gogniat
article
Les systèmes embarqués communicants : mobilité, sécurité, autonomie (Traité IC2, série réseaux et télécoms), sous la direction de F. Krief, Hermes - Lavoisier, p. 143-173, 2008
Accès au bibtex
BibTex

Patents

titre
Procédé de reconfiguration d'un ensemble de composants d'un circuit électronique, système de reconfiguration et procédé de transmission de données correspondants
auteur
Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat
article
France, N° de brevet: FR 0850641. 2008
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
auteur
Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat, Jeremie Crenne
article
2008
Accès au texte intégral et bibtex
https://hal.science/hal-00369078/file/ispdc.pdf BibTex
titre
Une Plate-Forme Légère Reconfigurable Partiellement à Distance
auteur
Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
article
2008
Accès au texte intégral et bibtex
https://hal.science/hal-00202146/file/sympa2008.pdf BibTex

2007

Journal articles

titre
Communication-Oriented Design Space Exploration for Reconfigurable Architectures
auteur
Lilian Bossuet, Guy Gogniat, Jean Luc Philippe
article
EURASIP Journal on Embedded Systems, 2007, Volume 2007 (2007), pp.20. ⟨10.1155/2007/23496⟩
Accès au bibtex
BibTex

Conference papers

titre
How to Teach Hardware Security?
auteur
Lilian Bossuet, Guy Gogniat
article
International CryptArchi Workshop, Sep 2007, Montpellier, France
Accès au bibtex
BibTex
titre
How to Teach Hardware Security - A Survey
auteur
Lilian Bossuet, Guy Gogniat
article
International CryptArchi Workshop, Sep 2007, Montpellier, France
Accès au bibtex
BibTex
titre
High Efficiency Protection Solution for Off-Chip Memory in Embedded Systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Russell Tessier, Wayne Burleson
article
international conference on engineering of reconfigurable systems & algorithms, Jun 2007, Las Vegas, United States. pp.117
Accès au texte intégral et bibtex
https://hal.science/hal-00153120/file/ersa07_article.pdf BibTex
titre
A Code Compression Method With Confidentiality and Integrity Checking
auteur
Guy Gogniat, Jean-Philippe Diguet, Eduardo Wanderley
article
The 2007 International Conference on Embedded Systems and Applications,, Jun 2007, Las Vegas, Nevada, United States. pp.XX
Accès au bibtex
BibTex
titre
IBC-EI: An Instruction Based Compression Method with Encryption and Integrity Checking
auteur
Eduardo Bráulio Wanderley Netto, Reouven Elbaz, Lionel Torres, Gilles Sassatelli, Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet
article
ReCoSoC'07: International Workshop on Reconfigurable Communication Centric System-On-Chips, Jun 2007, Montpellier, France. pp.138-145
Accès au bibtex
BibTex
titre
A Code Compression Method with Encryption and Integrity Checking
auteur
Lionel Torres, Reouven Elbaz, Gilles Sassatelli, Guy Gogniat
article
CryptArchi: Cryptographic Architectures, Jun 2007, Montpellier, France
Accès au bibtex
BibTex
titre
Low latency solution for confidentiality and integrity checking in embedded systems with off-chip memory
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Russell Tessier, Wayne Burleson
article
Reconfigurable communication-centric Socs 2007, Jun 2007, Montpellier, France
Accès au texte intégral et bibtex
https://hal.science/hal-00165288/file/recosoc07.pdf BibTex
titre
The Allele Search Lab to Improve Heterogeneous Reconfigurable Platform Design Skills
auteur
Guy Gogniat, Jean-Philippe Diguet, Yvan Eustache
article
The 2nd International Workshop on Reconfigurable Computing Education, May 2007, Porto Allegre, Brazil. pp.XX
Accès au bibtex
BibTex
titre
NOC-centric Security of Reconfigurable SoC
auteur
Jean-Philippe Diguet, Samuel Evain, Romain Vaslin, Guy Gogniat, Emmanuel Juin
article
First International Symposium on Networks-on-Chip (NOCS'07), May 2007, France. pp.223-232, ⟨10.1109/NOCS.2007.32⟩
Accès au bibtex
BibTex
titre
Bus Decryption Overhead Minimization with Code Compression
auteur
Guy Gogniat, Jean-Philippe Diguet, Eduardo Wanderley
article
The 3rd III IEEE Southern Conference on Programmable Logic, Feb 2007, Mar del Plata, Argentina. pp.XX
Accès au bibtex
BibTex
titre
A Code Compression Method to Cope with Security Hardware Overheads
auteur
Eduardo Wanderley, Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet
article
19th International Symposium on Computer Architecture and High Performance Computing, 2007, Gramado, RS, Brazil. pp.XX
Accès au bibtex
BibTex
titre
Hardware implementation of a multi-mode hash architecture for MD5, SHA-1 and SHA-2
auteur
Sylvain Ducloyer, Romain Vaslin, Guy Gogniat, Eduardo Wanderley
article
Workshop on Design and Architectures for Signal and Image Processing, 2007, France. pp.XX
Accès au bibtex
BibTex

2006

Journal articles

titre
Design space pruning through early estimations of area / delay trade-offs for FPGA implementations
auteur
Sébastien Bilavarn, Guy Gogniat, Jean Luc Philippe, Lilian Bossuet
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25, N° 10, p. 1950-1968
Accès au texte intégral et bibtex
https://hal.science/hal-00089398/file/bilavarn_2006ieeetcad.pdf BibTex
titre
Dynamically Configurable Security for SRAM FPGA Bitstreams
auteur
Lilian Bossuet, Guy Gogniat, Wayne Burleson
article
International Journal of Embedded Systems, 2006, 2, pp.73 - 85
Accès au texte intégral et bibtex
https://hal.science/hal-00089394/file/bossuet_2006ijes.pdf BibTex
titre
Exploration de l'espace de conception des architectures reconfigurables
auteur
Lilian Bossuet, Guy Gogniat, Jean Luc Philippe
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2006, XX, 25 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089397/file/bossuet_2006tsi.pdf BibTex
titre
EPICURE: A partitioning and co-design framework for reconfigurable computing
auteur
Jean-Philippe Diguet, Guy Gogniat, Jean Luc Philippe, Yannick Le Moullec, Sébastien Bilavarn, Christian Gamrat, Karim Ben Chehida, Michel Auguin, Xavier Fornari, Philippe Kajfasz
article
Microprocessors and Microsystems: Embedded Hardware Design , 2006, 30, pp.367-387. ⟨10.1016/j.micpro.2006.02.015⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00089393/file/diguet_2006jmm_nf.pdf BibTex

Conference papers

titre
Trusted computing - A new challenge for embedded systems
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Alain Pegatoquet
article
International Conference on Electronics, Circuits and Systems 2006, Dec 2006, Nice, France
Accès au texte intégral et bibtex
https://hal.science/hal-00124415/file/icecs06_vaslin.pdf BibTex
titre
Genetic algorithm for high level analysis and architecture exploration
auteur
Issam Maalej, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
article
IP Based Design 2006 Workshop, Dec 2006, Grenoble, France. pp.XX
Accès au bibtex
BibTex
titre
Custom Instruction Integration Method within Reconfigurable SoC and FPGA Devices
auteur
Yassine Aoudni, Guy Gogniat, Mohamed Abid, Jean Luc Philippe
article
The International Conference on Microelectronics (ICM 2006), Dec 2006, Saudi Arabia. pp.XX
Accès au bibtex
BibTex
titre
System Level Design with UML: a unified approach
auteur
Samuel Rouxel, Guy Gogniat, Jean-Philippe Diguet, Jean-Luc Philippe, Christophe Moy
article
IEEE Symposium on Industrial Embedded System, Oct 2006, Antibes, France
Accès au bibtex
BibTex
titre
A3S Method and Tools for Analysis of Real-Time Embedded Systems
auteur
Samuel Rouxel, Guy Gogniat, Jean-Philippe Diguet, Jean-Luc Philippe
article
International Workshop on Modeling and Analysis of Real-Time and Embedded Systems, Oct 2006, Genova, Italy
Accès au bibtex
BibTex
titre
Secure architecture in embedded systems: an overview
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet
article
Reconfigurable communication-centric Socs 2006, Jun 2006, Montpellier, France
Accès au texte intégral et bibtex
https://hal.science/hal-00124412/file/recosoc06.pdf BibTex
titre
Secure architecture in embedded systems: an overview
auteur
Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet
article
2006, 9 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089408/file/vaslin_2006rocosoc.pdf BibTex
titre
IPSec Implementation Project using FPGA and Microcontroller
auteur
Guy Gogniat, Wayne Burleson, Mike O'Malley, Lilian Bossuet
article
2006, 5 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089407/file/gogniat_2006rce.pdf BibTex
titre
Reconfigurable Security Support for Embedded Systems
auteur
Guy Gogniat, Tilman Wolf, Wayne Burleson
article
2006, 8 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089406/file/gogniat_2006hicss.pdf BibTex
titre
Collaborative Monitors for Embedded System Security
auteur
Tilman Wolf, Shufu Mao, Dhruv Kumar, Basab Datta, Wayne Burleson, Guy Gogniat
article
2006, pp.XX
Accès au bibtex
BibTex
titre
Mapping SoC architecture Solutions for an Application based on PACM Model
auteur
Yassine Aoudni, Guy Gogniat, Kais Loukil, Jean Luc Philippe, Mohamed Abid
article
2006, 6 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089400/file/aoudni_2006isie.pdf BibTex
titre
Method for Embedded Application Prototyping based on SoC Platform and Architecture Model
auteur
Yassine Aoudni, Guy Gogniat, Kais Loukil, Jean Luc Philippe, Mohamed Abid
article
2006, 6 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089403/file/aoudni_2006dtis.pdf BibTex

Book sections

titre
From MDD concepts to experiments and illustrations
auteur
Samuel Rouxel, Guy Gogniat, Jean-Philippe Diguet, Jean-Luc Philippe, Christophe Moy
article
Schedulability Analysis and MDD, International Scientific and Technical Encyclopedia, 111-130 - Chapter 7, 2006
Accès au bibtex
BibTex
titre
Model driven Engineering for distributed Real-Time Embedded Systems: From MDD concepts to Experiments and Illustrations
auteur
Samuel Rouxel, Guy Gogniat, Jean-Philippe Diguet, Jean-Luc Philippe, Christophe Moy
article
From MDD concepts to Experiments and Illustrations, x, Chapt 7, 110-130, 2006
Accès au bibtex
BibTex

2005

Journal articles

titre
Configurable Computing for High-Security/High-Performance Ambient Systems
auteur
Guy Gogniat, Lilian Bossuet, Wayne Burleson
article
Lecture Notes in Computer Science, 2005, 3553/2005, pp.72-81, ISBN: 3-540-40822-3
Accès au bibtex
BibTex

Conference papers

titre
Méthode d'exploration de l'espace de conception ciblant des architectures reconfigurables
auteur
Lilian Bossuet, Guy Gogniat, Jean-Luc Philippe
article
Journées IEEE francophones sur l'Adéquation Algorithme Architecture (JFAAA 2005), Jan 2005, Dijon, France
Accès au bibtex
BibTex
titre
UML Framework for PIM and PSM Verification of SDR Systems
auteur
Samuel Rouxel, Jean-Philippe Diguet, Guy Gogniat, Nicolas Bulteau, Jonathan Carre-Gourdin, Jean-Etienne Goubard, Christophe Moy
article
SDR Forum Technical Conference'05, 2005, Anaheim, CA, United States
Accès au texte intégral et bibtex
https://hal.science/hal-00084148/file/09.0-02.PDF BibTex
titre
Reconfigurable Security Primitive for Embedded Systems
auteur
Guy Gogniat, Tilman Wolf, Wayne Burleson
article
2005, 8 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089411/file/gogniat_2005soc.pdf BibTex
titre
Generic Design Space Exploration for Reconfigurable Architectures
auteur
Lilian Bossuet, Guy Gogniat, Jean Luc Philippe
article
2005, 4 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089409/file/bossuet_2005raw.pdf BibTex
titre
Configurable computing for high-security/high-performance ambient systems
auteur
Guy Gogniat, Lilian Bossuet, Wayne Burleson
article
2005, 10 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089410/file/gogniat_2005samosv.pdf BibTex

2004

Journal articles

titre
Software radio and dynamic reconfiguration on a DSP/FPGA platform
auteur
Jean-Philippe Delahaye, Guy Gogniat, Christian Roland, Pierre Bomel
article
frequenz, journal of telecommunications, 2004, 58, pp.152-159
Accès au texte intégral et bibtex
https://hal.science/hal-00089395/file/delahaye_2004frequenz.pdf BibTex

Conference papers

titre
UML Profile for waveform SPS abstraction
auteur
C. Moy, M. Raulet, Samuel Rouxel, Jean-Philippe Diguet, Guy Gogniat, P. Desfray, N. Bulteau, J.-E. Goubard, Y. Denef
article
SDR forum Technical Conference, Nov 2004, Phoenix, United States
Accès au bibtex
BibTex
titre
UML profiles towards waveform performances verification
auteur
Antoine Delautre, Jean Etienne Goubard, Guy Gogniat, Samuel Rouxel, Jean-Philippe Diguet, Christophe Moy, Nicolas Bulteau
article
WWRF11 Meeting Services and Applications Roadmaps, 2004, Norway. pp.XX
Accès au bibtex
BibTex
titre
Software radio and dynamic reconfiguration on a DSP/FPGA platform
auteur
Jean-Philippe Delahaye, Guy Gogniat, Christian Roland, Pierre Bomel
article
3rd Karlsruhe Workshop on Software Radios, 2004, France. pp.XX
Accès au texte intégral et bibtex
https://hal.science/hal-00089420/file/delahaye_2004wsr.pdf BibTex
titre
Metrics for high level analysis of multiprocessor system on chip
auteur
Issam Maalej, Guy Gogniat, Mohamed Abid, Jean Luc Philippe
article
2004, pp.XX
Accès au texte intégral et bibtex
https://hal.science/hal-00089416/file/maalej_2004icm.pdf BibTex
titre
IP Processor Core Platform Selection According to SoC Architecture: a case study
auteur
Yassine Aoudni, Nader Ben Amor, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
article
2004, pp.5
Accès au texte intégral et bibtex
https://hal.science/hal-00089414/file/aoudni_2004ipsoc.pdf BibTex
titre
High level analysis of multiprocessor system on chip
auteur
Issam Maalej, Guy Gogniat, Mohamed Abid, Jean Luc Philippe
article
2004, pp.8
Accès au texte intégral et bibtex
https://hal.science/hal-00089415/file/maalej_2004ertsi.pdf BibTex
titre
Verification of system coherency at early architecture design stage
auteur
Antoine Delautre, Jean Etienne Goubard, Guy Gogniat, Samuel Rouxel, Jean-Philippe Diguet, Christophe Moy, Nicolas Bulteau
article
SDR Forum General Meeting, 2004, Germany
Accès au bibtex
BibTex
titre
Dynamically Configurable Security for SRAM FPGA Bitstreams
auteur
Lilian Bossuet, Guy Gogniat, Wayne Burleson
article
2004, pp.XX
Accès au bibtex
BibTex
titre
UML Profile for SDR Hardware/Software Adequacy Verification
auteur
Antoine Delautre, Jean Etienne Goubard, Guy Gogniat, Samuel Rouxel, Jean-Philippe Diguet, Christophe Moy, Nicolas Bulteau
article
OMG's First Annual Software-Based Communications (SBC) Workshop: From Mobile to Agile Communications, 2004, United States. pp.XX
Accès au bibtex
BibTex
titre
Platform Selection According to SoC Architecture: a case study
auteur
Yassine Aoudni, Nader Ben Amor, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
article
2004, 6 p
Accès au texte intégral et bibtex
https://hal.science/hal-00089412/file/aoudni_2004icm.pdf BibTex

2003

Journal articles

titre
Communication Costs Driven Space Exploration for Reconfigurable Architectures
auteur
Guy Gogniat, Lilian Bossuet, Jean-Luc Philippe
article
Lecture Notes in Computer Science, 2003, 2778/2003, pp.921-933, ISBN: 978-3-540-26969-4
Accès au bibtex
BibTex

Conference papers

titre
Partitioning and CoDesign tools & methodology for Reconfigurable Computing: The EPICURE philosophy
auteur
Michel Auguin, Karim Ben Chehida, Jean-Philippe Diguet, Xavier Fornari, Christian Gamrat, Guy Gogniat, Philippe Kajfasz, Yannick Le Moullec
article
Jul 2003, pp.XX
Accès au bibtex
BibTex
titre
Communication costs driven design space exploration for reconfigurable architectures
auteur
Lilian Bossuet, Guy Gogniat, Jean Luc Philippe
article
2003, pp.XX
Accès au bibtex
BibTex
titre
Fast Prototyping of Reconfigurable Architectures From a C Program
auteur
Sébastien Bilavarn, Guy Gogniat, Jean Luc Philippe, Lilian Bossuet
article
2003, pp.XX
Accès au texte intégral et bibtex
https://hal.science/hal-00089429/file/bilavarn_2003iscas.pdf BibTex
titre
Targeting Tiled Architectures in Design Exploration
auteur
Lilian Bossuet, Wayne Burleson, Guy Gogniat, Vikas Anand, Andrew Laffely, Jean Luc Philippe
article
2003, pp.XX
Accès au bibtex
BibTex
titre
Fast Design Space Exploration Method for Reconfigurable Architectures
auteur
Lilian Bossuet, Guy Gogniat, Jean Luc Philippe
article
2003, pp.XX
Accès au bibtex
BibTex
titre
Interface design approach for system on chip based on configuration
auteur
Issam Maalej, Guy Gogniat, Mohamed Abid, Jean Luc Philippe
article
2003, pp.XX
Accès au texte intégral et bibtex
https://hal.science/hal-00089430/file/maalej_2003iscas.pdf BibTex
titre
Fast Prototyping of Reconfigurable Architectures: An Estimation And Exploration Methodology from System-Level Specifications
auteur
Sébastien Bilavarn, Guy Gogniat, Jean Luc Philippe, Lilian Bossuet
article
2003, pp.XX
Accès au bibtex
BibTex

Book sections

titre
A Modeling Method for Reconfigurable Architectures
auteur
Lilian Bossuet, Guy Gogniat, Jean-Philippe Diguet, Jean Luc Philippe
article
The Kluwer International Series in Engineering and Computer Science. System-on Chip for Real Time Applications, Springer, pp.170-180, 2003
Accès au bibtex
BibTex

2002

Conference papers

titre
Vers une approche unifiée pour la conception globale de télécommunications
auteur
Lilian Bossuet, Guy Gogniat, Jean-Luc Philippe, Projet Maggt
article
Journées IEEE francophones sur l'Adéquation Algorithme Architecture (JFAAA 2005), Dec 2002, Monastir, Tunisie
Accès au bibtex
BibTex
titre
Flot d'exploration des architectures reconfigurables
auteur
Lilian Bossuet, Guy Gogniat, Jean-Luc Philippe
article
Journées IEEE francophones sur l'Adéquation Algorithme Architecture (JFAAA 2005), Dec 2002, Monastir, Tunisie
Accès au bibtex
BibTex

2001

Conference papers

titre
Estimation de performances à un niveau comportemental pour l'implantation sur composants FPGAs
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
7ème Symposium en Architectures Nouvelles de Machines, 2001, Paris, France
Accès au bibtex
BibTex

2000

Journal articles

titre
A Codesign Back End Approach for Embedded System Design
auteur
Gogniat Guy, Michel Auguin, Luc Bianco, Alain Pegatoquet
article
ACM SIGGROUP Bulletin, 2000, 5 (3), pp.492-509
Accès au bibtex
BibTex

Conference papers

titre
FPGA area time power estimation for DSP applications
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
International Conference on Signal Processing Applications and Technologies, 2000, Dallas, United States
Accès au bibtex
BibTex
titre
Méthode de conception d'architectures hétérogènes pour les applications de traitement numérique du signal
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
3ème Journées Nationales du Réseau Doctoral de Microélectronique, 2000, Montpellier, France
Accès au bibtex
BibTex
titre
Area time power estimation for FPGA based designs at a behavioral level
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
IEEE International Conference on Electronics, Circuits and Systems, 2000, Beirut, Lebanon. ⟨10.1109/ICECS.2000.911593⟩
Accès au bibtex
BibTex

1999

Conference papers

titre
A hardware software co design methodology for heterogeneous architecture estimation
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
International Conference on Signal Processing Applications and Technologies, 1999, Orlando, United States
Accès au bibtex
BibTex
titre
Estimation d'architectures hétérogènes pour les applications de traitement numérique du signal
auteur
Sébastien Bilavarn, Guy Gogniat, Jean-Luc Philippe
article
2ème Colloque du GDR CAO de Circuits Int égrés et Syst èmes, 1999, Aix-en-Provence, France
Accès au bibtex
BibTex

1998

Conference papers

titre
A guide to take decisions earlier in the design flow
auteur
Alain Pegatoquet, Michel Auguin, Guy Gogniat, E. Gresset
article
ICSPAT, Sep 1998, Toronto, Canada. pp.805-808
Accès au bibtex
BibTex
titre
A path analysis based partitioning for time constrained embedded systems
auteur
Luc Bianco, Michel Auguin, Gogniat Guy, Alain Pegatoquet
article
Int. Conference on Signal Processing Applications and Technology (ICSPAT, Mar 1998, Seattle, United States. pp.85-89
Accès au bibtex
BibTex
titre
Communication synthesis and HW/SW integration for embedded system design
auteur
G. Gogniat, M. Auguin, L. Bianco, A. Pegatoquet
article
Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98), Mar 1998, Seattle, United States. ⟨10.1109/HSC.1998.666237⟩
Accès au bibtex
BibTex

1997

Journal articles

titre
A codesign experiment in acoustic echo cancellation: GMDFα
auteur
L. Freund, M. Israel, Frédéric Rousseau, J.M. Berge, M. Auguin, Cécile Belleudy, G. Gogniat
article
ACM Transactions on Design Automation of Electronic Systems, 1997, 2 (4), pp.365-383. ⟨10.1145/268424.268433⟩
Accès au bibtex
BibTex

Conference papers

titre
Etude de la conception logiciel/matériel d’une application d’annulation d’écho acoustiqu
auteur
L. Freund, M. Israel, Frédéric Rousseau, J.M. Berge, M. Auguin, Cécile Belleudy, G. Gogniat
article
Colloques CAO de circuits intégrés et systèmes, Jan 1997, Grenoble, France
Accès au bibtex
BibTex