2025
Journal articles
- titre
- A study on characterizing energy, latency and security for Intrusion Detection Systems on heterogeneous embedded platforms
- auteur
- Camélia Slimani, Louis Morge-Rollet, Laurent Lemarchand, David Espes, Frédéric Le Roy, Jalil Boukhobza
- article
- Future Generation Computer Systems, 2025, 162, pp.107473. ⟨10.1016/j.future.2024.07.051⟩
- Accès au bibtex
-
2024
Journal articles
- titre
- Adapting Gaussian Mixture Model Training to Embedded/Edge Devices: A Low I/O, Deadline-Aware and Energy Efficient Design
- auteur
- Meriem Bouzouad, Yasmine Benhamadi, Camélia Slimani, Jalil Boukhobza
- article
- ACM SIGAPP applied computing review : a publication of the Special Interest Group on Applied Computing, 2024, 24 (2), pp.5-18. ⟨10.1145/3687251.3687252⟩
- Accès au bibtex
-
- titre
- Efficient Adaptive Multi-Level Privilege Partitioning With RTrustSoC
- auteur
- Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier, Théotime Bollengier
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2024, pp.1-13. ⟨10.1109/TCSI.2024.3413364⟩
- Accès au texte intégral et bibtex
-
- titre
- An Iterative Benchmark Configuration Method for Quantifying Multi-Core Interference
- auteur
- Sébastien Levieux, Frank Singhoff, Stéphane Rubini, Philippe Plasson, Pierre-Vincent Gouel, Lee-Roy Malac-Allain, Lucas Miné, Gabriel Brusq
- article
- Ada User Journal, 2024
- Accès au bibtex
-
- titre
- E-SCORE: A web-based tool for security requirements engineering
- auteur
- Hiba Hnaini, Raul Mazo, Joël Champeau, Paola Vallejo, Jose Galindo
- article
- SoftwareX, 2024, 26, pp.101704. ⟨10.1016/j.softx.2024.101704⟩
- Accès au bibtex
-
- titre
- Environmental Constraints for Intelligent Internet of Deep-Sea/Underwater Things Relying on Enterprise Architecture Approach
- auteur
- Charbel Geryes Aoun, Noura Mansour, Fadi Dornaika, Loïc Lagadec
- article
- Sensors, 2024, 24 (8), pp.2433. ⟨10.3390/s24082433⟩
- Accès au bibtex
-
- titre
- Robustness Assessment of AI-Based 2D Object Detection Systems: A Method and Lessons Learned from Two Industrial Cases
- auteur
- Anne-Laure Wozniak, Sergio Segura, Raul Mazo
- article
- Electronics, 2024, 13 (7), pp.1368. ⟨10.3390/electronics13071368⟩
- Accès au bibtex
-
- titre
- Modeling Fatigue in Manual and Robot-Assisted Work for Operator 5.0
- auteur
- Arnaud Allemang--Trivalle, Jérémie Donjat, Gaëlic Bechu, Gilles Coppin, Mathieu Chollet, Oliver W Klaproth, Andreas Mitschke, Arnd Schirrmann, Caroline G L Cao
- article
- IISE Transactions on Occupational Ergonomics and Human Factors, 2024, 12 (1-2), pp.135-147. ⟨10.1080/24725838.2024.2321460⟩
- Accès au texte intégral et bibtex
-
- titre
- Médiation dans le numérique, une pédagogie gagnante ?
- auteur
- Cécile Plaud, Vincent Ribaud
- article
- Spirale - Revue de Recherches en Éducation , 2024, 73, pp.23-39. ⟨10.3917/spir.073.0023⟩
- Accès au bibtex
-
- titre
- NAVIDRO, a CARES architectural style for configuring drone co-simulation
- auteur
- Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
- article
- ACM Transactions on Embedded Computing Systems (TECS), In press, ⟨10.1145/3651889⟩
- Accès au texte intégral et bibtex
-
- titre
- Scintillation in Liquid Xenon for Gamma-Ray Medical Imaging: From Single Time-over-Threshold to Multi-Time-over-Threshold PMT Signal Measurements
- auteur
- Quentin Lainé, Nicolas Beaupere, Dingbang Cai, Eric Morteau, Fabrice Seguin, Dominique Thers, Cyril Lahuec
- article
- Sensors, 2024, 24 (17), pp.5826. ⟨10.3390/s24175826⟩
- Accès au texte intégral et bibtex
-
- titre
- CREPE: Concurrent Reverse-Modulo-Scheduling and Placement for CGRAs
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- IEEE Transactions on Parallel and Distributed Systems, 2024, 35 (7), pp.1293 - 1306. ⟨10.1109/tpds.2024.3402098⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Comparing prospective methods to identify latent needs An application to digital twin for maintenance 4.0
- auteur
- Naomi Kamoise, Clément Guérin, Mohammed Hamzaoui, Nathalie Julien, Jérémy Dupont, Laurent Forestier
- article
- European Conference on Cognitive Ergonomics, Oct 2024, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Towards Re-Engineering Digital Twins
- auteur
- Gwendal Beaumont, Antoine Beugnard, Salvador Martínez, Christelle Urtado, Sylvain Vauttier
- article
- ACM/IEEE 27th International Conference on Model Driven Engineering Languages and Systems (MODELS Companion '24), Sep 2024, Linz, Austria
- Accès au texte intégral et bibtex
-
- titre
- Cooperative docking system for Unmanned Surface Vehicule (USV)
- auteur
- Ronan Douguet, Alexandre Foucher, Yvan Eustache, Dominique Heller, Johann Laurent
- article
- OCEANS, IEEE, Sep 2024, Halifax (Canada), Canada
- Accès au bibtex
-
- titre
- A Survey of Federative Approaches for Model Management in MBSE
- auteur
- Moussa Amrani, Mittal Rakshit, Miguel Goulão, Vasco Amaral, Sylvain Guérin, Salvador Martínez, Dominique Blouin, Anish Bhobe, Yara Hallak
- article
- 1st International Workshop on Model Management (MoM) at MODELS 2024, Sep 2024, Linz (AUSTRIA), Austria. ⟨10.1145/3652620.3688221⟩
- Accès au texte intégral et bibtex
-
- titre
- 10 years of Model Federation with Openflexo: Challenges and Lessons Learned
- auteur
- Jean-Christophe Bach, Antoine Beugnard, Joël Champeau, Fabien Dagnat, Sylvain Guérin, Salvador Martínez
- article
- MODELS 2024, Sep 2024, Linz, Austria. pp.12, ⟨10.1145/3640310.3674084⟩
- Accès au texte intégral et bibtex
-
- titre
- Maintaining Security Consistency During System Development with Security-Oriented Model Federation
- auteur
- Chahrazed Boudjemila, Fabien Dagnat, Salvador Martínez
- article
- International Conference on Software and Systems Processes (ICSSP ’24), Sep 2024, Munich, Germany. ⟨10.1145/3666015.3666016⟩
- Accès au texte intégral et bibtex
-
- titre
- Communication Architecture Under Siege: An In-depth Analysis of Fault Attack Vulnerabilities and Countermeasures
- auteur
- Hongwei Zhao, Vianney Lapotre, Gogniat Guy
- article
- IEEE CSR Workshop on Hardware Cybersecurity Systems (HACS), Sep 2024, London, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Exploring Fault Injection Attacks on CVA6 PMP Configuration Flow
- auteur
- Kévin Quénéhervé, William Pensec, Tanguy Philippe, Rachid Dafali, Vianney Lapotre
- article
- 27th Euromicro Conference Series on Digital System Design (DSD), Sorbonne University, Aug 2024, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Scripting the Unpredictable: Automate Fault Injection in RTL Simulation for Vulnerability Assessment
- auteur
- William Pensec, Vianney Lapotre, Gogniat Guy
- article
- 27th Euromicro Conference Series on Digital System Design (DSD), Sorbonne University, Aug 2024, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Optimisation of underwater acoustic sensor networks
- auteur
- Ronan Serre, David Dellong, Myriam Lajaunie, Hélène Pihan-Le Bars, Jean-Michel Boutonnier, Mathis Hamelotte, Laurent Lemarchand, Catherine Dezan
- article
- Inter Noise 2024, French Acoustical Society, Aug 2024, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Exécution autonome de boucles sur CGRAs pour l'accélération des applications de traitement du signal
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Compas, Jul 2024, Nantes, France
- Accès au bibtex
-
- titre
- Defending the Citadel: Fault Injection Attacks against Dynamic Information Flow Tracking and Related Countermeasures
- auteur
- William Pensec, Francesco Regazzoni, Vianney Lapotre, Gogniat Guy
- article
- 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Jul 2024, Knoxville, United States
- Accès au texte intégral et bibtex
-
- titre
- On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
- auteur
- Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapôtre, Pascal Cotret, Guy Gogniat, Tim Güneysu
- article
- 19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore. pp.483-497, ⟨10.1145/3634737.3637677⟩
- Accès au bibtex
-
- titre
- A Fine-Grained Dynamic Partitioning Against Cache-Based Timing Attacks via Cache Locking
- auteur
- Nicolas Gaudin, Pascal Cotret, Gogniat Guy, Vianney Lapotre
- article
- 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2024), Jul 2024, Knoxville, TN, United States
- Accès au texte intégral et bibtex
-
- titre
- Online USV Re-planning with Embedded Pareto Sets
- auteur
- Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
- article
- Mediterranean Conference on Embedded Computing, IEEE, Jun 2024, Budva, Montenegro. pp.107-114
- Accès au texte intégral et bibtex
-
- titre
- Factors that may impact curriculum design in higher education in a vuca world
- auteur
- Haraldur Audunsson, Asrun Matthiasdottir, Arlinta Barus, Siegfried Rouvrais, Roger Waldeck, Cecile Gerwel Proches
- article
- 20th International CDIO Conference, Jun 2024, Tunis, Tunisia
- Accès au texte intégral et bibtex
-
- titre
- Error Correction Codes, from Communication to Cryptography... Two sides of one chip
- auteur
- Cyrille Chavet, Bertrand Le Gal, Philippe Coussy, Mael Tourres, Syed Fahimuddin Alavi
- article
- Conférence annuelle GdR Sécurité Informatique, GdR SI, Jun 2024, Rennes - IRISA, France
- Accès au bibtex
-
- titre
- RISC-V Embedded AI for IDS Applications
- auteur
- Pierre Garreau, Pascal Cotret, Julien Francq, Jean-Christophe Cexus, Loïc Lagadec
- article
- RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France
- Accès au texte intégral et bibtex
-
- titre
- HeROcache: Storage-Aware Scheduling in Heterogeneous Serverless Edge - The Case of IDS
- auteur
- Vincent Lannurien, Camélia Slimani, Laurent d'Orazio, Olivier Barais, Stéphane Paquelet, Jalil Boukhobza
- article
- CCGrid 2024 - 24th IEEE/ACM international Symposium on Cluster, Cloud and Internet Computing, May 2024, Philadelphia, United States. pp.1-11
- Accès au texte intégral et bibtex
-
- titre
- Making application build safer through static analysis of naming
- auteur
- Antoine Beugnard, Julien Mallet
- article
- International Conference on Evaluation of Novel Approaches to Software Engineering, Apr 2024, Angers (France), France. pp.442 -- 449
- Accès au bibtex
-
- titre
- An Architecture Framework for Higher Education
- auteur
- Siegfried Rouvrais, Sobah Abbas Petersen
- article
- ICEIS 2024: 26th International Conference on Enterprise Information Systems, Apr 2024, Angers, France. pp.739-747, ⟨10.5220/0012738900003690⟩
- Accès au texte intégral et bibtex
-
- titre
- PIGMMaLIOn: a Partial Incremental Gaussian Mixture Model with a Low I/O Design
- auteur
- Meriem Bouzouad, Yasmine Benhamadi, Camelia Slimani, Jalil Boukhobza
- article
- SAC '24: 39th ACM/SIGAPP Symposium on Applied Computing, Apr 2024, Avila Spain, France. pp.428-435, ⟨10.1145/3605098.3635909⟩
- Accès au bibtex
-
- titre
- Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
- auteur
- Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
- article
- Cyber On Board, Mar 2024, ile des Embiez, France
- Accès au bibtex
-
- titre
- Security Contracts a Property-Based Approach to Support Security Patterns
- auteur
- Sylvain Guérin, Joël Champeau, Salvador Martínez, Raul Mazo
- article
- 10th International Conference on Information Systems Security and Privacy, Feb 2024, Rome, France. pp.103-111, ⟨10.5220/0012305600003648⟩
- Accès au bibtex
-
- titre
- Cache locking against cache-based side-channel attacks
- auteur
- Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
- article
- École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
- Accès au bibtex
-
- titre
- SECRET: A New SECurity REquirements SpecificaTion Template
- auteur
- Hiba Hnaini, Raul Mazo, Paola Vallejo, Andres Lopez, Joël Champeau, Jose Galindo
- article
- International Conference on Information Technology and Systems, ICITS 2024, Jan 2024, Temuco, Chile. pp.235-246, ⟨10.1007/978-3-031-54256-5_22⟩
- Accès au texte intégral et bibtex
-
- titre
- Scratchy : A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming Applications
- auteur
- Joseph W Faye, Naouel Haggui, Florent Kermarrec, Kevin J M Martin, Shuvra Bhattacharyya, Jean-François Nezan, Maxime Pelcat
- article
- DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich (Allemagne), Germany
- Accès au texte intégral et bibtex
-
- titre
- Standalone Nested Loop Acceleration on CGRAs for Signal Processing Applications
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich, Germany
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Efficient Application Execution Framework for CGRAs
- auteur
- Christie Sajitha Sajan, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Colloque du GDR SOC2, Jun 2024, Toulouse (FRANCE), France
- Accès au texte intégral et bibtex
-
Reports
- titre
- Curriculum Components and Properties
- auteur
- Haraldur Audunsson, Asrun Matthiasdottir, Siegfried Rouvrais, Cecile Gerwel Proches, Arlinta Barus, Valentina Diagene, Inggriani Liem, Tahan Sihombing, Joanne Gardner - Le Gars, Elodie Huré, Sari Silalahi, Eka Stephani Sinambela, Angela James, Egle Jasute, Nathalie Chelin, Roger Waldeck, Gilles Jacovetti, Macdonald Kanyangale, Clara Lemke, Ann-Kristin Winkens, Carmen Leicht-Scholten, Vladimiras Dolgopolovas
- article
- Report R11, University of KwaZulu-Natal - Afrique du Sud; Institut teknologi Bandung; IMT Atlantique; Reykjavik University; Vilnius University; Rheinisch-Westfälischen Technischen Hochschule Aachen. 2024
- Accès au texte intégral et bibtex
-
- titre
- VUCA Scenarios Impacting Higher Education
- auteur
- Haraldur Audunsson, Asrun Matthiasdottir, Siegfried Rouvrais, Cecile Gerwel Proches, Arlinta Barus, Valentina Diagene, Roger Waldeck, Macdonald Kanyangale, Gilles Jacovetti, Inggriani Liem, Tahan Sihombing, Joanne Gardner - Le Gars, Sari Silalahi, Eka Stephani Sinambela, Angela James, Asta Meskauskiene, Egle Jasute, Nathalie Chelin, Clara Lemke, Ann-Kristin Winkens, Carmen Leicht-Scholten, Vladimiras Dolgopolovas, Elodie Huré
- article
- Report R12, University of KwaZulu-Natal - Afrique du Sud; Institut teknologi Bandung; IMT Atlantique; Reykjavik University; Vilnius University; Rheinisch-Westfälischen Technischen Hochschule Aachen. 2024
- Accès au texte intégral et bibtex
-
- titre
- Learning Material on Curriculum Design
- auteur
- Siegfried Rouvrais, Haraldur Audunsson, Asrun Matthiasdottir, Cecile Gerwel Proches, Arlinta Barus, Valentina Diagene, Inggriani Liem, Sari Silalahi, Eka Stephani Sinambela, Angela James, Asta Meskauskiene, Egle Jasute, Clara Lemke, Nathalie Chelin, Roger Waldeck, Gilles Jacovetti, Macdonald Kanyangale, Ann-Kristin Winkens, Vladimiras Dolgopolovas, Carmen Leicht-Scholten
- article
- Report R13, University of KwaZulu-Natal - Afrique du Sud; Institut teknologi Bandung; IMT Atlantique; Reykjavik University; Vilnius University; Rheinisch-Westfälischen Technischen Hochschule Aachen. 2024
- Accès au texte intégral et bibtex
-
2023
Journal articles
- titre
- GuaNary: Efficient Buffer Overflow Detection In Virtualized Clouds Using Intel EPT-based Sub-Page Write Protection Support
- auteur
- Stella Bitchebe, Yves Kone, Pierre Olivier, Jalil Boukhobza, Yérom-David Bromberg, Daniel Hagimont, Alain Tchana
- article
- Proceedings of the ACM on Measurement and Analysis of Computing Systems , 2023, 7 (3), pp.1-26. ⟨10.1145/3626787⟩
- Accès au bibtex
-
- titre
- A POSIX/RTEMS monitoring tool and a benchmark to detect real-time scheduling anomalies
- auteur
- Blandine Djika, Georges-Edouard Kouamou, Frank Singhoff, Alain Plantec
- article
- Ada User Journal, 2023, 44 (3)
- Accès au bibtex
-
- titre
- Modeling and enforcing access control policies in conversational user interfaces
- auteur
- Elena Planas, Salvador Martínez, Marco Brambilla, Jordi Cabot
- article
- Software and Systems Modeling, 2023, ⟨10.1007/s10270-023-01131-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Stretchable piezoresistive textile yarn strain transducer for low deformation detection
- auteur
- Hankai Wu, Fabrice Seguin, Vladan Koncar, Cédric Cochrane, Laurent Dupont, Cyril Lahuec, Alexandre Khaldi
- article
- Sensors and Actuators A: Physical , 2023, 363, ⟨10.1016/j.sna.2023.114755⟩
- Accès au texte intégral et bibtex
-
- titre
- Deep Q-Learning-Based Dynamic Management of a Robotic Cluster
- auteur
- Paul Gautier, Johann Laurent, Jean-Philippe Diguet
- article
- IEEE Transactions on Automation Science and Engineering, 2023, 20 (4), pp.1-13. ⟨10.1109/TASE.2022.3205651⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time Fixed Priority Scheduling Synthesis using Affine DataFlow Graphs: from Theory to Practice
- auteur
- Alexandre Honorat, Hai Nam Tran, Thierry Gautier, Loïc Besnard, Shuvra S. Bhattacharyya, Jean-Pierre Talpin
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2023, pp.1-30. ⟨10.1145/3615586⟩
- Accès au texte intégral et bibtex
-
- titre
- A novel bi-anomaly-based intrusion detection system approach for industry 4.0
- auteur
- Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
- article
- Future Generation Computer Systems, 2023, ⟨10.1016/j.future.2023.03.024⟩
- Accès au bibtex
-
- titre
- ADEPT 2022 workshop: a summary of strengths and weaknesses of the AADL ecosystem
- auteur
- Frank Singhoff, Jérôme Hugues, Hai Nam Tran, Gianluca Bardaro, Dominique Blouin, Marco Bozzano, Patrick Denzler, Pierre Dissaux, Eric Senn, Xiong Xu, Zhibing Yang
- article
- Ada User Journal, 2023, 44 (2), pp.125-128
- Accès au bibtex
-
- titre
- Accelerating Random Forest on Memory-Constrained Devices through Data Storage Optimization
- auteur
- Camélia Slimani, Chun-Feng Wu, Stéphane Rubini, Yuan-Hao Chang, Jalil Boukhobza
- article
- IEEE Transactions on Computers, 2023, 72 (6), pp.1595 - 1609. ⟨10.1109/TC.2022.3215898⟩
- Accès au bibtex
-
- titre
- Detection of AIS messages falsifications and spoofing by checking messages compliance with TDMA protocol
- auteur
- Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
- article
- Digital Signal Processing, 2023, 136, pp.103983. ⟨10.1016/j.dsp.2023.103983⟩
- Accès au bibtex
-
- titre
- Colla-Config: A stakeholders preferences-based approach for product lines collaborative configuration
- auteur
- Sihem Ben Sassi, Sabrine Edded, Raul Mazo, Henda Ben Ghezala, Camille Salinesi
- article
- Journal of Systems and Software, 2023, 197, pp.111586. ⟨10.1016/j.jss.2022.111586⟩
- Accès au texte intégral et bibtex
-
- titre
- AnimUML: a Practical Tool for Partial Model Animation and Analysis
- auteur
- Frédéric Jouault, Valentin Besnard, Matthias Brun, Théo Le Calvar, Fabien Chhel, Mickaël Clavreul, Jérome Delatour, Maxime Méré, Matthias Pasquier, Ciprian Teodorov
- article
- Science of Computer Programming, 2023, pp.103050. ⟨10.1016/j.scico.2023.103050⟩
- Accès au bibtex
-
- titre
- A Dominating Tree Based Leader Election Algorithm for Smart Cities IoT Infrastructure
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Madani Bezoui, Mohamed Essaid Khanouche, Reinhardt Euler, Mohammad Hammoudeh, Loïc Lagadec, Sohail Jabbar, Fadi Al-Turjman
- article
- Mobile Networks and Applications, 2023, 28, pp.718-731. ⟨10.1007/s11036-020-01599-z⟩
- Accès au bibtex
-
Conference papers
- titre
- TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design
- auteur
- Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier
- article
- 2023 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), Dec 2023, Tianjin, China. pp.1-6, ⟨10.1109/AsianHOST59942.2023.10409311⟩
- Accès au texte intégral et bibtex
-
- titre
- Work-In-Progress: Could Tensorflow applications benefit from a mixed-criticality approach?
- auteur
- Alan Le Boudec, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Sébastien Levieux, Alexandre Skrzyniarz
- article
- Brief presentation at the 44th IEEE Real-Time Systems Symposium (RTSS), Dec 2023, Taipei, Taiwan
- Accès au bibtex
-
- titre
- Protecting a RISC-V embedded processor against physical and software attacks
- auteur
- Vianney Lapotre, William Pensec, Gogniat Guy
- article
- BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France
- Accès au bibtex
-
- titre
- Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses
- auteur
- William Pensec, Vianney Lapôtre, Guy Gogniat
- article
- SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩
- Accès au bibtex
-
- titre
- GrIOt: Graph-based Modeling of HPC Application I/O Call Stacks for Predictive Prefetch
- auteur
- Louis-Marie Nicolas, Salim Mimouni, Philippe Couvée, Jalil Boukhobza
- article
- SC-W 2023: Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis - 8th International Parallel Data Systems Workshop, Nov 2023, Denver CO USA, France. pp.1195-1201, ⟨10.1145/3624062.3624189⟩
- Accès au bibtex
-
- titre
- Gigue: A JIT Code Binary Generator for Hardware Testing
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- VMIL, Oct 2023, Cascais, Portugal. ⟨10.1145/3623507.3623553⟩
- Accès au texte intégral et bibtex
-
- titre
- Generating Constraint Programs for Variability Model Reasoning: A DSL and Solver Agnostic Approach
- auteur
- Camilo Correa, Jacques Robin, Raùl MAZO
- article
- ACM SIGPLAN International Conference on Generative Programming: Concepts and Experiences, Oct 2023, Lisboa, Portugal. ⟨10.1145/3624007.3624060⟩
- Accès au texte intégral et bibtex
-
- titre
- Training K-means on Embedded Devices: a Deadline-aware and Energy Efficient Design
- auteur
- Hafsa Kara Achira, Camélia Slimani, Jalil Boukhobza
- article
- 31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.89-96
- Accès au texte intégral et bibtex
-
- titre
- Investigating Multi-tier and QoS-aware Caching based on ARC
- auteur
- Lydia Ait-Oucheggou, Stéphane Rubini, Abdella Battou, Jalil Boukhobza
- article
- 31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.161-164
- Accès au texte intégral et bibtex
-
- titre
- Enhancing Surgical Team Collaboration and Situation Awareness through Multimodal Sensing
- auteur
- Arnaud Allemang--Trivalle
- article
- ICMI '23: INTERNATIONAL CONFERENCE ON MULTIMODAL INTERACTION, Oct 2023, Paris, France. pp.716-720, ⟨10.1145/3577190.3614233⟩
- Accès au bibtex
-
- titre
- Towards evolving secured multi-model systems with model federation
- auteur
- Chahrazed Boudjemila, Fabien Dagnat, Salvador Martínez
- article
- MODELS 2023: 26th International Conference on Model-Driven Engineering Languages and Systems - ME 2023: 16th Workshop on Models and Evolution, Oct 2023, Vasteras, Sweden
- Accès au texte intégral et bibtex
-
- titre
- Monitoring Association Constraints in Model-Oriented Programming
- auteur
- Sylvain Guérin, Joël Champeau, Antoine Beugnard, Salvador Martínez
- article
- MODELS-C 2023: ACM/IEEE International Conference on Model Driven Engineering Languages and Systems Companion, Oct 2023, Vasteras, Sweden. ⟨10.1109/MODELS-C59198.2023.00068⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal Breakpoints for Multiverse Debugging
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
- article
- Software Language Engineering 2023, Oct 2023, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Debugging Paxos in the UML Multiverse
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
- article
- MODELS-C/MoDeVVa, Oct 2023, Västerås, Sweden
- Accès au bibtex
-
- titre
- Modular and Distributed Architecture using an Embedded Digital Twin for Assistive Technologies Adaptation
- auteur
- Aurélian Houé, Cédric Seguin, Florent Frizon de Lamotte, Nathalie Julien, Willy Allègre
- article
- 13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023),, Université Savoie Mont Blanc, Sep 2023, Annecy, France
- Accès au texte intégral et bibtex
-
- titre
- Digital Twin Architecture for Ambient Assisted Living
- auteur
- Abbas Ramadan, Florent Frizon de Lamotte, Nathalie Julien
- article
- 13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023), Sep 2023, Annecy (74000), France. pp.124-134, ⟨10.1007/978-3-031-53445-4_11⟩
- Accès au texte intégral et bibtex
-
- titre
- Secured-by-design systems-on-chip: a MBSE Approach
- auteur
- Raphaële Milan, Loïc Lagadec, Théotime Bollengier, Lilian Bossuet, Ciprian Teodorov
- article
- Rapid System Prototyping, Sep 2023, Hambourg, Germany. ⟨10.1145/3625223.3649277⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterizing Intrusion Detection Systems On Heterogeneous Embedded Platforms
- auteur
- Camélia Slimani, Louis Morge-Rollet, Laurent Lemarchand, David Espes, Frédéric Le Roy, Jalil Boukhobza
- article
- 26th Euromicro Conference on Digital System Design (DSD'2023), Sep 2023, Durres, Albania
- Accès au bibtex
-
- titre
- Using Hierarchical Approach to Speed-up RNS Base Extensions in Homomorphic Encryption Context
- auteur
- Morgane Vollmer, Karim Bigou, Arnaud Tisserand
- article
- ARITH: 2023 IEEE 30th International Symposium on Computer Arithmetic, Sep 2023, Portland, Oregon, United States
- Accès au texte intégral et bibtex
-
- titre
- A software engineering perspective on digital twin: many candidates, none elected
- auteur
- Antoine Beugnard
- article
- SWC 2023: IEEE Smart World Congress, IEEE Smart World Congress, Aug 2023, Portsmouth, United Kingdom. ⟨10.1109/SWC57546.2023.10448955⟩
- Accès au texte intégral et bibtex
-
- titre
- A RISC-V Instruction Set Extension for Flexible Hardware/Software Protection of Cryptosystems Masked at High Orders
- auteur
- Fabrice Lozachmeur, Arnaud Tisserand
- article
- 66th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2023) "Reinventing Microelectronics", Aug 2023, Phoenix, AZ, United States
- Accès au texte intégral et bibtex
-
- titre
- Robustness Testing of an Industrial Road Object Detection System
- auteur
- Anne-Laure Wozniak, Ngoc Duong, Ian Benderitter, Sarah Leroy, Sergio Segura, Raul Mazo
- article
- 2023 IEEE International Conference On Artificial Intelligence Testing (AITest), Jul 2023, Athens, Greece. pp.82-89, ⟨10.1109/AITest58265.2023.00022⟩
- Accès au bibtex
-
- titre
- A New Normative Approach to Intrusion Detection in Manufacturing 4.0
- auteur
- Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
- article
- 22nd World Congress of the International Federation of Automatic Control, Jul 2023, Yokohama, Japan
- Accès au bibtex
-
- titre
- TrustSoC : Architecture SoC hétérogène légère et efficace sécurisée par conception
- auteur
- Raphaele Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino
- article
- Conférence francophone d’informatique en Parallélisme, Architecture et Système (COMPAS), LISTIC - Laboratoire d’Informatique, Systèmes, Traitement de l’Information et de la Connaissance, Jul 2023, Annecy, France
- Accès au texte intégral et bibtex
-
- titre
- Modeling of Smart Batteries for the Realization of a Digital Twin Prototype
- auteur
- Fatemeh Taei, Mohammed Adel Hamzaoui, Nathalie Julien
- article
- 9th International Conference on Control, Decision and Information Technologies (CoDIT 2023), Jul 2023, Rome, Italy. pp.2396-2401, ⟨10.1109/CoDIT58514.2023.10284101⟩
- Accès au texte intégral et bibtex
-
- titre
- Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
- auteur
- Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
- article
- 2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
- Accès au texte intégral et bibtex
-
- titre
- Multi-Objective Optimization for an Online Re-Planning of Autonomous Vehicles
- auteur
- Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
- article
- 9th International Workshop on Safety and Security of Intelligent Vehicles (SSIV at DSN'23), IEEE/IFIP, Jun 2023, Porto, Portugal. ⟨10.1109/DSN-W58399.2023.00029⟩
- Accès au texte intégral et bibtex
-
- titre
- Acceleration of contractor algebra on RISCV in the context of mobile robotic
- auteur
- Pierre Filiol, Luc Jaulin, Jean-Christophe Le Lann, Théotime Bollengier
- article
- Summer Workshop on Interval Methods, Jun 2023, Angers, France
- Accès au bibtex
-
- titre
- VUCA and resilience in engineering education - Lessons learned
- auteur
- Siegfried Rouvrais, Ann-Kristin Winkens, Carmen Leicht-Scholten, Haraldur Audunsson, Cecile Gerwel-Proches
- article
- 19th International CDIO Conference, NTNU, Jun 2023, Trondheim, Norway
- Accès au texte intégral et bibtex
-
- titre
- Behavioral Modeling of a Data Center for the Development of a Digital Twin
- auteur
- Marouane El Mernissi, Roland Donat, Mohammed Hamzaoui, Nathalie Julien
- article
- CIGI Qualita MOSIM 2023, Jun 2023, Québec, Canada
- Accès au texte intégral et bibtex
-
- titre
- Aide à la conception de l’architecture d’un jumeau numérique par la modélisation des données
- auteur
- Doha Nefti, Mohammed Adel Hamzaoui, Nathalie Julien, Fayçal Balkaid
- article
- CIGI QUALITA MOSIM 2023 Propulser la performance, Jun 2023, Trois-Rivières, Canada
- Accès au texte intégral et bibtex
-
- titre
- Arithmetic for Crypto in FPGA: HDL or HLS?
- auteur
- Arnaud Tisserand
- article
- WRACH : Workshop on Randomness and Arithmetics for Cryptographic Hardware, Jun 2023, Roscoff, France
- Accès au bibtex
-
- titre
- Modeling heterogeneous IT infrastructures: a collaborative component-oriented approach
- auteur
- Benjamin Somers, Fabien Dagnat, Jean-Christophe Bach
- article
- EMMSAD 2023: 28th International working conference on Evaluation and Modeling Methods for Systems Analysis and Development, BPMDS 2023: 24th International Conference on Business Process Modeling, Development and Support, Jun 2023, Saragosse, Spain. pp.227-242, ⟨10.1007/978-3-031-34241-7_16⟩
- Accès au texte intégral et bibtex
-
- titre
- When in-core DIFT faces fault injection attacks
- auteur
- Vianney Lapotre, William Pensec, Gogniat Guy
- article
- CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain
- Accès au bibtex
-
- titre
- Intelligent Diagnosis of Engine Failure in Air Vehicles Using the ALFA Dataset
- auteur
- Isadora Garcia Ferrão, Leandro da Silva, Sherlon da Silva, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
- article
- International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
- Accès au bibtex
-
- titre
- Online reward adaptation for MDP-based distributed missions
- auteur
- Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
- article
- International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
- Accès au bibtex
-
- titre
- Anomaly-Based Intrusion Detection System for In-Flight and Network Security in UAV Swarm
- auteur
- Leandro da Silva, Isadora Garcia Ferrão, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
- article
- International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
- Accès au bibtex
-
- titre
- Online reward adaptation for MDP-based distributed missions
- auteur
- Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Branco
- article
- 2023 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2023, Warsaw, Poland. pp.1059-1066, ⟨10.1109/icuas57906.2023.10156131⟩
- Accès au bibtex
-
- titre
- Detection of scheduling anomalies in real-time systems
- auteur
- Blandine Djika, Alain Plantec, Frank Singhoff, Georges-Edouard Kouamou
- article
- Journées nationales du GDR GPL 2023, Jun 2023, Rennes, France
- Accès au bibtex
-
- titre
- Multimodal perception for obstacle detection for flying boats - Unmanned Surface Vehicule (USV)
- auteur
- Ronan Douguet, Dominique Heller, Johann Laurent
- article
- IEEE OCEAN, Jun 2023, Limerick, Ireland. ⟨10.1109/OCEANSLimerick52467.2023.10244714⟩
- Accès au texte intégral et bibtex
-
- titre
- Débogage Multivers de Modèles UML
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
- article
- AFADL, Jun 2023, Rennes, France
- Accès au bibtex
-
- titre
- Les filles qui, l codent l créent et équilibre : des dispositifs pour valoriser le numérique et les sciences au féminin du primaire au lycée
- auteur
- Cécile Plaud, Vincent Ribaud
- article
- Forum Recherche et Education #07, Région académique de Bretagne, May 2023, Morlaix, France
- Accès au bibtex
-
- titre
- Human Model For Industrial System And Product Design In Industry 5.0: A Case Study
- auteur
- Arnaud Allemang--Trivalle, Jérémie Donjat, Gaëlic Bechu, Gilles Coppin, Oliver W. Klaproth, Andreas Mitschke, Arnd Schirrmann, Mathieu Chollet, Caroline Gl Cao
- article
- IISE Annual Conference & Expo 2023, May 2023, New Orleans, United States
- Accès au bibtex
-
- titre
- JIT Compiler Security through Low-Cost RISC-V Extension
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- 30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States
- Accès au texte intégral et bibtex
-
- titre
- A Lightweight Intrusion Detection System against IoT Memory Corruption Attacks
- auteur
- Mohamed El Bouazzati, Russell Tessier, Philippe Tanguy, Guy Gogniat
- article
- 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), May 2023, Tallinn, Estonia. pp.118-123, ⟨10.1109/DDECS57882.2023.10139718⟩
- Accès au texte intégral et bibtex
-
- titre
- HeROfake: Heterogeneous Resources Orchestration in a Serverless Cloud – An Application to Deepfake Detection
- auteur
- Vincent Lannurien, Laurent d'Orazio, Olivier Barais, Esther Bernard, Olivier Weppe, Laurent Beaulieu, Amine Kacete, Stéphane Paquelet, Jalil Boukhobza
- article
- CCGrid 2023 - IEEE/ACM 23rd International Symposium on Cluster, Cloud and Internet Computing, May 2023, Bangalore, India. pp.154-165, ⟨10.1109/CCGrid57682.2023.00024⟩
- Accès au texte intégral et bibtex
-
- titre
- Taxonomy of Requirements Specification Templates
- auteur
- Hiba Hnaini, Raúl Mazo, Paola Vallejo, Jose Galindo, Joël Champeau
- article
- SoftEng 23, Apr 2023, Venice, Italy
- Accès au texte intégral et bibtex
-
- titre
- A Lightweight Method to Define Solver-Agnostic Semantics of Domain Specific Languages for Software Product Line Variability Models
- auteur
- Camilo Correa, Raul Mazo, Andres O. Lopez, Jacques Robin
- article
- SOFTENG 2023 - The 9th International Conference on Advances and Trends in Software Engineering, IARIA: International Academy, Research and Industry Association, Apr 2023, Venise, Italy
- Accès au texte intégral et bibtex
-
- titre
- A flexible and robust framework for the secure systems engineering of space missions
- auteur
- Tom Leclerc, Soumya Paul, Jussi Roberts, Fabien Dagnat, Florian Ledoux, Jean-Christophe Bach, Marcus Wallum, Nicky Mezzina, Daniel Fischer, Sylvain Guérin, Ihab Benamer, Pierre Jeanjean
- article
- 17th International Conference on Space Operations 2023, Mar 2023, Dubai, United Arab Emirates
- Accès au bibtex
-
- titre
- Génération de correctifs pour les modèles partiels d'AnimUML
- auteur
- Mickaël Clavreul, Frédéric Jouault, Maxime Méré, Matthias Brun, Théo Le Calvar, Matthias Pasquier, Ciprian Teodorov
- article
- IHM/GL-IHM, 2023, Troyes, France
- Accès au bibtex
-
Book sections
- titre
- Serverless Cloud Computing: State of the Art and Challenges
- auteur
- Vincent Lannurien, Laurent D’orazio, Olivier Barais, Jalil Boukhobza
- article
- Serverless Computing: Principles and Paradigms, 162, Springer International Publishing, pp.275-316, 2023, Lecture Notes on Data Engineering and Communications Technologies, ⟨10.1007/978-3-031-26633-1_11⟩
- Accès au texte intégral et bibtex
-
Habilitation à diriger des recherches
- titre
- Exact and heuristic approaches for Design Space Exploration of software and hardware architectures
- auteur
- L Lemarchand
- article
- Informatique [cs]. Université de Bretagne Occidentale, Brest, FRA., 2023
- Accès au texte intégral et bibtex
-
- titre
- Contributions to the security of embedded systems against logical and physical attacks
- auteur
- Vianney Lapotre
- article
- Architectures Matérielles [cs.AR]. Université Bretagne Sud, 2023
- Accès au texte intégral et bibtex
-
- titre
- G∀min∃: Exploring the Boundary Between Executable Specification Languages and Behavior Analysis Tools
- auteur
- Ciprian Teodorov
- article
- Software Engineering [cs.SE]. Université de Bretagne Occidentale (UBO), Brest, 2023
- Accès au texte intégral et bibtex
-
- titre
- Mapping parallel applications on parallel architectures
- auteur
- Kevin J M Martin
- article
- Hardware Architecture [cs.AR]. Université Bretagne Sud, 2023
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
- auteur
- Hai Nam Tran, Frank Singhoff
- article
- 2023
- Accès au bibtex
-
Poster communications
- titre
- AUV for Search, Detection and Automated Identification
- auteur
- Johann Laurent, Dominique Heller
- article
- Journée AID, Dec 2023, Paris, France. 2023
- Accès au texte intégral et bibtex
-
- titre
- Unveiling the Invisible Threads: Dynamic Information Flow Tracking and the Intriguing World of Fault Injection Attacks
- auteur
- William Pensec, Vianney Lapôtre, Guy Gogniat
- article
- Journée thématique sur les Attaques par Injection de Fautes (JAIF), Sep 2023, Gardanne, France.
- Accès au texte intégral et bibtex
-
- titre
- Optimisation multi-objectif pour une replanification en ligne des trajectoires de véhicules autonomes
- auteur
- Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
- article
- COMPAS, Jul 2023, Annecy, France
- Accès au bibtex
-
- titre
- MoTIe : Monitoring of mobile Things with Intelligent and embedded adaptations for secure services
- auteur
- Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
- article
- Compas 2023: Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2023, Annecy, France
- Accès au texte intégral et bibtex
-
- titre
- When in-core DIFT faces fault injection attacks
- auteur
- William Pensec, Vianney Lapotre, Guy Gogniat
- article
- RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023
- Accès au texte intégral et bibtex
-
- titre
- Energy Efficient Any-Time I/O Adaptive K-means
- auteur
- Hafsa Kara Achira, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
- article
- Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- ADAPTING THE ARC CACHE MANAGEMENT POLICY TO FILE GRANULARITY
- auteur
- Hocine Mahni, Stéphane Rubini, Jalil Boukhobza, Sebastien Gougeaud, Philippe Deniel
- article
- 7th Workshop on Performance and Scalability of Storage Systems (Per3S), May 2023, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Distributed Function Cache for Heterogeneous Serverless Cloud
- auteur
- Vincent Lannurien, Laurent D’orazio, Olivier Barais, Stephane Paquelet, Jalil Boukhobza
- article
- Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France. pp.1-1, 2023
- Accès au texte intégral et bibtex
-
- titre
- PIGMMES: Partial Incremental Gaussian Mixture Model with Efficient Storage
- auteur
- Meriem Bouzouad, Yasmine Benhamadi, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
- article
- Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Toward a CAD Tool for SYCL programming
- auteur
- Erwan Fabiani, Loic Lagadec, Alexandre Skrzyniarz, Chiara Relevat, Erell Cottour, Paul Allaire
- article
- IWOCL '23: International Workshop on OpenCL, Apr 2023, Cambridge, United Kingdom. ACM, pp.1-2, ⟨10.1145/3585341.3585358⟩
- Accès au bibtex
-
Theses
- titre
- FML : a model federation language for semantic interoperability of heterogeneous information sources
- auteur
- Sylvain Guérin
- article
- Génie logiciel [cs.SE]. ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne, 2023. Français. ⟨NNT : 2023ENTA0009⟩
- Accès au texte intégral et bibtex
-
2022
Journal articles
- titre
- Run-time remapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs
- auteur
- Mostafa Rizk, Kevin J. M. Martin, Jean-Philippe Diguet
- article
- IEEE Transactions on Parallel and Distributed Systems, 2022, 33 (12), ⟨10.1109/TPDS.2022.3177957⟩
- Accès au texte intégral et bibtex
-
- titre
- Specification of schedulability assumptions to leverage multiprocessor Analysis
- auteur
- Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, Alain Plantec, Hai Nam Tran, Pierre Dissaux
- article
- Journal of Systems Architecture, 2022, 133, pp.102761. ⟨10.1016/j.sysarc.2022.102761⟩
- Accès au bibtex
-
- titre
- Modèle multi-agent d’auto-organisation pour le butinage au sein d’une colonie d’abeilles
- auteur
- Jérémy Rivière, Thomas Alves, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac’h, Frank Singhoff, Vincent Rodin
- article
- Revue Ouverte d'Intelligence Artificielle, 2022, 3 (5-6), pp.423 - 450. ⟨10.5802/roia.38⟩
- Accès au texte intégral et bibtex
-
- titre
- An efficient and flexible stochastic CGRA mapping approach
- auteur
- Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2022, 22 (1), Article No.: 8, pp 1-24. ⟨10.1145/3550071⟩
- Accès au texte intégral et bibtex
-
- titre
- Near-Optimal Covering Solution for USV Coastal Monitoring using PAES
- auteur
- Hand Ouelmokhtar, Yahia Benmoussa, Jean-Philippe Diguet, Djamel Benazzouz, Laurent Lemarchand
- article
- Journal of Intelligent and Robotic Systems, 2022, 106 (1), pp.24. ⟨10.1007/s10846-022-01717-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a Multimodal Oculometric Sensor Contact Lens
- auteur
- Jean-Louis de Bougrenet de la Tocnaye, Vincent Nourrit, Cyril Lahuec
- article
- Sensors, 2022, 22 (18), pp.6731. ⟨10.3390/s22186731⟩
- Accès au texte intégral et bibtex
-
- titre
- Security and Safety Concerns in Air Taxis: A Systematic Literature Review
- auteur
- Isadora Garcia Ferrão, David Espes, Catherine Dezan, Kalinka Regina Lucas Jaquie Castelo Branco
- article
- Sensors, 2022, 22 (18), pp.6875. ⟨10.3390/s22186875⟩
- Accès au texte intégral et bibtex
-
- titre
- “Snapshot” of the State of Software Reuse in Colombia
- auteur
- Luisa-Fernanda Restrepo-Gutiérrez, Elizabeth Suescún-Monsalve, Raúl Mazo, Paola-Andrea Vallejo-Correa, Daniel Correa
- article
- Revista Científica de la Universidad Distrital., 2022, 44 (2), pp.242-256. ⟨10.14483/23448350.18389⟩
- Accès au bibtex
-
- titre
- The Impact of Cache and Dynamic Memory Management in Static Dataflow Applications
- auteur
- Alemeh Ghasemi, Marcelo Ruaro, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
- article
- Journal of Signal Processing Systems, 2022, 94 (7), pp.721-738. ⟨10.1007/s11265-021-01730-7⟩
- Accès au texte intégral et bibtex
-
- titre
- SLRL: A Simple Least Remaining Lifetime File Eviction policy for HPC multi-tier storage systems
- auteur
- Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
- article
- Operating Systems Review, 2022, 56 (1), pp.70-76. ⟨10.1145/3544497.3544509⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-based USV maritime monitoring using multi-objective evolutionary algorithms
- auteur
- Hand Ouelmokhtar, Yahia Benmoussa, Djamel Benazzouz, Mohamed Abdessamed Ait-Chikh, Laurent Lemarchand
- article
- Ocean Engineering, 2022, 253, pp.111182. ⟨10.1016/j.oceaneng.2022.111182⟩
- Accès au bibtex
-
- titre
- Finding the polygon hull of a network without conditions on the starting vertex
- auteur
- Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Reinhardt Euler
- article
- Transactions on emerging telecommunications technologies, 2022, 33 (3), pp.e3696. ⟨10.1002/ett.3696⟩
- Accès au texte intégral et bibtex
-
- titre
- A domain-specific language for the specification of UCON policies
- auteur
- Antonia Reina Quintero, Salvador Martínez, Ángel Jesús Varela-Vaca, María Teresa Gómez López, Jordi Cabot
- article
- Journal of information security and applications, 2022, 64, pp.103006. ⟨10.1016/j.jisa.2021.103006⟩
- Accès au bibtex
-
- titre
- The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection
- auteur
- Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy
- article
- Annals of Telecommunications - annales des télécommunications, 2022, 77, pp.731-747. ⟨10.1007/s12243-021-00906-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Multipurpose Bio-Monitored Integrated Circuit in a Contact Lens Eye-Tracker
- auteur
- Loïc Massin, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, Jean-Louis de Bougrenet de La Tocnaye
- article
- Sensors, 2022, 22 (2), pp.595. ⟨10.3390/s22020595⟩
- Accès au bibtex
-
- titre
- Energy Efficient Hardware Loop Based Optimization for CGRAs
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- Journal of Signal Processing Systems, In press, ⟨10.1007/s11265-022-01760-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Cybersecurity for Industrial Internet of Things: Architecture, Models and Lessons Learned
- auteur
- George Bravos, Antonio J Cabrera, Camilo Correa, Dragan Danilovic, Nikolaos Evangeliou, Gilad Ezov, Zoran Gajica, Dusan Jakovetic, Leonidas Kallipolitis, Milan Lukic, Julien Mascolo, Davide Masera, Ivan Mezei, Andreas Miaoudakis, Nemanja Milosevic, William Oliff, Jacques Robin, Michail Smyrlis, Georgia Sakellari, Giorgos Stamatis, Dusan Stamenkovic, Srdan Skrbic, Carine Souveyet, Spyridon Vantolas, Giorgos Vasiliadis, Dejan Vukobratovic, Raul Mazo
- article
- IEEE Access, 2022, 10, pp.124747-124765. ⟨10.1109/ACCESS.2022.3225074⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-Level Modeling with Openflexo/FML
- auteur
- Sylvain Guérin, Joël Champeau, Jean-Christophe Bach, Antoine Beugnard, Fabien Dagnat, Salvador Martínez
- article
- Enterprise Modelling and Information Systems Architectures, 2022, 17, ⟨10.18417/emisa.17.9⟩
- Accès au bibtex
-
Conference papers
- titre
- FaST: An Efficient Framework For Visualizing Large-Scale Time Series
- auteur
- Manele Ait Habouche, Mickaël Kerboeuf, Goulven Guillou, Jean-Philippe Babau
- article
- 2022 IEEE International Conference on Big Data (Big Data), Dec 2022, Osaka, Japan. pp.3745-3754, ⟨10.1109/BigData55660.2022.10020317⟩
- Accès au bibtex
-
- titre
- The Lannion report on Big Data and Security Monitoring Research
- auteur
- Laurent d'Orazio, Jalil Boukhobza, Omer Rana, Juba Agoun, Le Gruenwald, Hervé Rannou, Elisa Bertino, Mohand-Saïd Hacid, Taofik Saïdi, Georges Bossert, VanLong Nguyen Huu, Dimitri Tombroff, Makoto Onizuka
- article
- Workshop on Big Data for CyberSecurity (BigCyber-2022), Dec 2022, Osaka, Japan. pp.2960-2969, ⟨10.1109/BigData55660.2022.10020852⟩
- Accès au texte intégral et bibtex
-
- titre
- How IT Infrastructures Break: Better Modeling for Better Risk Management
- auteur
- Benjamin Somers, Fabien Dagnat, Jean-Christophe Bach
- article
- CRiSIS 2022: 17th International Conference on Risks and Security of Internet and Systems, Dec 2022, Sousse, Tunisia. pp.169-184, ⟨10.1007/978-3-031-31108-6_13⟩
- Accès au texte intégral et bibtex
-
- titre
- Security Analysis: From model to system analysis
- auteur
- Drouot Bastien, Valery Monthe, Sylvain Guérin, Joël Champeau
- article
- CRiSiS 2022: 17th International Conference on Risks and Security of Internet and Systems, Dec 2022, Sousse, Tunisia. pp.133-152, ⟨10.1007/978-3-031-31108-6_11⟩
- Accès au texte intégral et bibtex
-
- titre
- Design Space Exploration for TSP systems on multicore platforms under schedulability, security and safety constraints
- auteur
- Laurent Lemarchand, Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran
- article
- GT Optimisation des Systèmes Intégrés (OSI) - GDR SOC2 & RO, Dec 2022, Paris, France
- Accès au bibtex
-
- titre
- Déploiement dirigé par les modèles de jumeaux numériques dans les environnements intelligents
- auteur
- Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
- article
- HUT LaConf 2022 - L'interdisciplinarité au service des environnements intelligents, Nov 2022, Montpellier, France
- Accès au texte intégral et bibtex
-
- titre
- Token-based Authentication and Access Delegation for HW-Accelerated telco Cloud Solution
- auteur
- Semih Ince, David Espes, Gogniat Guy, Renaud Santoro, Julien Lallet
- article
- IEEE 11th International Conference on Cloud Networking (CloudNet), Nov 2022, Paris, France
- Accès au bibtex
-
- titre
- Sensitive stretchable textile transducer based on Lycra1880/PEGDA/PEDOT:PSS
- auteur
- Hankai Wu, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Alexandre Khaldi
- article
- 2022 IEEE Sensors, Oct 2022, Dallas (Texas), United States. pp.1-4, ⟨10.1109/SENSORS52175.2022.9967155⟩
- Accès au bibtex
-
- titre
- An analysis of software design understanding & motivation of engineering students
- auteur
- Jean-Christophe Bach, Antoine Beugnard, Jean-Loup Castaigne, Julien Mallet, Salvador Martínez, Maria-Teresa Segarra
- article
- MODELS 2022 Educators Symposium, Oct 2022, Montreal, Canada
- Accès au texte intégral et bibtex
-
- titre
- Practical multiverse debugging through user-defined reductions
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
- article
- MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal Quebec Canada, Canada. pp.87-97, ⟨10.1145/3550355.3552447⟩
- Accès au bibtex
-
- titre
- From OCL-based model static analysis to quick fixes
- auteur
- Frédéric Jouault, Maxime Méré, Matthias Brun, Théo Le Calvar, Matthias Pasquier, Ciprian Teodorov
- article
- 21st International Workshop on OCL and Textual Modeling / MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal (Canada), Canada. pp.889-893, ⟨10.1145/3550356.3561562⟩
- Accès au bibtex
-
- titre
- Porting a JIT Compiler to RISC-V: Challenges and Opportunities
- auteur
- Quentin Ducasse, Guillermo Polito, Pablo Tesone, Pascal Cotret, Loïc Lagadec
- article
- Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium
- Accès au texte intégral et bibtex
-
- titre
- A software engineering point of view on digital twin architecture
- auteur
- Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
- article
- ETFA 2022 - IEEE 27th International Conference on Emerging Technologies and Factory Automation, Sep 2022, Stuttgart, Germany. ⟨10.1109/ETFA52439.2022.9921617⟩
- Accès au texte intégral et bibtex
-
- titre
- Stratégie de détection des Falsifications des Positions des Messages AIS Basée sur l'Application du Filtre IMM
- auteur
- Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
- article
- Gretsi'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Émulation de Systèmes Cyber-Physiques sur FPGA
- auteur
- Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
- article
- GRETSI'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.481-484
- Accès au texte intégral et bibtex
-
- titre
- Observing the Impact of Multicore Execution Platform for TSP Systems Under Schedulability, Security and Safety Constraints
- auteur
- Ill-Ham Atchadam, L Lemarchand, Frank Singhoff, Hai Nam Tran
- article
- DECSOS, Sep 2022, Munich, Germany. pp.83--96, ⟨10.1007/978-3-031-14862-0_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Using Cognitive Work Analysis to deploy collaborative digital twins : application to predictive maintenance
- auteur
- Naomi Kamoise, Clément Guérin, Mohammed Hamzaoui, Nathalie Julien
- article
- European Safety and Reliability Conference, Aug 2022, Dublin, Ireland. ⟨10.3850/978-981-18-5183-4_S10-10-646-cd⟩
- Accès au texte intégral et bibtex
-
- titre
- Data Extraction and Deep Learning Method for Predictive Maintenance in Vessel’s Engine Room
- auteur
- Cédric Seguin, Yohann Rioual, Jean-Philippe Diguet, Guy Gogniat
- article
- 32nd European Safety and Reliability Conference (ESREL 2022)., Aug 2022, Dublin, Ireland. pp.1983-1990
- Accès au texte intégral et bibtex
-
- titre
- Typology as a Deployment Tool for Digital Twins: Application to Maintenance in Industry
- auteur
- Alexandre Blanchet, Nathalie Julien, Mohammed Adel Hamzaoui
- article
- 32nd European Safety and Reliability Conference (ESREL 2022), Aug 2022, Dublin, Ireland
- Accès au texte intégral et bibtex
-
- titre
- A new interval arithmetic to generate the complementary of contractors
- auteur
- Pierre Filiol, Théotime Bollengier, Luc Jaulin, Jean-Christophe Le Lann
- article
- Summer Workshop on Interval Methods, Jul 2022, Hannover, Germany
- Accès au texte intégral et bibtex
-
- titre
- HLS-based Accelerated Simulation of Large Scale Cyber-Physical Systems on FPGAs
- auteur
- Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
- article
- IEEE International NEWCAS Conference, Jul 2022, Montreal, Canada. ⟨10.1109/NEWCAS52662.2022.9842250⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-Aware HEVC Software Decoding On Mobile Heterogeneous Multi-Cores Architectures
- auteur
- Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
- article
- PARMA-DITAM, Jun 2022, Budapest, Hungary. ⟨10.4230/OASIcs.PARMA-DITAM.2022.4⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Level Synthesis for Hardware Implementation of Cryptography: Experience Feedback
- auteur
- Arnaud Tisserand
- article
- Journées nationales du GDR Sécurité Informatique, Jun 2022, Paris, France
- Accès au bibtex
-
- titre
- ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling
- auteur
- Marcelo Ruaro, Kevin J. M. Martin
- article
- DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Jun 2022, Budapest, Hungary. pp.39-46, ⟨10.1145/3522784.3522791⟩
- Accès au texte intégral et bibtex
-
- titre
- Smart Anomaly Detection and Monitoring of Industry 4.0 by Drones
- auteur
- William Pensec, David Espes, Catherine Dezan
- article
- 2022 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2022, Dubrovnik, France. pp.705-713, ⟨10.1109/ICUAS54217.2022.9836057⟩
- Accès au bibtex
-
- titre
- Ultra-low Power Computing with CGRAs an architecture, compilation, and application triptych
- auteur
- Kevin J M Martin, Philippe Coussy
- article
- Workshop on Reconfigurable Computing (WRC), Jun 2022, Budapest, France
- Accès au bibtex
-
- titre
- Emerged and emerging NVM: technologies & challenges
- auteur
- Jalil Boukhobza
- article
- SUPSEC - le deuxième atelier sur la supervision de sécurité 2022, Jun 2022, Lannion, France
- Accès au bibtex
-
- titre
- If you please, draw me a resilient curriculum!
- auteur
- Siegfried Rouvrais, Inggriani Liem, Haraldur Audunsson, Cecile Gerwel Proches
- article
- CDIO 2022: 18th CDIO International Conference, Jun 2022, Reykjavik, Iceland
- Accès au texte intégral et bibtex
-
- titre
- Does a master's program in engineering require a final project?
- auteur
- Haraldur Audunsson, Siegfried Rouvrais, Ralph Rudd, Ragnar Kristjánsson, Olivier Matthieu Moschetta
- article
- CDIO 2022: 18th CDIO International Conference, Jun 2022, Reykjavik, Iceland
- Accès au texte intégral et bibtex
-
- titre
- Using the architecture of Socio-Technical System to analyse its vulnerability
- auteur
- Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
- article
- SOSE 2022: 17th Annual System of Systems Engineering Conference, Jun 2022, Rochester, United States. pp.361-366, ⟨10.1109/SOSE55472.2022.9812648⟩
- Accès au bibtex
-
- titre
- Towards Access Control Models for Conversational User Interfaces
- auteur
- Elena Planas, Salvador Martínez, Marco Brambilla, Jordi Cabot
- article
- EMMSAD 2022, Jun 2022, Leuven, Belgium. pp.310-317, ⟨10.1007/978-3-031-07475-2_21⟩
- Accès au texte intégral et bibtex
-
- titre
- Memory hierarchy in scheduling simulation: problems, implementation & return of experience
- auteur
- Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
- article
- Workshop CAPITAL 2022: sCalable And PrecIse Timing AnaLysis for multicore platforms, Jun 2022, Grenoble, France
- Accès au bibtex
-
- titre
- ROS communications profiling for bus load analysis from AADL
- auteur
- Eric Senn
- article
- 11th European Congress on Embedded Real Time Systems 2022, 3AF SEE, Jun 2022, Toulouse, France
- Accès au bibtex
-
- titre
- La dimension interpersonnelle en situations complexes et incertaines
- auteur
- Siegfried Rouvrais, Gilles Jacovetti, Roger Waldeck
- article
- 32ème Congrès de l’Association Internationale de Pédagogie Universitaire (AIPU), May 2022, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Twenty Years of Automated Methods for Mapping Applications on CGRA
- auteur
- Kevin J M Martin
- article
- 2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), May 2022, Lyon, France. ⟨10.1109/IPDPSW55747.2022.00118⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- Workshop CryptArchi 2022, May 2022, Porquerolles, France
- Accès au bibtex
-
- titre
- A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-Tracker
- auteur
- Loïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
- article
- IEEE International Symposium on Circuits and Systems (ISCAS 2022), May 2022, Austin, TX, United States. pp.1140-1144, ⟨10.1109/ISCAS48785.2022.9937413⟩
- Accès au texte intégral et bibtex
-
- titre
- When IoT Data Meets Streaming in the Fog
- auteur
- Lydia Ait-Oucheggou, Mohammed Islam Naas, Yassine Hadjadj-Aoul, Jalil Boukhobza
- article
- ICFEC 2022 - IEEE 6th International Conference on Fog and Edge Computing (ICFEC), May 2022, Messina, Italy. pp.50-57, ⟨10.1109/ICFEC54809.2022.00014⟩
- Accès au bibtex
-
- titre
- An Extended Modeling Approach for Marine/Deep-Sea Observatory
- auteur
- Charbel Geryes Aoun, Loïc Lagadec, Mohammad Habes
- article
- The 8th International Conference on Advanced Machine Learning and Technologies and Applications (AMLTA2022), May 2022, The Caire, Egypt. pp.502-514, ⟨10.1007/978-3-031-03918-8_42⟩
- Accès au bibtex
-
- titre
- Robustness Testing of a Machine Learning-based Road Object Detection System: An Industrial Case
- auteur
- Anne-Laure Wozniak, Sergio Segura, Raúl Mazo, Sarah Leroy
- article
- 1st International Workshop on Software Engineering for Responsible Artificial Intelligence (SE4RAI), May 2022, Pittsburgh (virtual), United States. ⟨10.1145/3526073.3527592⟩
- Accès au texte intégral et bibtex
-
- titre
- Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks
- auteur
- Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand
- article
- DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- SLRL: a simple least remaining lifetime file evicition policy for HPC multi-tier storage systems
- auteur
- Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
- article
- CHEOPS Workshop on Challenges and Oportunities of Efficient and Performant Storage Systems at EuroSys 2022 - Seventeenth European Conference on Computer Systems, Apr 2022, Rennes, France. pp.33-39, ⟨10.1145/3503646.3524297⟩
- Accès au bibtex
-
- titre
- Long Short Term Memory-based anomaly detection applied to an industrial dosing pump
- auteur
- Anthony Fombonne de Galatheau, Alexandru Liviu Olteanu, Nathalie Julien, Steven Le Garrec
- article
- 14th IFAC Workshop on Intelligent Manufacturing Systems, Mar 2022, Tel-Aviv, Israel. pp.240-245, ⟨10.1016/j.ifacol.2022.04.200⟩
- Accès au bibtex
-
- titre
- HoS-ML: Socio-Technical System ADL Dedicated to Human Vulnerability Identification
- auteur
- Paul Perrotin, Nicolas Belloir, Salah Sadou, David Hairion, Antoine Beugnard
- article
- ICECCS 2022: 26th International Conference on Engineering of Complex Computer Systems, Mar 2022, Hiroshima, Japan. pp.11-16, ⟨10.1109/ICECCS54210.2022.00010⟩
- Accès au texte intégral et bibtex
-
- titre
- Dolmen: FPGA Swarm for Safety and Liveness Verification
- auteur
- Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2022, Antwerp, Belgium. pp.1425-1430, ⟨10.23919/DATE54114.2022.9774528⟩
- Accès au bibtex
-
- titre
- Mitigating Transceiver and Token Controller Permanent Faults in Wireless Network-on-Chip
- auteur
- Navonil Chatterjee, Marcelo Ruaro, Kevin Martin, Jean-Philippe Diguet
- article
- Euromicro International Conference on Parallel, Distributed and Network-based Processing, Mar 2022, Valladolid, Spain
- Accès au texte intégral et bibtex
-
- titre
- Real time heading sensors fusion and fault detection
- auteur
- Pia Mathias, Laurent D. Johann, Pierre Bomel, Hugo Kerhascoet
- article
- Chesapeake Sailing Yacht Symposium, Mar 2022, Annapolis, United States
- Accès au texte intégral et bibtex
-
- titre
- Time Matters in Collective Decision Making
- auteur
- Siegfried Rouvrais, Roger Waldeck, Gilles Jacovetti
- article
- RocheBrune 2022 workshop, Jan 2022, Rochebrune, France
- Accès au bibtex
-
- titre
- PLATO N-DPU on-board software: an ideal candidate for multicore scheduling analysis
- auteur
- Philippe Plasson, Gabriel Brusq, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Pierre Dissaux
- article
- 11th European Congress ERTSS Embedded Real Time Software and System, 2022, Toulouse, France
- Accès au bibtex
-
Book sections
- titre
- Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud
- auteur
- Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy
- article
- Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Contribution à la conception d’accélérateurs matériels pour systèmes autonomes intelligents
- auteur
- Catherine Dezan
- article
- Informatique. MATHSTIC UBO, 2022
- Accès au texte intégral et bibtex
-
Special issue
- titre
- Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications: Part 2
- auteur
- Yuan-Hao Chang, Jalil Boukhobza, Song Han
- article
- ACM Transactions on Embedded Computing Systems (TECS), 21 (3), pp.1-2, 2022, ⟨10.1145/3531707⟩
- Accès au bibtex
-
- titre
- Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications
- auteur
- Yuan-Hao Chang, Jalil Boukhobza, Song Han
- article
- ACM Transactions on Embedded Computing Systems (TECS), 21 (1), pp.1-4, 2022, ⟨10.1145/3505283⟩
- Accès au bibtex
-
Lectures
- titre
- On-chip memories at the edge
- auteur
- Kevin J. M. Martin
- article
- Doctoral. France. 2022
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
- auteur
- Hai Nam Tran, Frank Singhoff
- article
- 2022
- Accès au bibtex
-
- titre
- High-performance language virtual machines: an analysis and challenges
- auteur
- Stéphane Ducasse, Guillermo Polito, Pablo Tesone, Gaël Thomas, Loïc Lagadec
- article
- 2022
- Accès au texte intégral et bibtex
-
Patents
- titre
- Procédé de configuration d'un circuit logique programmable, circuit logique programmable et dispositif pour implémenter le procédé
- auteur
- Loïc Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Théotime Bollengier
- article
- France, N° de brevet: FR3115134. 2022
- Accès au bibtex
-
Poster communications
- titre
- Protection of a processor with DIFT against physical attacks
- auteur
- William Pensec, Vianney Lapotre, Guy Gogniat
- article
- International Winter School on Microarchitectural Security, Dec 2022, Paris, France. 2022
- Accès au texte intégral et bibtex
-
- titre
- A Software Engineering Approach to Digital Twin Architecture : The HUman at home projecT and Twin Cooperation of roBot and human project case studies
- auteur
- Gaëlic Bechu, Antoine Beugnard, Caroline Gl Cao, Quentin Perez, Christelle Urtado, Sylvain Vauttier
- article
- ETFA 2022 - IEEE 27th International Conference on Emerging Technologies and Factory Automation, Sep 2022, Stuttgart, Germany. , 2022
- Accès au texte intégral et bibtex
-
- titre
- Increasing the autonomy of an underwater ROV
- auteur
- Marko Vukšić, Tonko Kovacevic, Barbara Džaja, Predrag Đukić, Slaven Šitić, Hai Nam Tran, Vincent Rodin, Laurent Lemarchand, Valérie-Anne Nicolas, Alain Plantec, Stéphane Rubini, Frank Singhoff
- article
- Sea Tech Week, Sep 2022, Brest, France
- Accès au texte intégral et bibtex
-
- titre
- Smart Home Model Verification with AnimUML
- auteur
- Frédéric Jouault, Ciprian Teodorov, Matthias Brun
- article
- STAF/MeSS, Jul 2022, Nantes, France
- Accès au bibtex
-
- titre
- Work-in-progress: Ontology-driven Generation of AADL architecture Models
- auteur
- Perig Dissaux, Catherine Dezan, Frank Singhoff, Jérome Legrand, Pierre Dissaux
- article
- GDR Soc2, Jun 2022, Strasbourg, France
- Accès au bibtex
-
- titre
- Model-driven deployment of Digital Twins for Smart Environments - The HUman at home projecT case study
- auteur
- Alireza Asvadi, Gaëlic Bechu, Antoine Beugnard, Caroline G. L. Cao, Christophe Lohr, Panagiotis Papadakis, Quentin Perez, Christelle Urtado, Sylvain Vauttier
- article
- Journées nationales du GDR GPL 2022, Jun 2022, Vannes, France. , 13, 2022, Actes des journées du GDR GPL 2022
- Accès au texte intégral et bibtex
-
- titre
- A design space exploration approach to jointly optimize security and schedulability in TSP systems
- auteur
- Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Laurent Lemarchand
- article
- Colloque du GDR SOC2, Jun 2022, Strasbourg, France
- Accès au bibtex
-
- titre
- Multi-objective optimization at the EDge for Online and Real-time self-Adaptation of Autonomous vehicles
- auteur
- Evan Flecheau, Laurent Lemarchand, Catherine Dezan
- article
- Colloque du GDR SOC2, Jun 2022, Strasbourg, France
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- RISC-V Spring Week 2022, May 2022, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Real-Time QCSP Communication System Prototyping
- auteur
- Camille Monière, Bertrand Le Gal, Emmanuel Boutillon
- article
- Colloque GDR SoC², 2022, Strasbourg, France.
- Accès au texte intégral et bibtex
-
Proceedings
- titre
- IWST 2022: International Workshop on Smalltalk Technologies 2022
- auteur
- Loïc Lagadec, Vincent Aranega
- article
- 3325, 2022
- Accès au bibtex
-
Reports
- titre
- Remarkable Challenges of High-Performance Language Virtual Machines
- auteur
- Guillermo Polito, Stéphane Ducasse, Pablo Tesone, Luc Fabresse, G Thomas, M Bacou, Loïc Lagadec, Pascal Cotret
- article
- [Research Report] Inria Lille - Nord Europe. 2022
- Accès au texte intégral et bibtex
-
- titre
- RISCLESS: A Reinforcement Learning Strategy to Exploit Unused Cloud Resources
- auteur
- Sidahmed Yalles, Mohamed Handaoui, Jean-Emile Dartois, Olivier Barais, Laurent d'Orazio, Jalil Boukhobza
- article
- [Research Report] ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne. 2022, pp.1-9
- Accès au texte intégral et bibtex
-
- titre
- Euro-Asian Collaboration for Enhancing STEM Education
- auteur
- Siegfried Rouvrais, Gilles Jacovetti, Charlotte Langlais, Philippe Lenca, Doan Van Dien, Duong Van Hieu, Bui Van Hong, Le Tan Cuong, Tran The Van, Arlinta Barus, Inggriani Liem, Agus Muliantara, I Made Supartha Utama, Irwan Endrayanto, Muhammad Zuhri, Catur Candra, Somkid Amornsamankul, Tipaluck Krityakierne, Wasakorn Laesanklang, Jarutas Andritsch, Sirilak Bangchokdee, Yupawadee Somboonkul, Tanate Panrat, Panuwan Chantawannakul, Nuttee Suree, Vladimiras Dolgopolova, Miriam Tardell, Emilija Zilinskaite
- article
- [Research Report] IMT ATLANTIQUE; Lab-STICC. 2022
- Accès au texte intégral et bibtex
-
2021
Journal articles
- titre
- Reuse in component-based prototyping: an industrial experience report from 15 years of reuse
- auteur
- Pierre Laborde, Steven Costiou, Éric Le Pors, Alain Plantec
- article
- Innovations in Systems and Software Engineering, In press
- Accès au texte intégral et bibtex
-
- titre
- Unified verification and monitoring of executable UML specifications. A transformation-free approach
- auteur
- Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
- article
- Software and Systems Modeling, 2021, ⟨10.1007/s10270-021-00923-9⟩
- Accès au bibtex
-
- titre
- PAMELA: an annotation-based Java Modeling Framework
- auteur
- Sylvain Guérin, Guillaume Polet, Caine Silva, Joel Champeau, Jean-Christophe Bach, Salvador Martínez, Fabien Dagnat, Antoine Beugnard
- article
- Science of Computer Programming, 2021, 210, pp.102668. ⟨10.1016/j.scico.2021.102668⟩
- Accès au texte intégral et bibtex
-
- titre
- Lattice-based Cryptosystems on FPGA: Parallelization and Comparison using HLS
- auteur
- Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- IEEE Transactions on Computers, 2021, ⟨10.1109/TC.2021.3112052⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi-objective Optimization of Data Placement in a Storage-as-a-Service Federated Cloud
- auteur
- Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
- article
- Transactions on Storage, 2021, 17 (3), pp.1-32. ⟨10.1145/3452741⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient model similarity estimation with robust hashing
- auteur
- Salvador Martínez, Sébastien Gérard, Jordi Cabot
- article
- Software and Systems Modeling, 2021, ⟨10.1007/s10270-021-00915-9⟩
- Accès au bibtex
-
- titre
- EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
- auteur
- Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
- article
- Operating Systems Review, 2021, 55 (1), pp.88-98. ⟨10.1145/3469379.3469391⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigating Machine Learning Algorithms for Modeling SSD I/O Performance for Container-based Virtualization
- auteur
- Jean-Emile Dartois, Jalil Boukhobza, Anas Knefati, Olivier Barais
- article
- IEEE Transactions on Cloud Computing, 2021, 9 (3), pp.1103-1116. ⟨10.1109/TCC.2019.2898192⟩
- Accès au texte intégral et bibtex
-
- titre
- HEVC hardware vs software decoding: An objective energy consumption analysis and comparison
- auteur
- Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
- article
- Journal of Systems Architecture, 2021, 115, pp.102004. ⟨10.1016/j.sysarc.2021.102004⟩
- Accès au texte intégral et bibtex
-
- titre
- Feasibility interval and sustainable scheduling simulation with CRPD on uniprocessor platform
- auteur
- Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
- article
- Journal of Systems Architecture, 2021, 115, pp.102007. ⟨10.1016/j.sysarc.2021.102007⟩
- Accès au texte intégral et bibtex
-
- titre
- DQN as an alternative to Market-based approaches for Multi-Robot processing Task Allocation (MRpTA)
- auteur
- Paul Gautier, Johann Laurent, Jean-Philippe Diguet
- article
- International Journal of Robotic Computing, In press, 3 (1)
- Accès au texte intégral et bibtex
-
- titre
- Attacks toward Wireless Network-on-Chip and Countermeasures
- auteur
- Arnab Kumar Biswas, Navonil Chatterjee, Hemanta Kumar Mondal, Guy Gogniat, Jean-Philippe Diguet
- article
- IEEE Transactions on Emerging Topics in Computing, 2021, 9 (2), ⟨10.1109/TETC.2020.2973427⟩
- Accès au texte intégral et bibtex
-
- titre
- ECTM: A network-on-chip communication model to combine task and message schedulability analysis
- auteur
- Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
- article
- Journal of Systems Architecture, 2021, 114, pp.101931. ⟨10.1016/j.sysarc.2020.101931⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedded Computation Architectures for Autonomy in Unmanned Aircraft Systems (UAS)
- auteur
- Luis Mejias, Jean-Philippe Diguet, Catherine Dezan, Duncan Campbell, Jonathan Kok, Gilles Coppin
- article
- Sensors, 2021, 21 (4), pp.1115. ⟨10.3390/s21041115⟩
- Accès au texte intégral et bibtex
-
- titre
- Guidelines for the Specification of IoT Requirements: A Smart Cars Case
- auteur
- Asmaa Achtaich, Camille Salinesi, Nissrine Souissi, Ounsa Roudies, Raul Mazo
- article
- IoT Protocols and Applications for Improving Industry, Environment, and Society, 2021
- Accès au texte intégral et bibtex
-
- titre
- Floating Point CGRA based Ultra-Low Power DSP Accelerator
- auteur
- Rohit Prasad, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01630-2⟩
- Accès au bibtex
-
- titre
- Smart Contact Lens Applied to Gaze Tracking
- auteur
- Loic Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
- article
- IEEE Sensors Journal, 2021, 21 (1), pp.455-463. ⟨10.1109/JSEN.2020.3012710⟩
- Accès au bibtex
-
- titre
- IoT Data Replication and Consistency Management in Fog computing
- auteur
- Mohammed Islam Naas, Laurent Lemarchand, Philippe Raipin, Jalil Boukhobza
- article
- Journal of Grid Computing, 2021, 19 (3), pp.33. ⟨10.1007/s10723-021-09571-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
- auteur
- Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
- article
- Operating Systems Review, 2021, 55 (1), pp.99-107. ⟨10.1145/3469379.3469392⟩
- Accès au texte intégral et bibtex
-
- titre
- Subutai: Speeding Up Legacy Parallel Applications Through Data Synchronization
- auteur
- Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Jarbas Silveira, Gustavo Sanchez, Johanna Sepulveda, Cesar Marcon, Jean-Phillipe Diguet
- article
- IEEE Transactions on Parallel and Distributed Systems, 2021, 32 (5), pp.1102-1116. ⟨10.1109/TPDS.2020.3040066⟩
- Accès au texte intégral et bibtex
-
- titre
- State-Constraint Transition: A Language for the Formal Specification of Dynamic Cyber-System Requirements
- auteur
- Camille Salinesi, Asmaa Achtaich, Nissrine Souissi, Raul Mazo, Ounsa Roudies, Angela Villota
- article
- Journal of Integrated Design and Process Science, 2021, 25 (2), pp.80-99. ⟨10.3233/JID210027⟩
- Accès au bibtex
-
Conference papers
- titre
- OAuth 2.0-based authentication solution for FPGA-enabled cloud computing
- auteur
- Semih Ince, David Espes, Guy Gogniat, Julien Lallet, Renaud Santoro
- article
- 14th IEEE/ACM International Conference on Utility and Cloud Computing Companion, Dec 2021, Leicester, United Kingdom. ⟨10.1145/3492323.3495635⟩
- Accès au texte intégral et bibtex
-
- titre
- Work-In-Progress: Models and tools to detect Real-Time Scheduling Anomalies
- auteur
- Blandine Djika, Frank Singhoff, Alain Plantec, Georges Edouard Kouamou
- article
- Brief presentation at the 42nd IEEE Real-Time Systems Symposium (RTSS), Dec 2021, Dortmund, Germany
- Accès au bibtex
-
- titre
- Experience Feedback on HLS Implementation of LWE PQC on FPGA
- auteur
- Arnaud Tisserand
- article
- Sécurité et intégrité des systèmes (GDR Sécu & SoC2), Nov 2021, Rennes, France
- Accès au bibtex
-
- titre
- Intelligent Decision Support for Cybersecurity Incident Response Teams: Autonomic Architecture and Mitigation Search
- auteur
- Camilo Correa, Jacques Robin, Raul Mazo, Salvador Abreu
- article
- 16th International Conference on Risks and Security of Internet and Systems, CRISIS 2021, Nov 2021, Virtual, Online, United States. pp.91-107, ⟨10.1007/978-3-031-02067-4_6⟩
- Accès au bibtex
-
- titre
- AnimUML as a UML Modeling and Verification Teaching Tool
- auteur
- Frédéric Jouault, Valentin Sebille, Valentin Besnard, Théo Le Calvar, Ciprian Teodorov, Matthias Brun, Jérôme Delatour
- article
- MODELS 2021, Nov 2021, Fukuoka (virtual), Japan. pp.615-619
- Accès au bibtex
-
- titre
- Prototyping FPGA through overlays
- auteur
- Theotime Bollengier, Loïc Lagadec, Ciprian Teodorov
- article
- 2021 IEEE International Workshop on Rapid System Prototyping (RSP), Oct 2021, Paris, France. pp.15-21, ⟨10.1109/RSP53691.2021.9806222⟩
- Accès au bibtex
-
- titre
- A SysML-based Holistic Variability Modelling of Software and Systems Product Lines
- auteur
- Olfa Ferchichi, Raoudha Beltaifa, Raul Mazo, Lamia Labed Jilani
- article
- 34th International Conference on Computer Applications in Industry and Engineering, CAINE 2021, Oct 2021, Virtual online, United States. pp.99 - 112
- Accès au texte intégral et bibtex
-
- titre
- Timing analysis of TASTE models for reconfigurable software
- auteur
- Jean-Charles Roger, Pierre Dissaux, Jérôme Legrand, Mourad Dridi, Stéphane Rubini, Frank Singhoff
- article
- Model-Based Systems and Software Engineering (MBSE 2021) ESA Workshop, Sep 2021, Virtual, France
- Accès au texte intégral et bibtex
-
- titre
- A Usage-driven Approach to Characterize and Implement Industrial Digital Twins
- auteur
- Nathalie Julien, Eric Martin
- article
- ESREL 31st European Safety and Reliability Conference, Sep 2021, Angers, France
- Accès au bibtex
-
- titre
- Introducing CPU load Analysis from AADL Models for ROS applications : a use case
- auteur
- Eric Senn, Lucie Bourdon
- article
- 2021 Forum on specification & Design Languages (FDL), Sep 2021, Antibes, France. pp.01-08, ⟨10.1109/FDL53530.2021.9568386⟩
- Accès au bibtex
-
- titre
- CARES, a framework for CPS simulation : application to autonomous underwater vehicle navigation function
- auteur
- Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
- article
- 2021 Forum on specification & Design Languages (FDL), Sep 2021, Antibes, France. pp.01-08, ⟨10.1109/FDL53530.2021.9568380⟩
- Accès au bibtex
-
- titre
- Evaluation and Optimization of Underwater Image Restoration Algorithms
- auteur
- Alan Le Boudec, Artur Mkrtchyan, Barbara Džaja, Vincent Rodin, Hai Nam Tran
- article
- International Conference on Smart and Sustainable Technologies (SpliTech), Sep 2021, Split, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Carnac: Algorithm Variability for Fast Swarm Verification on FPGA
- auteur
- Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Aug 2021, Dresden, Germany. pp.185-189, ⟨10.1109/FPL53798.2021.00038⟩
- Accès au bibtex
-
- titre
- Anomaly Detection Algorithm for Acoustics Phenomena
- auteur
- Thomas Barguil, Johann Laurent, Nicolas Bohelay, Dominique Heller
- article
- The 2021 World Congress in Computer Science, Computer Engineering, & Applied Computing (CSCE'21), Jul 2021, Las Vegas, United States
- Accès au texte intégral et bibtex
-
- titre
- Efficient Leader Election in Wireless Sensor and IoT Networks
- auteur
- Reinhardt Euler, Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Abdelkader Laouid
- article
- 31st European Conference on Operational Research (EURO 2021), Jul 2021, Athens, Greece
- Accès au bibtex
-
- titre
- Accelerating Random Forest on Memory-Constrained Devices through Data Storage Reorganization
- auteur
- Camélia Slimani, Stéphane Rubini, Chun-Feng Wu, Yuan-Hao Chang, Jalil Boukhobza
- article
- Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Architecture matérielle programmable optimisée pour les systèmes de communications numériques
- auteur
- Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
- article
- Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
- Accès au bibtex
-
- titre
- A Framework for Fixed Priority Periodic Scheduling Synthesis from Synchronous Data-flow Graphs
- auteur
- Hai Nam Tran, Alexandre Honorat, Shuvra S Bhattacharyya, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
- article
- SAMOS XXI 2021 - 21st International Conference on embedded computer Systems: Architectures, MOdeling and Simulation, Jul 2021, Virtual, France. pp.1-12
- Accès au texte intégral et bibtex
-
- titre
- Hardware Based Loop Optimization for CGRA Architectures
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Applied Reconfigurable Computing. Architectures, Tools, and Applications, Jun 2021, Rennes, France. pp.65-80, ⟨10.1007/978-3-030-79025-7_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparison of Value Iteration, Policy Iteration and Q-Learning for solving Decision-Making problems
- auteur
- Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka R L J Castelo Branco
- article
- International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2021, Athens, Greece
- Accès au bibtex
-
- titre
- Euro-Asia Collaboration for Enhancing STEM Education
- auteur
- Anders Berglund, Valentina Dagiene, Vladimiras Dolgopolovas, Siegfried Rouvrais, Miriam Tardell
- article
- 5th APSCE International Conference on Computational Thinking and STEM Education 2021 (CTE-STEM 2021), National Institute of Education, Nanyang Technological University, Jun 2021, Hong Kong (virtual conference), China. pp.136-140
- Accès au texte intégral et bibtex
-
- titre
- Fouille de Processus de Configuration dans les Lignes de Produits
- auteur
- Camille Salinesi, Houssem Chemingui, Ines Gam, Raul Mazo, Henda Benghezala
- article
- INFORSID, Jun 2021, Dijon, France
- Accès au texte intégral et bibtex
-
- titre
- SDN Intent-based conformance checking: application to security policies
- auteur
- Nicolas Herbaut, Camilo Correa, Jacques Robin, Raul Mazo
- article
- 7th IEEE International Conference on Network Softwarization (IEEE NetSoft 2021), Jun 2021, Tokyo (virtual), Japan
- Accès au texte intégral et bibtex
-
- titre
- How to Characterize a Digital Twin: A Usage-Driven Classification
- auteur
- Nathalie Julien, Eric Martin
- article
- 17th IFAC Symposium on Information Control Problems in Manufacturing, INCOM21, Jun 2021, Budapest, Hungary
- Accès au bibtex
-
- titre
- Gestion d'interaction Humain-Cobot pour l'exécution de tâches en cuisine
- auteur
- Samia Benferhat, Florent Frizon de Lamotte, Christophe Lohr, Jean-Luc Philippe
- article
- JETSAN 2021 - Colloque en Télésanté et dispositifs biomédicaux - 8ème édition, Université Toulouse III - Paul Sabatier [UPS], May 2021, Toulouse, Blagnac, France
- Accès au texte intégral et bibtex
-
- titre
- EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
- auteur
- Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
- article
- CHEOPS 2021: Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Edinburgh (online), United Kingdom. pp.4:1-4:11, ⟨10.1145/3439839.3458731⟩
- Accès au texte intégral et bibtex
-
- titre
- Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
- auteur
- Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
- article
- Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Online Event, United Kingdom. pp.1-9, ⟨10.1145/3439839.3458733⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysing Student Engagement in an Online Course in the Context of Hybrid Learning Environment. An Empirical Study
- auteur
- Michael Wahiu, Fahima Djelil, Laurent Brisson, Jean-Marie Gilliot, Antoine Beugnard
- article
- CSEDU 2021 - 13th International Conference on Computer Supported Education, Apr 2021, On line, Czech Republic. ⟨10.5220/0010396901560162⟩
- Accès au texte intégral et bibtex
-
- titre
- StorNIR , a multi-objective replica placement strategy for cloud federations
- auteur
- Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
- article
- SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, France. pp.50-59, ⟨10.1145/3412841.3441886⟩
- Accès au bibtex
-
- titre
- RaFIO: a random forest I/O-aware algorithm
- auteur
- Camélia Slimani, Chun-Feng Wu, Yuan-Hao Chang, Stéphane Rubini, Jalil Boukhobza
- article
- SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, South Korea. pp.521-528, ⟨10.1145/3412841.3441932⟩
- Accès au bibtex
-
- titre
- Une approche générique du jumeau numérique pilotée par les usages
- auteur
- Nathalie Julien, Eric Martin
- article
- s-mart2021 : 17ème colloque national S-mart AIP-PRIMECA, Mar 2021, Valenciennes, France
- Accès au bibtex
-
- titre
- Security property modeling
- auteur
- Hiba Hnaini, Luka Le Roux, Joël Champeau, Ciprian Teodorov
- article
- 7th International Conference on Information Systems Security and Privacy, ICISSP 2021, Feb 2021, Vienne - Virtual Online, Austria. pp.694-701, ⟨10.5220/0010388206940701⟩
- Accès au texte intégral et bibtex
-
- titre
- Benchmarking Quantized Neural Networks on FPGAs with FINN
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec, Rob Stewart
- article
- DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis
- auteur
- Hannah Badier, Christian Pilato, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
- article
- DATE'21 Design Automation and Test in Europe, Feb 2021, Grenoble (virtuel), France
- Accès au texte intégral et bibtex
-
- titre
- On Cache Limits for Dataflow Applications and Related Efficient Memory Management Strategies
- auteur
- Alemeh Ghasemi, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
- article
- DASIP 2021: Workshop on Design and Architectures for Signal and Image Processing, Jan 2021, Budapest -Online, Hungary. ⟨10.1145/3441110.3441573⟩
- Accès au texte intégral et bibtex
-
Other publications
- titre
- Cheddar tutorial: an example of real-time scheduling analysis with Cheddar
- auteur
- Frank Singhoff, Hai Nam Tran
- article
- 2021
- Accès au bibtex
-
Patents
- titre
- Device for sampling gases exhaled by a patient
- auteur
- Jean-Luc Wojkiewicz, Nathalie Redon, Cyril Lahuec, Paul Le Maout
- article
- France, N° de brevet: WO2021250261. DI-TBN-20-002. 2021
- Accès au bibtex
-
Poster communications
- titre
- Classifieur embarqué pour la détection d’intrusions dans le contexte des véhicules autonomes
- auteur
- Mohammed-Amine Hsaini, Mohand Hamadouche, David Espes, Dezan Catherine
- article
- COMPAS, Jul 2021, Lyon, France
- Accès au bibtex
-
- titre
- Software-Defined Networking for Many-cores
- auteur
- Marcelo Ruaro, Kevin Martin, Fernando G Moraes
- article
- Colloque du GdR SOC2, Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Securing a High-Level Language Virtual Machine Through its ISA: Pharo as a Case Study
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- GDR SOC², Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- 15ème Colloque National du GDR SOC2, Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Architecture programmable pour les systèmes de communications numériques
- auteur
- Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
- article
- GDR SoC2, Jun 2021, Rennes, France
- Accès au bibtex
-
Preprints, Working Papers, ...
- titre
- Design and Comparison of Reward Functions in Reinforcement Learning for Energy Management of Sensor Nodes
- auteur
- Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
- article
- 2021
- Accès au bibtex
-
2020
Journal articles
- titre
- A laser emitting contact lens for eye tracking
- auteur
- Alexandre Khaldi, Emmanuel Daniel, Loïc Massin, Camilla Kärnfelt, Francesco Ferranti, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, J.-L. de Bougrenet de La Tocnaye
- article
- Scientific Reports, 2020, 10 (1), ⟨10.1038/s41598-020-71233-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Industrie et pandémie, quelles mutations ?
- auteur
- Nathalie Julien, Eric Martin
- article
- France Forum, 2020
- Accès au bibtex
-
- titre
- Advances in Smalltalk technologies
- auteur
- Loïc Lagadec, Anne Etien, Jannik Laval
- article
- Science of Computer Programming, 2020, 199, pp.102518. ⟨10.1016/j.scico.2020.102518⟩
- Accès au bibtex
-
- titre
- Winter is here! A decade of cache-based side-channel attacks, detection & mitigation for RSA
- auteur
- Maria Mushtaq, Muhammad Asim Mukhtar, Vianney Lapotre, Muhammad Khurram Bhatti, Guy Gogniat
- article
- Information Systems, 2020, 92, pp.#101524. ⟨10.1016/j.is.2020.101524⟩
- Accès au texte intégral et bibtex
-
- titre
- WHISPER A Tool for Run-time Detection of Side-Channel Attacks
- auteur
- Maria Mushtaq, Jeremy Bricq, Muhammad Khurram Bhatti, Ayaz Akram, Vianney Lapotre, Guy Gogniat, Pascal Benoit
- article
- IEEE Access, 2020, 8, pp.83871-83900. ⟨10.1109/ACCESS.2020.2988370⟩
- Accès au texte intégral et bibtex
-
- titre
- Meet the Sherlock Holmes’ of Side Channel Leakage: A Survey of Cache SCA Detection Techniques
- auteur
- Ayaz Akram, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- IEEE Access, 2020, 8, pp.70836-70860. ⟨10.1109/ACCESS.2020.2980522⟩
- Accès au texte intégral et bibtex
-
- titre
- Work-based learning models in engineering curricula: insight from the French experience
- auteur
- Siegfried Rouvrais, Bernard Remaud, Morgan Saveuse
- article
- European Journal of Engineering Education, 2020, 45 (1), pp.89-102. ⟨10.1080/03043797.2018.1450846⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparing two active learning strategies for teaching Scrum in an introductory software engineering course
- auteur
- Silvia I. Lozano, Elizabeth Suescún, Paola Vallejo, Raúl Mazo, Daniel Correa
- article
- Ingeniare : Revista Chilena de Ingeniería, 2020, 28 (1), pp.83-94. ⟨10.4067/S0718-33052020000100083⟩
- Accès au bibtex
-
- titre
- Automated Exploration of Homomorphic Encryption Scheme Input Parameters
- auteur
- Cyrielle Feron, Loïc Lagadec, Vianney Lapotre
- article
- Journal of Information Security and Applications, 2020, 55, pp.102627. ⟨10.1016/j.jisa.2020.102627⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient plagiarism detection for software modeling assignments
- auteur
- Salvador Martínez, Manuel Wimmer, Jordi Cabot
- article
- Computer Science Education, 2020, 30 (2), pp.187-215. ⟨10.1080/08993408.2020.1711495⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards a new template for the specification of requirements in semi-structured natural language
- auteur
- Raul Mazo, Carlos Andrés Jaramillo, Paola Vallejo, Jhon Harvey Medina
- article
- Journal of Software Engineering Research and Development, 2020, 8, pp.3. ⟨10.5753/jserd.2020.473⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of a new scleral contact lens with encapsulated photodetectors for eye tracking
- auteur
- Loïc Massin, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Laure Adam, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye
- article
- Optics Express, 2020, 28 (19), pp.28635. ⟨10.1364/oe.399823⟩
- Accès au bibtex
-
- titre
- FLUSH + PREFETCH: A Countermeasure Against Access-driven Cache-based Side-Channel Attacks
- auteur
- M Asim Mukhtar, Maria Mushtaq, M Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- Journal of Systems Architecture, 2020, 104, pp.101698. ⟨10.1016/j.sysarc.2019.101698⟩
- Accès au texte intégral et bibtex
-
- titre
- Object Miners: Acquire, Capture and Replay Objects to Track Elusive Bugs.
- auteur
- Steven Costiou, Mickaël Kerboeuf, Clotilde Toullec, Alain Plantec, Stéphane Ducasse
- article
- The Journal of Object Technology, 2020, 19 (1), pp.1:1. ⟨10.5381/jot.2020.19.1.a1⟩
- Accès au bibtex
-
- titre
- Embedded Bayesian Network Contribution for a Safe Mission Planning of Autonomous Vehicles
- auteur
- Catherine Dezan, Sara Zermani, Chabha Hireche
- article
- Algorithms, 2020, 13 (7), pp.155. ⟨10.3390/a13070155⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- An Iterative Approach to Automate the Tuning of Continuous Controller Parameters
- auteur
- Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
- article
- 2020 IEEE 18th International Conference on Embedded and Ubiquitous Computing (EUC), Dec 2020, Guangzhou, China. pp.1-8, ⟨10.1109/EUC50751.2020.00008⟩
- Accès au bibtex
-
- titre
- ReLeaSER: A Reinforcement Learning Strategy for Optimizing Utilization Of Ephemeral Cloud Resources
- auteur
- Mohamed Handaoui, Jean-Emile Dartois, Jalil Boukhobza, Olivier Barais, Laurent d'Orazio
- article
- CloudCom 2020 - 12th IEEE International Conference on Cloud Computing Technology and Science, Dec 2020, Bangkok, Thailand. pp.1-9
- Accès au texte intégral et bibtex
-
- titre
- 15 years of reuse experience in evolutionary prototyping for the defense industry
- auteur
- Pierre Laborde, Steven Costiou, Éric Le Pors, Alain Plantec
- article
- International Conference on Software and Systems Reuse, Dec 2020, Hammamet, Tunisia
- Accès au texte intégral et bibtex
-
- titre
- Preparing 5.0 engineering students for an unpredictable post-COVID world
- auteur
- Siegfried Rouvrais, Cecile Gerwel Proches, Haraldur Andunsson, Nathalie Chelin, Inggriani Liem, Luis Tudela Villalonga
- article
- WEEF/GEDC 2020 : World Engineering Education Forum and the Global Engineering Deans Council, Nov 2020, Cape Town, South Africa. ⟨10.1109/WEEF-GEDC49885.2020.9293661⟩
- Accès au texte intégral et bibtex
-
- titre
- Decision Skills in Engineering Programs -a Key for a VUCA Era
- auteur
- Siegfried Rouvrais, Audunsson Haraldur, Liliane Esnault, Víkingur Friðgeirsson Thordur
- article
- WEEF/GEDC 2020 : World Engineering Education Forum and the Global Engineering Deans Council, Nov 2020, Cape Town, South Africa. ⟨10.1109/WEEF-GEDC49885.2020.9293669⟩
- Accès au texte intégral et bibtex
-
- titre
- Scaling up a project-based SQL course
- auteur
- Vincent Ribaud
- article
- 20120 IEEE 32th Conference on Software Engineering Education and Training (CSEE&T), Nov 2020, Munich, Germany
- Accès au texte intégral et bibtex
-
- titre
- Comparison of Market-based and DQN methods for Multi-Robot processing Task Allocation (MRpTA)
- auteur
- Paul Gautier, Laurent D. Johann, Jean-Philippe Diguet
- article
- IEEE International Conference on Robotic Computing (IRC), Nov 2020, Taichung, Taiwan
- Accès au texte intégral et bibtex
-
- titre
- New Dataset for Industry 4.0 to Address the Change in Threat Landscape
- auteur
- Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
- article
- 15th International Conference on Risks and Security of Internet and Systems (CRISIS), Nov 2020, Paris, France. pp.273-288, ⟨10.1007/978-3-030-68887-5_16⟩
- Accès au bibtex
-
- titre
- Salamander: a Holistic Scheduling of MapReduce Jobs on Ephemeral Cloud Resources
- auteur
- Mohamed Handaoui, Jean-Emile Dartois, Laurent Lemarchand, Jalil Boukhobza
- article
- CCGRID 2020 - 20th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, Nov 2020, Melbourne, Australia. pp.1-10
- Accès au texte intégral et bibtex
-
- titre
- A novel Network-on-Chip security algorithm for tolerating Byzantine faults
- auteur
- Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Jean-Michel Dricot, Guy Gogniat
- article
- 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2020, Frascati, Italy. pp.1-6, ⟨10.1109/DFT50435.2020.9250906⟩
- Accès au texte intégral et bibtex
-
- titre
- Modular Deployment of UML Models for V&V Activities and Embedded Execution
- auteur
- Valentin Besnard, Frédéric Jouault, Matthias Brun, Ciprian Teodorov, Philippe Dhaussy
- article
- MoDeVVa, Oct 2020, Virtual Event, Canada. ⟨10.1145/3417990.3419227⟩
- Accès au bibtex
-
- titre
- Detecting human vulnerably in socio-technical systems: a naval case study
- auteur
- Paul Perrotin, Salah Sadou, David Hairion, Antoine Beugnard
- article
- MoDELS 2020: 23rd ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, Oct 2020, Virtual Event, Canada. ⟨10.1145/3417990.3420045⟩
- Accès au bibtex
-
- titre
- Designing, Animating, and Verifying Partial UML Models
- auteur
- Frédéric Jouault, Valentin Besnard, Théo Le Calvar, Ciprian Teodorov, Matthias Brun, J. Delatour
- article
- 23rd International Conference on Model Driven Engineering Languages and Systems (MODELS 2020), Oct 2020, Virtual event, Canada. pp.211-217, ⟨10.1145/3365438.3410967⟩
- Accès au bibtex
-
- titre
- Toward Secured IoT Devices: a Shuffled 8-Bit AES Hardware Implementation
- auteur
- Ghita Harcha, Vianney Lapotre, Cyrille Chavet, Philippe Coussy
- article
- IEEE International Symposium on Circuits and Systems (ISCAS), Oct 2020, Seville, Spain. ⟨10.1109/ISCAS45731.2020.9180599⟩
- Accès au texte intégral et bibtex
-
- titre
- An Interruptible Task Allocation Model : Application to a Honey Bee Colony Simulation
- auteur
- Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
- article
- PAAMS 2020: 18th International Conference on Practical Applications of Agents and Multi-Agent Systems, Oct 2020, L'aquila, Italy. pp.3-15, ⟨10.1007/978-3-030-49778-1_1⟩
- Accès au texte intégral et bibtex
-
- titre
- When security affects schedulability of TSP systems: trade-offs observed by design space exploration
- auteur
- Ill-Ham Atchadam, Laurent Lemarchand, Hai Nam Tran, Frank Singhoff, Karim Bigou
- article
- 25th international conference on emerging technologies and factory automaton, ETFA 2020, IEEE Industrial Electronics Society, Sep 2020, Vienna, Austria
- Accès au bibtex
-
- titre
- A seamless DFT/FFT self-adaptive architecture for embedded radar applications
- auteur
- Julien Mazuet, Michel Narozny, Catherine Dezan, Jean-Philippe Diguet
- article
- The International Conference on Field-Programmable Logic and Applications (FPL), Aug 2020, Gothenburg (virtual ), Sweden. ⟨10.1109/FPL50879.2020.00029⟩
- Accès au texte intégral et bibtex
-
- titre
- Menhir: Generic High-Speed FPGA Model-Checker
- auteur
- Émilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2020 23rd Euromicro Conference on Digital System Design (DSD), Aug 2020, Kranj, Slovenia. pp.65-72, ⟨10.1109/DSD51259.2020.00022⟩
- Accès au bibtex
-
- titre
- Contract-based design patterns: a design by contract approach to specify security patterns
- auteur
- Caine Silva, Sylvain Guérin, Raul Mazo, Joël Champeau
- article
- 15th International Conference on Availibitlity, Reliability ans Security, ARES 2020, Association for Computing Machinery (ACM), Aug 2020, Virtual Event, Ireland. pp.1-9, ⟨10.1145/3407023.3409185⟩
- Accès au bibtex
-
- titre
- On the Performance Evaluation of LoRaWAN with Re-transmissions under Jamming
- auteur
- I. Martinez, F. Nouvel, S. Lahoud, Tanguy Philippe, M.E. Helou
- article
- 2020 IEEE Symposium on Computers and Communications, ISCC 2020, Jul 2020, Rennes, France. pp.9219644, ⟨10.1109/ISCC50000.2020.9219644⟩
- Accès au bibtex
-
- titre
- STUART: ReSilient archiTecture to dynamically manage Unmanned aeriAl vehicle networks undeR atTack
- auteur
- Isadora Ferrão, Daniel F Pigatto, João Fontes, Natassya Silva, David Espes, Catherine Dezan, Kalinka R L J Castelo Branco
- article
- 8th Workshop on Communications in Critical Embedded Systems (WoCCES), Jul 2020, Rennes, France
- Accès au bibtex
-
- titre
- Co-orientation©: a collaborative method to guide students' decision-making to a right first job
- auteur
- Nathalie Chelin, Siegfried Rouvrais
- article
- EDULEARN 2020 : 12th International Conference on Education and New Learning Technologies, Jul 2020, Palma, Spain. ⟨10.21125/edulearn.2020.0618⟩
- Accès au texte intégral et bibtex
-
- titre
- Career decision-making learning activities in stem: an integrated capsule model earmarked for higher and VET educations
- auteur
- Nathalie Chelin, Maria del Mar Socias Camacho, Siegfried Rouvrais, Gréta Matthíasdóttir
- article
- EDULEARN 2020 : 12th International Conference on Education and New Learning Technologies, Jul 2020, Palma, Spain. ⟨10.21125/edulearn.2020.2064⟩
- Accès au texte intégral et bibtex
-
- titre
- Reward Tuning for self-adaptive Policy in MDP based Distributed Decision-Making to ensure a Safe Mission Planning
- auteur
- Mohand Hamadouche, Catherine Dezan, Kalinka R L J Castelo Branco
- article
- 6th International Workshop on Safety and Security Intelligent Vehicle (SSIV), Jun 2020, Valence, Spain
- Accès au bibtex
-
- titre
- Modèle d'Interruption pour la Répartition des Tâches : Application à une simulation de colonie d'abeilles
- auteur
- Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
- article
- Rencontres des Jeunes Chercheur·ses en Intelligence Artificielle (RJCIA 2020 @ PFIA), Jun 2020, Angers, France
- Accès au texte intégral et bibtex
-
- titre
- UNIVERSITY-INDUSTRY COLLABORATION THEMES IN STEM HIGHER EDUCATION: AN EURO-ASEAN PERSPECTIVE
- auteur
- Siegfried Rouvrais, Gilles Jacovetti, Panuwan Chantawannakul, Nuttee Suree, Sirilak Bangchokdee
- article
- 16 th International CDIO Conference, Jun 2020, Gothenburg (on line), Sweden. pp.91-102
- Accès au texte intégral et bibtex
-
- titre
- A Unified Vision of Configurable Software
- auteur
- Houssem Chemingui, Ines Gam, Raul Mazo, Henda Benghezala, Camille Salinesi
- article
- Inforsid, Jun 2020, Dijon, France. ⟨10.3166/RCMA.25.1-n⟩
- Accès au texte intégral et bibtex
-
- titre
- Des dispositifs pédagogiques pour œuvrer à l’égalité femmes hommes dans le numérique. Retours d’expériences des acteurs et actrices.
- auteur
- Pascale Gautron, Cécile Plaud, Vincent Ribaud
- article
- IMT4ET Innovative Methods and Tools for Educational Technology, May 2020, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Quand des étudiantes de licence deviennent enseignantes en informatique: leçons du dispositif «Les filles qui… »
- auteur
- Cécile Plaud, Vincent Ribaud
- article
- Humanistica 2020, May 2020, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Energy Efficient Acceleration of Floating Point Applications onto CGRA
- auteur
- Satyajit Das, Rohit Prasad, Kevin Martin, Philippe Coussy
- article
- ICASSP, May 2020, Barcelona, Spain
- Accès au texte intégral et bibtex
-
- titre
- Underwater exploration by AUV using deep neural network implemented on FPGA
- auteur
- Tanguy Le Pennec, Maher Jridi, Catherine Dezan, Franck Florin, Ayman Alfalou
- article
- Pattern Recognition and Tracking XXXI, Apr 2020, Online Only, United States. pp.23, ⟨10.1117/12.2558606⟩
- Accès au bibtex
-
- titre
- Les filles qui… et L Codent L Créent : constituer un bien commun de médiation en informatique
- auteur
- Pascale Gautron, Cécile Plaud, Maude Pupin, Vincent Ribaud, Yann Secq
- article
- Ludovia#CH - Université de printemps, Apr 2020, Yverdon-les-bains, Suisse
- Accès au texte intégral et bibtex
-
- titre
- 6 leçons de robotique et des robolympiades pour les sciences
- auteur
- Vincent Ribaud
- article
- Forum national "Activons les sciences en classe !, Apr 2020, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Chaining model transformations to develop a system model verification tool : : application to capella state machines and data flows models
- auteur
- Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
- article
- SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, Apr 2020, Brno Czech Republic, Czech Republic. pp.1654-1657, ⟨10.1145/3341105.3374093⟩
- Accès au bibtex
-
- titre
- Preference-based Conflict Resolution for Collaborative Configuration of Product Lines
- auteur
- Sabrine Edded, Sihem Ben Sassi, Raúl Mazo, Camille Salinesi, Henda Ben Ghézala
- article
- International Conference on Evaluation of Novel Approaches to Software Engineering, Mar 2020, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- TRANSPIRE: An energy-efficient TRANSprecision floating-point Programmable archItectuRE
- auteur
- Rohit Prasad, Satyajit Das, Kevin Martin, Giuseppe Tagliavini, Philippe Coussy, Luca Benini, Davide Rossi
- article
- Design, Automation and Test in Europe Conference (DATE), Mar 2020, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Towards a Hardware DSL Ecosystem: RubyRTL and Friends
- auteur
- Jean-Christophe Le Lann, Hannah Badier, Florent Kermarrec
- article
- OSDA'2020 Open Source Hardware Design, colocated with DATE'20, Mar 2020, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- A Domain-specific Modeling Framework for Attack Surface Modeling
- auteur
- Tithnara Nicolas Sun, Bastien Drouot, Fahad R Golra, Joël Champeau, Sylvain Guerin, Luka Le Roux, Raul Mazo, Ciprian Teodorov, Lionel Van Aertryck, Bernard L ' Hostis
- article
- ICISSP 2020 : 6th International Conference on Information Systems Security and Privacy, Feb 2020, Valetta, Malta. pp.341 - 348, ⟨10.5220/0008916203410348⟩
- Accès au texte intégral et bibtex
-
- titre
- Chaining Model Transformations for System Model Verification : Application to Verify Capella Model with Simulink
- auteur
- Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
- article
- 8th International Conference on Model-Driven Engineering and Software Development, Feb 2020, Valletta, Malta. pp.279-286, ⟨10.5220/0008902302790286⟩
- Accès au texte intégral et bibtex
-
- titre
- 6 leçons de robotique pour les sciences
- auteur
- Ashwarya Arora, Boulc'H Julie, Caza Céline, Gourvil Magali, Karchaoui Ikhlass, Lemeunier Amandine, Cécile Plaud, Vincent Ribaud, Rolland Lisa, Schall Noémie, Segalen Elsa
- article
- DIDAPRO L'informatique objet d'enseignements, Feb 2020, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Partenaires informatiques pour la classe
- auteur
- Ashwarya Arora, Cassandra Balland, Salomé Brousseau, Morgane Coat, Laurie-May Constantin, Layla Corain, Tinaïg Daniel, Meryem El Bouti, Louise Hergoualc'H, Ikhlass Karchaoui, Gwendoline Kervot, Audrey Lidec, Alix Machard, Océane Pierry, Cécile Plaud, Vincent Ribaud, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo
- article
- DIDAPRO 8 L'informatique objet d'enseignements, Feb 2020, Lille, France
- Accès au texte intégral et bibtex
-
- titre
- Combined Real-Time, Safety and Security Model Analysis
- auteur
- P Dissaux, Frank Singhoff, L Lemarchand, Hai Nam Tran, Ill-Ham Atchadam
- article
- 9th European Congress ERTSS Embedded Real Time Software and System, Feb 2020, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- Automatic Verification of BPMN Models
- auteur
- Mihal Brumbulli, Emmanuel Gaudin, Ciprian Teodorov
- article
- 10th European Congress on Embedded Real Time Software and Systems (ERTS 2020), Jan 2020, Toulouse, France
- Accès au texte intégral et bibtex
-
Special issue
- titre
- Performance Evaluation: Special issue of the 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)
- auteur
- André-Luc Beylot, Jalil Boukhobza
- article
- Performance Evaluation, 142, pp.102123, 2020, ⟨10.1016/j.peva.2020.102123⟩
- Accès au bibtex
-
Books
- titre
- Le jumeau numérique
- auteur
- Nathalie Julien, Eric Martin
- article
- Dunod. Dunod, 2020, 978-2-10-080028-5
- Accès au bibtex
-
- titre
- Algorithmique et programmation objet
- auteur
- Mickaël Kerboeuf
- article
- Ellipses, 2020, Références sciences, 9782340037984
- Accès au bibtex
-
Patents
- titre
- Contact lens for automatically aiming in the direction of an eye of a person, associated detection system
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Francesco Ferranti, Thierry Djenizian
- article
- France, N° de brevet: WO2020212394. DI-TBN-19-001. 2020
- Accès au bibtex
-
Poster communications
- titre
- Les filles qui …
- auteur
- Vincent Ribaud
- article
- DIDAPRO 8 L'informatique objet d'enseignements, Feb 2020, Lille, France
- Accès au texte intégral et bibtex
-
Reports
- titre
- A Decision Skills Framework for Higher and VET students, continuously integrative for educational programme addressing VUCA contexts
- auteur
- Siegfried Rouvrais, Katie Jordan, Matthew Stewart, Sophie Gaultier Lebris, Axel Joder, Maria Agredano, Maria del Mar Socias Camacho, Lluis Tudela, Antoine Beugnard, Nathalie Chelin, Sarah Ghaffari, Roger Waldeck, Liliane Esnault, Haraldur Auðunsson, Þórður Víki Gur Friðgeirsson, Grét Matthíasdóttir, Sheila Dunn
- article
- [Research Report] Output 3, IMT Atlantique; Lab-STICC; IMT Atlantique. 2020
- Accès au texte intégral et bibtex
-
- titre
- DAhoy: A Decision Skills Framework for Higher and VET Education to face VUCA situations
- auteur
- Siegfried Rouvrais, Nathalie Chelin, Sheila Dunn, Liliane Esnault, Þórður Víki Gur Friðgeirsson, Axel Joder, Katie Jordan, Sophie Gaultier Lebris, Lluis Tudela
- article
- [Research Report] IMT Atlantique; Lab-STICC. 2020
- Accès au texte intégral et bibtex
-
- titre
- Position paper: the use of retro-construction tools
- auteur
- Vincent Ribaud, Philippe Saliou
- article
- [Research Report] Lab-STICC_UBO_CACS_MOCS. 2020
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Molecule: live prototyping with component-oriented programming
- auteur
- Pierre Laborde, Steven Costiou, Alain Plantec, Eric Le Pors
- article
- 2020
- Accès au texte intégral et bibtex
-
- titre
- A hybrid intrusion detection system in industry 4.0 based on ISA95 standard
- auteur
- Salwa Alem, David Espes, Eric Martin, Laurent Nana, Florent de Lamotte
- article
- 2020
- Accès au texte intégral et bibtex
-
2019
Journal articles
- titre
- Collaborative configuration approaches in software product lines engineering: a systematic mapping study
- auteur
- Sabrine Edded, Sihem Ben Sassi, Raúl Mazo, Camille Salinesi, Henda Ben Ghézala
- article
- Journal of Systems and Software, 2019, 158, ⟨10.1016/j.jss.2019.110422⟩
- Accès au texte intégral et bibtex
-
- titre
- HyMAD: a hybrid memory-aware DVFS strategy
- auteur
- Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
- article
- ACM SIGBED Review, 2019, 16 (3), pp.45-50. ⟨10.1145/3373400.3373407⟩
- Accès au bibtex
-
- titre
- Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
- Accès au texte intégral et bibtex
-
- titre
- Preserving SSD lifetime in deep learning applications with delta snapshots
- auteur
- Zhu Wang, Jalil Boukhobza, Zili Shao
- article
- Journal of Parallel and Distributed Computing, 2019, 133, pp.63-76. ⟨10.1016/j.jpdc.2019.06.011⟩
- Accès au bibtex
-
- titre
- Reinforcement-Learning Approach Guidelines for Energy Management
- auteur
- Yohann Rioual, Johann Laurent, Jean-Philippe Diguet
- article
- Journal of Low Power Electronics, In press, 15 (3), pp.283-293. ⟨10.1166/jolpe.2019.1618⟩
- Accès au texte intégral et bibtex
-
- titre
- An MDE-based approach for self-adaptive RTES model generation
- auteur
- Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf, Mohamed Abid
- article
- Cluster Computing, 2019, ⟨10.1007/s10586-019-02968-4⟩
- Accès au bibtex
-
- titre
- L'usine du futur sera humaine ou ne sera pas...
- auteur
- Nathalie Julien, Eric Martin
- article
- France Forum, 2019
- Accès au bibtex
-
- titre
- An Energy-Efficient Integrated Programmable Array Accelerator and Compilation flow for Near-Sensor Ultra-low Power Processing
- auteur
- Satyajit Das, Kevin Martin, Davide Rossi, Philippe Coussy, Luca Benini
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (6), pp.1095-1108. ⟨10.1109/TCAD.2018.2834397⟩
- Accès au texte intégral et bibtex
-
- titre
- Le robot doit désormais prendre en compte son environnement
- auteur
- Nathalie Julien
- article
- Revue “Industries et Technologies“, 2019
- Accès au bibtex
-
- titre
- Optimizing the cost of DBaaS object placement in hybrid storage systems
- auteur
- Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa, Hamza Ouarnoughi, Laurent Lemarchand
- article
- Future Generation Computer Systems, 2019, 93, pp.176-187
- Accès au bibtex
-
- titre
- Optimizing the deployment of tree-shaped functional graphs of real-time system on distributed architectures
- auteur
- Asma Mehiaoui, Ernest Wozniak, Jean-Philippe Babau, Sara Tucci-Piergiovanni, Chokri Mraidha
- article
- Automated Software Engineering, 2019, 26 (1), pp.1-57. ⟨10.1007/s10515-018-0244-7⟩
- Accès au bibtex
-
- titre
- Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
- auteur
- Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2019, 15 (1), pp.2:1--2:37. ⟨10.1145/3264818⟩
- Accès au bibtex
-
- titre
- Clone-Based Encoded Neural Networks to Design Efficient Associative Memories
- auteur
- Hugues Wouafo, Cyrille Chavet, Philippe Coussy
- article
- IEEE Transactions on Neural Networks and Learning Systems, 2019, 30 (10), pp.1-14. ⟨10.1109/TNNLS.2018.2890658⟩
- Accès au texte intégral et bibtex
-
- titre
- From real-time design model to RTOS-specific models: a model-driven methodology
- auteur
- Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
- article
- International Journal of Embedded Systems, 2019, 11 (6), pp.738. ⟨10.1504/IJES.2019.103991⟩
- Accès au bibtex
-
- titre
- An Energy Efficient Autopilot Design
- auteur
- Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, André Rossi, Jean-Philippe Diguet
- article
- Journal of Sailing Technology, 2019, 5 (1)
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- FPGA Implementation and Comparison of Protections against SCAs for RLWE
- auteur
- Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- 20th International Conference on Cryptology in India, Dec 2019, Hyderabad, India
- Accès au texte intégral et bibtex
-
- titre
- L'humain dans l'usine du futur
- auteur
- Nathalie Julien
- article
- Colloque Enseigner l'industrie du futur, Dec 2019, Nanterre, France
- Accès au bibtex
-
- titre
- Data Management Mechanisms for Internet of Things: A position paper
- auteur
- Ousmane Diallo, Philippe Dhaussy, Ciprian Teodorov
- article
- CSCI 2019, The American Council on Science and Education, Dec 2019, Las Vegas, United States. pp.61, ⟨10.1109/CSCI49370.2019⟩
- Accès au bibtex
-
- titre
- Hardware-Software Co-Design for Security: ECC Processor Example
- auteur
- Arnaud Tisserand
- article
- Workshop on the Security of Software / Hardware Interfaces, Nov 2019, Rennes, France
- Accès au bibtex
-
- titre
- Efficient Contention-Aware Scheduling of SDF Graphs on Shared Multi-bank Memory
- auteur
- Hai Nam Tran, Alexandre Honorat, Jean-Pierre Talpin, Thierry Gautier, Loïc Besnard
- article
- ICECCS 2019 - 24th International Conference on Engineering of Complex Computer Systems, Nov 2019, Hong Kong, China. pp.114-123, ⟨10.1109/ICECCS.2019.00020⟩
- Accès au texte intégral et bibtex
-
- titre
- A Hybrid Intrusion Detection System in Industry 4.0 Based on ISA95 Standard
- auteur
- Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
- article
- 2019 IEEE/ACS 16th International Conference on Computer Systems and Applications (AICCSA), Nov 2019, Abu Dhabi, Saudi Arabia. pp.1-8, ⟨10.1109/AICCSA47632.2019.9035260⟩
- Accès au bibtex
-
- titre
- Statistical Measurement of Production Environment Influence on Code Reuse Availability
- auteur
- Étienne Louboutin, Jean-Christophe Bach, Fabien Dagnat
- article
- SECURWARE 2019 : The Thirteenth International Conference on Emerging Security Information, Systems and Technologies, Oct 2019, Nice, France
- Accès au texte intégral et bibtex
-
- titre
- Integrating Operators' Preferences into Decisions of Unmanned Aerial Vehicles: Multi-layer Decision Engine and Incremental Preference Elicitation
- auteur
- Arwa Khannoussi, Alexandru Liviu Olteanu, Christophe Labreuche, Pritesh Narayan, Catherine Dezan, Jean-Philippe Diguet, Jacques Petit-Frère, Patrick Meyer
- article
- 6th International Conference, ADT 2019, Oct 2019, Durham, NC, United States. pp.49-63, ⟨10.1007/978-3-030-31489-7_4⟩
- Accès au bibtex
-
- titre
- Tracking Application Fingerprint in a Trustless Cloud Environment for Sabotage Detection
- auteur
- Jean-Emile Dartois, Jalil Boukhobza, Vincent Francoise, Olivier Barais
- article
- MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.74-82, ⟨10.1109/MASCOTS.2019.00018⟩
- Accès au texte intégral et bibtex
-
- titre
- Leveraging cloud unused resources for Big data application while achieving SLA
- auteur
- Jean-Emile Dartois, Ivan Meriau, Mohamed Handaoui, Jalil Boukhobza, Olivier Barais
- article
- MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.1-2
- Accès au texte intégral et bibtex
-
- titre
- K -MLIO: Enabling K -Means for Large Data-Sets and Memory Constrained Embedded Systems
- auteur
- Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
- article
- 2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2019, Rennes, France. pp.262-268, ⟨10.1109/MASCOTS.2019.00037⟩
- Accès au bibtex
-
- titre
- Designing a combined personal communicator and data entry terminal for disaster relief & remote operations
- auteur
- Paul Gardner-Stephen, Angus Wallace, Lucas Moss, Loïc Lagadec, Matthew Lloyd
- article
- IEEE Global Humanitarian Technology Conference (GHTC), Oct 2019, Seattle, WA, United States
- Accès au bibtex
-
- titre
- Product Line Configuration Meets Process Mining
- auteur
- Houssem Chemingui, Ines Gam, Raul Mazo, Camille Salinesi, Henda Ben Ghézala
- article
- CENTERIS, Oct 2019, Sousse, Tunisia. pp.199-210, ⟨10.1016/j.procs.2019.12.173⟩
- Accès au texte intégral et bibtex
-
- titre
- REVaMP 2 Project: Towards Round-Trip Engineering of Software Product Lines -Approach, Intermediate Results and Challenges
- auteur
- Andrey Sadovykh, Tewfik Ziadi, Alessandra Bagnato, Thorsten Berger, Jan-Philipp Steghöfer, Jacques Robin, Raúl Mazo, Elena Gallego
- article
- International Conference on Objects, Components, Models and Patterns (TOOLS 2019), Oct 2019, Innopolis, Russia. pp.406-417, ⟨10.1007/978-3-030-29852-4_34⟩
- Accès au texte intégral et bibtex
-
- titre
- Soufflez, c’est dépisté : systèmes multi-capteurs pour le diagnostic et le suivi personnalisé de patients par analyse d’haleine
- auteur
- Caroline Duc, Paul Le Maout, Nathalie Redon, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Jean-Luc Wojkiewicz
- article
- Colloque IMT Healthcare 4.0, IMT, Oct 2019, Saint-Etienne, France
- Accès au bibtex
-
- titre
- HyMAD: a Hybrid Memory-Aware DVFS strategy
- auteur
- Camélia Slimani, Stéphane Rubini, Jalil Boukhobza
- article
- EWiLi, the Embedded Operating Systems workshop, Oct 2019, Turin, Italy
- Accès au bibtex
-
- titre
- Partially Bounded Context-Aware Verification
- auteur
- Luka Le Roux, Ciprian Teodorov
- article
- 17th International Conference on Software Engineering and Formal Methods, SEFM 2019, Sep 2019, Oslo, Norway. pp.532-548, ⟨10.1007/978-3-030-30446-1_28⟩
- Accès au texte intégral et bibtex
-
- titre
- A Model Checkable UML Soccer Player
- auteur
- Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
- article
- 3rd Workshop on Model-Driven Engineering Tools, Sep 2019, Munich, Germany
- Accès au bibtex
-
- titre
- A Model-Driven Approach to Automate Tuning of Continuous Controller Parameters
- auteur
- Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
- article
- 2019 ACM/IEEE 22nd International Conference on Model Driven Engineering Languages and Systems Companion (MODELS-C), Sep 2019, Munich, France. pp.568-576, ⟨10.1109/MODELS-C.2019.00087⟩
- Accès au bibtex
-
- titre
- On the performance evaluation of LoRaWAN under Jamming
- auteur
- Ivan Marino Martinez Bolivar, Fabienne Nouvel, Tanguy Philippe
- article
- 2019 12th Wireless and Mobile Networking Conference (WMNC), Sep 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- MicroLET: A new SDNoC-based communication protocol for chipLET-based systems
- auteur
- Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Sotirios Kontogiannis, Jean-Michel Dricot, Guy Gogniat
- article
- 22nd Euromicro Conference on Digital System Design (DSD), Aug 2019, Kallithea, Greece. ⟨10.1109/DSD.2019.00019⟩
- Accès au texte intégral et bibtex
-
- titre
- Parametric exploration for tuning a sailboat control law
- auteur
- Goulven Guillou, Emilien Lavigne, Jean-Philippe Babau
- article
- 14th National Conference on Software and Hardware Architectures for Robots Control (SHARC'19), Jul 2019, Montpellier, France
- Accès au bibtex
-
- titre
- Energy-Efficient Reconfigurable Accelerators for Ultra-low Power Processing
- auteur
- Philippe Coussy
- article
- International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2019, Lille, France
- Accès au bibtex
-
- titre
- Cuckoo: Opportunistic MapReduce on Ephemeral and Heterogeneous Cloud Resources
- auteur
- Jean-Emile Dartois, Heverson B. Ribeiro, Jalil Boukhobza, Olivier Barais
- article
- CLOUD 2019 - IEEE 12th International Conference on Cloud Computing, Jul 2019, Milan, Italy. pp.1-8, ⟨10.1109/CLOUD.2019.00070⟩
- Accès au texte intégral et bibtex
-
- titre
- La pédagogie par l'exemple : une stratégie pour lutter contre les stéréotypes de genre? Le cas de la filière informatique.
- auteur
- Vincent Ribaud, Cécile Plaud
- article
- AREF, Jul 2019, BORDEAUX, France
- Accès au texte intégral et bibtex
-
- titre
- A New Leader Election Algorithm based on the WBS Algorithm Dedicated to Smart-cities
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Loïc Lagadec, Reinhardt Euler, Madani Bezoui
- article
- 3rd International Conference on Future Networks and Distributed Systems (ICFNDS '19), Jul 2019, Paris, France. pp.1-5, ⟨10.1145/3341325.3342014⟩
- Accès au texte intégral et bibtex
-
- titre
- QoS driven dynamic partial reconfiguration: Tracking case study
- auteur
- Julien Mazuet, Ill-Ham Atchadam, Dominique Heller, Catherine Dezan, Michel Narozny, Jean-Philippe Diguet
- article
- 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2019), Jul 2019, York, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- To Embrace Career Decision Making in STEM Education
- auteur
- Nathalie Chelin, Greta Matthiasdottir, Yann Serreau, Luis Tudela, Siegfried Rouvrais, Katie Jordan
- article
- 11th International Conference on Education and New Learning Technologies, Jul 2019, Palma de Mallorca, Spain. pp.3058 - 3066, ⟨10.21125/edulearn.2019.0827⟩
- Accès au texte intégral et bibtex
-
- titre
- Temperature-based models of batteries for the simulation of Wireless Sensor Networks
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Reinhardt Euler, Loïc Lagadec, Abdelkader Laouid
- article
- 3rd International Conferenceon on Future Networks and Distributed Systems, Jul 2019, Paris, France. pp.1-6, ⟨10.1145/3341325.3342013⟩
- Accès au texte intégral et bibtex
-
- titre
- A Case Study of Primary User Arrival Prediction Using the Energy Detector and the Hidden Markov Model in Cognitive Radio Networks
- auteur
- Guilherme Santana, Rogers Cristo, Jean-Philippe Diguet, Catherine Dezan, Diana Osorio, Kalinka R L J Castelo Branco
- article
- WoCCES 2019, Jun 2019, Barcelone, Spain
- Accès au bibtex
-
- titre
- Comparaison d'algorithmes de réduction modulaire en HLS sur FPGA
- auteur
- Libey Djath, Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- Compas: Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France
- Accès au texte intégral et bibtex
-
- titre
- Towards accurate camera-less eye tracker using instrumented contact lens
- auteur
- Loïc Massin, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Jean-Louis de Bougrenet
- article
- 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS), Jun 2019, Munich, France. pp.1-4, ⟨10.1109/NEWCAS44328.2019.8961304⟩
- Accès au bibtex
-
- titre
- EMI : Un Interpréteur de Modèles Embarqué pour l’Exécution et la Vérification de Modèles UML
- auteur
- Valentin Besnard, Matthias Brun, Philippe Dhaussy, Frédéric Jouault, Ciprian Teodorov
- article
- 18 ème journées sur les Approches Formelles dans l’Assistance au Développement de Logiciels, Jun 2019, Toulouse, France. pp.101-104
- Accès au bibtex
-
- titre
- Sherlock Holmes of Cache Side-Channel Attacks in Intel's x86 Architecture
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Usman Ali, Vianney Lapotre, Guy Gogniat
- article
- IEEE-Communications and Network Security, Jun 2019, Washington DC, United States
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Approach in RNS Base Extension for Asymmetric Cryptography
- auteur
- Libey Djath, Karim Bigou, Arnaud Tisserand
- article
- ARITH: 2019 IEEE 26th Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan
- Accès au texte intégral et bibtex
-
- titre
- Enseigner la programmation Scratch en amatrice
- auteur
- Cassandra Balland, Salomé Brousseau, Morgane Coat, Laurie-May Constantin, Layla Corain, Tinaïg Daniel, Meryem El Bouti, Louise Hergoualc'H, Ikhlass Karchaoui, Gwendoline Kervot, Audrey Lidec, Alix Machard, Océane Pierry, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo, Cécile Plaud, Vincent Ribaud
- article
- EIAH 2019 - Apprentissage de la pensée informatique de la maternelle à l’Université : retours d’expériences et passage à l’échelle, Jun 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- A Model-Driven Framework for WPS Development
- auteur
- Jean-Philippe Babau
- article
- Computational Methods in Water Resources (CMWR), Jun 2019, Saint-Malo, France
- Accès au bibtex
-
- titre
- Early Validation Framework for Critical and Complex Process-Centric Systems
- auteur
- Fahad Rafique Golra, Joël Champeau, Ciprian Teodorov
- article
- 20th International Conference (BPMDS 2019) and 24th International Conference (EMMSAD 2019), Jun 2019, Rome, Italy. pp.35-50, ⟨10.1007/978-3-030-20618-5_3⟩
- Accès au texte intégral et bibtex
-
- titre
- Combined security and schedulability analysis for MILS real-time critical architectures
- auteur
- Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Nora Bouzid, Laurent Lemarchand
- article
- 4th international workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS), Jun 2019, Stuttgart, Germany
- Accès au bibtex
-
- titre
- ECTM: A New Communication Model to Network-On-Chip Schedulability Analysis
- auteur
- Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
- article
- 24th International Conference on Reliable Software Technologies – Ada-Europe 2019, Jun 2019, Varsow, Poland
- Accès au bibtex
-
- titre
- SOLVING MEMORY ACCESS CONFLICTS IN LTE-4G STANDARD
- auteur
- Cyrille Chavet, F Lozachmeur, T Barguil, A S Hussein, P Coussy
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Multi-Objective optimization: Comparison of methods for the p-median problem
- auteur
- Pascal Rebreyend, Laurent Lemarchand, Damien Massé
- article
- 20TH ANNUAL WORKSHOP ON METAHEURISTICS, May 2019, Oslo, Norway
- Accès au bibtex
-
- titre
- Evaluation of Variable Bit-Width Units in a RISC-V Processor for Approximate Computing
- auteur
- Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
- article
- CF'19: Proceedings of the 16th ACM International Conference on Computing Frontiers, Apr 2019, Alghero, Sardinia, Italy. ⟨10.1145/3310273.3323159⟩
- Accès au texte intégral et bibtex
-
- titre
- Scheduling analysis of AADL architecture models
- auteur
- Frank Singhoff, Pierre Dissaux
- article
- Full day Tutorial présented at the CPS-WEEK conferences, Apr 2019, Montréal, Canada
- Accès au bibtex
-
- titre
- Generation of a Reconfigurable Probabilistic Decision-Making Engine based on Decision Networks: UAV Case Study
- auteur
- Sara Zermani, Catherine Dezan
- article
- Workshop on Autonomous Systems Design (ASD/DATE), Mar 2019, Florence, Italy
- Accès au bibtex
-
- titre
- Context-memory Aware Mapping for Energy Efficient Acceleration with CGRAs
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Design, Automation and Test in Europe Conference (DATE), Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Transient Key-based Obfuscation for HLS in an Untrusted Cloud Environment
- auteur
- Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
- article
- 2019 Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- LiteX: an open-source SoC builder and library based on Migen Python DSL
- auteur
- Florent Kermarrec, Sébastien Bourdeauducq, Hannah Badier, Jean-Christophe Le Lann
- article
- OSDA 2019, colocated with DATE 2019 Design Automation and Test in Europe, Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- An energy aware autopilot for sailboats
- auteur
- Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, Jean-Philippe Diguet
- article
- The 23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
- Accès au bibtex
-
- titre
- GAUT: an open-source HLS tool
- auteur
- Philippe Coussy
- article
- Free Silicon Conference (FSiC), Mar 2019, Paris, France
- Accès au bibtex
-
- titre
- Cobots, robots fixes, robots mobiles, comment les intégrer ?
- auteur
- Nathalie Julien
- article
- Salon Global Industrie, Mar 2019, Lyon, France
- Accès au bibtex
-
- titre
- Architectures for Security: A comparative analysis of hardware security features in Intel SGX and ARM TrustZone
- auteur
- Muhammad Asim Mukhtar, Muhammad Khurram Bhatti, Guy Gogniat
- article
- C-CODE 2019 - 2nd International Conference on Communication, Computing and Digital systems, Mar 2019, Islamabad, Pakistan. pp.299-304, ⟨10.1109/C-CODE.2019.8680982⟩
- Accès au bibtex
-
- titre
- Sailboat Routing with Multiple Objectives for Sailing Races
- auteur
- Goulven Guillou, Laurent Lemarchand, Jean-Philippe Babau
- article
- 23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
- Accès au bibtex
-
- titre
- Model Federation based on Role Modeling
- auteur
- Bastien Drouot, Joël Champeau
- article
- MODELSWARD 2019 - 7th International Conference on Model-Driven Engineering and Software Development 2019, Feb 2019, Prague, Czech Republic. pp.74-85
- Accès au bibtex
-
- titre
- A Role Modeling Based Approach for Cyber Threat Analysis
- auteur
- Bastien Drouot, Fahad R. Golra, Joël Champeau
- article
- MODELSWARD 2019 - 7th International Conference on Model-Driven Engineering and Software Development, Feb 2019, Prague, Czech Republic. pp.76-100, ⟨10.1007/978-3-030-37873-8_4⟩
- Accès au bibtex
-
- titre
- A hardware/software co-design approach for security analysis of application behavior
- auteur
- Vianney Lapotre
- article
- Journée "Nouvelles Avancées en Sécurité des Systèmes d'Information, INSA de Toulouse; LAAS-CNRS, Jan 2019, Toulouse, France
- Accès au bibtex
-
- titre
- Engineering projects "Savanturiers de l'ingénierie
- auteur
- Vincent Ribaud, Cyrielle Feron, Vincent Leilde, Ashwarya Arora, Sarah Le Bihan
- article
- HICSS-52 Invited Track: Software Engineering Education and Training, Jan 2019, Wailea (Hawaï), United States
- Accès au texte intégral et bibtex
-
- titre
- Hacia una nueva plantilla para la especificación de requisitos en lenguaje natural semi-estructurado
- auteur
- Raúl Mazo, Carlos Jaramillo
- article
- Requirements Engineering Track (RET) of CIbSE, 2019, Habana, Cuba
- Accès au texte intégral et bibtex
-
- titre
- The High-Level Variability Language: An Ontological Approach
- auteur
- Angela Villota, Raúl Mazo, Camille Salinesi
- article
- International Systems and Software Product Line Conference-Volume B, 2019, Paris, France. ⟨10.1145/3307630.3342401⟩
- Accès au texte intégral et bibtex
-
- titre
- Verifying and Monitoring UML Models with Observer Automata: A Transformation-Free Approach
- auteur
- Valentin Besnard, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Philippe Dhaussy
- article
- 22nd ACM/IEEE International Conference on Model Driven Engineering Languages and Systems, MODELS 2019, 2019, Munich, Germany. pp.161-171, ⟨10.1109/MODELS.2019.000-5⟩
- Accès au texte intégral et bibtex
-
Book sections
- titre
- Interdisciplinarity and VUCA
- auteur
- Roger Waldeck, Sophie Gaultier Le Bris, Siegfried Rouvrais
- article
- Roger Waldeck. Methods and Interdisciplinarity, 1, ISTE / WILEY, pp.99-115, 2019, Modeling methodologies in social sciences set, 9781786304889. ⟨10.1002/9781119681519.ch5⟩
- Accès au bibtex
-
- titre
- Learning methodology for VUCA situations
- auteur
- Sophie Gaultier Le Bris, Siegfried Rouvrais, Roger Waldeck
- article
- Roger Waldeck. Methods and Interdisciplinarity, 1, ISTE / WILEY, pp.117-144, 2019, Modeling Methodologies in Social Sciences Set, 9781786304889. ⟨10.1002/9781119681519.ch6⟩
- Accès au bibtex
-
- titre
- DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
- article
- Renault É., Boumerdassi S., Bouzefrane S. (eds). Mobile, Secure, and Programmable Networking. MSPN 2018, 11005, Springer, Cham, pp.42-53, 2019, Lecture Notes in Computer Science, 978-3-030-03100-8. ⟨10.1007/978-3-030-03101-5_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Interdisciplinarité et VUCA
- auteur
- Roger Waldeck, Sophie Le Bris, Siegfried Rouvrais
- article
- Roger WALDECK. Méthodes et interdisciplinarité, 1, ISTE Editions, pp.91 - 105, 2019, Méthodologies de modélisation en sciences sociales, 9781784055813
- Accès au texte intégral et bibtex
-
- titre
- Méthodologie d'apprentissage en situation VUCA
- auteur
- Sophie Le Bris, Siegfried Rouvrais, Roger Waldeck
- article
- Méthodes et interdisciplinarité, 1, ISTE Editions, pp.107 - 133, 2019, Méthodologies de modélisation en sciences sociales, 9781784055813
- Accès au texte intégral et bibtex
-
Lectures
- titre
- On-chip memories: architecture and organisation
- auteur
- Kevin Martin
- article
- Doctorat. France. 2019
- Accès au bibtex
-
Other publications
- titre
- Introduction to Computer Arithmetic for Efficient Hardware Implementations
- auteur
- Arnaud Tisserand
- article
- 2019, http://iramis.cea.fr/spec/Phocea/Vie_des_labos/Seminaires/index.php?type=10&y=2019
- Accès au bibtex
-
- titre
- Logiciel libre : des principes à la pratique
- auteur
- Arnaud Tisserand
- article
- 2019
- Accès au bibtex
-
- titre
- Cybersécurité et puces électroniques
- auteur
- Arnaud Tisserand
- article
- 2019
- Accès au bibtex
-
- titre
- Prise en compte de la contrainte de mémoire de programme dans un flot de compilation pour CGRA
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy
- article
- 2019
- Accès au bibtex
-
- titre
- Compiling for notifying memories: issues and challenges
- auteur
- Kevin Martin
- article
- 2019
- Accès au bibtex
-
Books
- titre
- Logiciel et Industrie du Futur
- auteur
- Jean-Christophe Bach, Antoine Beugnard, Hugo Bruneliere, Hélène Coullon, Fabien Lehuédé, Guillaume Massonnet, Jacques Noyé, Gilles Simonin
- article
- Hélène Coullon. Presse des Mines, pp.62, 2019, Mathématiques et Informatique, 9782356715760
- Accès au texte intégral et bibtex
-
Patents
- titre
- Optical system for detecting and tracking eye movements, associated external frame and associated connected contact lens
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Francesco Ferranti
- article
- France, N° de brevet: WO2019193028. DI-TBN-17-006. 2019
- Accès au bibtex
-
Proceedings
- titre
- SPLC '19: Proceedings of the 23rd International Systems and Software Product Line Conference - Volume A
- auteur
- Thorsten Berger, Philippe Collet, Laurence Duchien, Thomas Fogdal, Patrick Heymans, Timo Kehrer, Jabier Martinez, Raúl Mazo, Leticia Montalvillo, Camille Salinesi, Xhevahire Tërnava, Thomas Thum, Tewfik Ziadi
- article
- 2019
- Accès au bibtex
-
Reports
- titre
- Innovative D-Skills Training Models for Higher and Vocational Education & Training Students
- auteur
- Siegfried Rouvrais, Manhal Alnasser, Katie Jordan, Matthew Stewart, Sophie Gaultier Lebris, Axel Joder, Maria Agredano, Maria del Mar Socias Camacho, Lluis Tudela, Peggy Bardon, Nathalie Chelin, Anthony Diaz, François Gallée, Sarah Ghaffari, Gilles Jacovetti, Armelle Lannuzel, Nathalie Marschal, Hervé Retif, Stéphanie Serrec, Mathieu Simonnet, Stéphanie Tillement, Roger Waldeck, Liliane Esnault, Haraldur Auðunsson, Sven Breitenbuecher, Þórður Víki Gur Friðgeirsson, Helgi Thor Ingason, Bara Hlin Kristjansdottir, Grét Matthíasdóttir, Hildur Katrin Rafnsdottir, Sheila Dunn
- article
- [Research Report] Output 2, IMT ATLANTIQUE; Lab-STICC. 2019
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- ConfiLog: An approach to Guide Product Line Configuration Processes based on Configuration Traces
- auteur
- Houssem Chemingui, Ines Gam, Raul Mazo, Camille Salinesi, Henda Ben Ghézala
- article
- 2019
- Accès au texte intégral et bibtex
-
2018
Journal articles
- titre
- Context/Resource-Aware Mission Planning Based on BNs and Concurrent MDPs for Autonomous UAVs
- auteur
- Chabha Hireche, Catherine Dezan, Stéphane Mocanu, Dominique Heller, Jean-Philippe Diguet
- article
- Sensors, 2018, 18 (4266), pp.1-28. ⟨10.3390/s18124266⟩
- Accès au texte intégral et bibtex
-
- titre
- A Real-Time System Monitoring driven by Scheduling Analysis
- auteur
- Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, José Rufino
- article
- Ada User Journal, 2018, 39 (4), pp.282--286
- Accès au bibtex
-
- titre
- NORTH: Non-intrusive Observation and RunTime verification of cyber-pHysical systems.
- auteur
- José Rufino, António Casimiro, Antónia Lopes, Frank Singhoff, Stéphane Rubini, Valérie-Anne Nicolas, Mounir Lallali, Mourad Dridi, Jalil Boukhobza, Lyes Allache
- article
- Ada User Journal, 2018
- Accès au bibtex
-
- titre
- Computation of 2D 8x8 DCT Based on the Loeffler Factorization Using Algebraic Integer Encoding
- auteur
- Diego F. G. Coelho, Sushmabhargavi Nimmalapalli, Vassil Dimitrov, Arjuna Madanayake, Renato J. Cintra, Arnaud Tisserand
- article
- IEEE Transactions on Computers, In press, 67 (12), pp.1692-1702. ⟨10.1109/TC.2018.2837755⟩
- Accès au texte intégral et bibtex
-
- titre
- Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
- auteur
- Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2018
- Accès au texte intégral et bibtex
-
- titre
- Towards Dynamically Reconfigurable SoCs (DRSoCs) in industrial automation: State of the art, challenges and opportunities
- auteur
- Gilberto Ochoa-Ruiz, Lina Maria Aguilar-Lobo, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2018, 62, pp.20-40. ⟨10.1016/j.micpro.2018.07.002⟩
- Accès au bibtex
-
- titre
- Multiobjective Optimization for Multimode Transportation Problems
- auteur
- Laurent Lemarchand, Damien Massé, Pascal Rebreyend, Johan Håkansson
- article
- Advances in Operations Research, 2018, 2018, pp.1 - 13. ⟨10.1155/2018/8720643⟩
- Accès au bibtex
-
- titre
- A design pattern-based approach for automatic choice of semi-partitioned and global scheduling algorithms
- auteur
- Magdich Amina, Yessine Hadj Kacem, Mickaël Kerboeuf, Adel Mahfoudhi, Mohamed Abid
- article
- Information and Software Technology, 2018, Volume 97, pp.83-98
- Accès au bibtex
-
- titre
- Joint DVFS and Parallelism for Energy Efficient and Low Latency Software Video Decoding
- auteur
- Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
- article
- IEEE Transactions on Parallel and Distributed Systems, 2018, 29 (4), pp.858-872. ⟨10.1109/TPDS.2017.2779812⟩
- Accès au bibtex
-
- titre
- Bandwidth Allocation for Sharing Video in a Closed Domestic Network
- auteur
- Laurent Lemarchand, Jean-Philippe Babau
- article
- Journal of Communications and Networks, 2018, 20 (2), pp.168--181
- Accès au bibtex
-
- titre
- Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
- Accès au bibtex
-
- titre
- A modeling front-end for seamless design and generation of context-aware Dynamically Reconfigurable Systems-on-Chip
- auteur
- Gilberto Ochoa-Ruiz, Pamela Wattebled, Maamar Touiza, Florent de Lamotte, El-Bay Bourennane, Samy Meftali, Jean-Luc Dekeyser, Jean-Philippe Diguet
- article
- Journal of Parallel and Distributed Computing, 2018, 112, pp.1-19. ⟨10.1016/j.jpdc.2017.09.011⟩
- Accès au bibtex
-
- titre
- Emerging NVM: A Survey on Architectural Integration and Research Challenges
- auteur
- Jalil Boukhobza, Stéphane Rubini, Renhai Chen, Zili Shao
- article
- ACM Transactions on Design Automation of Electronic Systems, 2018, 23 (2), pp.1 - 32. ⟨10.1145/3131848⟩
- Accès au bibtex
-
- titre
- DTFM: a Flexible Model for Schedulability Analysis of Real-Time Applications on NoC-based Architectures
- auteur
- Mourad Dridi, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
- article
- ACM SIGBED Review, 2018, 14 (4), pp.53--59. ⟨10.1145/3177803.3177812⟩
- Accès au bibtex
-
- titre
- Bi-Objective Cost Function for Adaptive Routing in Network-on-Chip
- auteur
- Asma Benmessaoud Gabis, Pierre Bomel, Marc Sevaux
- article
- IEEE Transactions on Multi-Scale Computing Systems, 2018, 4 (2), pp.177-187. ⟨10.1109/TMSCS.2018.2810223⟩
- Accès au bibtex
-
- titre
- Multi-Objective Design Exploration Approach for Ravenscar Real-time Systems.
- auteur
- Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Béchir Zalila, Mohamed Jmaiel
- article
- Real-Time Systems, 2018, 54 (2), pp 424-483. ⟨10.1007/s11241-018-9299-6⟩
- Accès au bibtex
-
- titre
- Verification of Scheduling Properties Based on Execution Traces
- auteur
- Valérie-Anne Nicolas, Mounir Lallali, Stéphane Rubini, Frank Singhoff
- article
- Ada User Journal, 2018
- Accès au bibtex
-
- titre
- A feature-oriented model-driven engineering approach for the early validation of feature-based applications
- auteur
- Glenn Cavarlé, Alain Plantec, Steven Costiou, Vincent Ribaud
- article
- Science of Computer Programming, 2018, 161, pp.18 - 33. ⟨10.1016/j.scico.2018.01.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Distributed algorithm to fight the state explosion problem
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- INDERSCIENCE International Journal of Internet Technology and Secured Transactions., 2018, 8 (3), pp.398 - 411. ⟨10.1504/IJITST.2018.10014833⟩
- Accès au bibtex
-
Conference papers
- titre
- A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
- article
- AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
- Accès au texte intégral et bibtex
-
- titre
- L'usine du futur, un défi pour tous
- auteur
- Nathalie Julien
- article
- Echange avec Université Québec Trois Rivières, Dec 2018, Trois Rivières, Canada
- Accès au bibtex
-
- titre
- Using Quantile Regression for Reclaiming Unused Cloud Resources while achieving SLA
- auteur
- Jean-Emile Dartois, Anas Knefati, Jalil Boukhobza, Olivier Barais
- article
- CloudCom 2018 - 10th IEEE International Conference on Cloud Computing Technology and Science, Dec 2018, Nicosia, Cyprus. pp.89-98, ⟨10.1109/CloudCom2018.2018.00030⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning For Security: The Case of Side-Channel Attack Detection at Run-time
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Muneeb Yousaf, Umer Farooq, Vianney Lapotre, Guy Gogniat
- article
- ICECS-2018, Dec 2018, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- A functionalized carbon nanotube based electronic nose for the detection of nerve agents
- auteur
- P.S. Laquintinie, A. Sachan, J.F. Feller, Cyril Lahuec, M. Castro, Fabrice Seguin, Laurent Dupont
- article
- ICECS 2018: 25th IEEE International Conference on Electronics, Circuits and Systems, Dec 2018, Bordeaux, France. pp.705-708, ⟨10.1109/ICECS.2018.8617932⟩
- Accès au bibtex
-
- titre
- A small and adaptive coprocessor for information flow tracking in ARM SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
- article
- ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
- Accès au texte intégral et bibtex
-
- titre
- Incremental Learning of Simple Ranking Method Using Reference Profiles Models
- auteur
- Arwa Khannoussi, Alexandru-Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Christophe Labreuche, Jacques Petit-Frère, Patrick Meyer
- article
- DA2PL'2018: from Multiple Criteria Decision Aid to Preference Learning, Nov 2018, Poznan, Poland
- Accès au bibtex
-
- titre
- Protection of Arithmetic Circuits against Physical Attacks
- auteur
- Arnaud Tisserand
- article
- 30 ans du LIP, Nov 2018, Lyon, France. https://30anslip.sciencesconf.org/
- Accès au bibtex
-
- titre
- Introduction to Physical Attacks
- auteur
- Arnaud Tisserand
- article
- Journée Captronic: La sécurité de l’objet connecté, Oct 2018, Nantes, France
- Accès au bibtex
-
- titre
- A Problem-Oriented Approach to Critical System Design and Diagnosis Support
- auteur
- Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
- article
- 1st International Workshop on Modeling, Verification and Testing of Dependable Critical Systems (DETECT 2018), Oct 2018, Marrakesh, Morocco
- Accès au texte intégral et bibtex
-
- titre
- Domain-oriented Verification Management
- auteur
- Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
- article
- 8th International Conference on Model and Data Engineering (MEDI 2018), Oct 2018, Marrakesh, Morocco. pp.30-39
- Accès au texte intégral et bibtex
-
- titre
- Run-time Detection of Prime+Probe Side-Channel Attack on AES Encryption Algorithm
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Naveed Bin Raees Rao, Vianney Lapotre, Guy Gogniat
- article
- Global Information Infrastructure and Networking Symposium (GIIS), Oct 2018, Thessaloniki, Greece
- Accès au texte intégral et bibtex
-
- titre
- Assisting the Configuration of Intelligent Safety Gateway
- auteur
- Thomas Toublanc, Romain Bevan, Florent de Lamotte, Pascal Berruet
- article
- IECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society, Oct 2018, Washington, United States. pp.5875-5880
- Accès au bibtex
-
- titre
- Unified LTL Verification and Embedded Execution of UML Models
- auteur
- Valentin Besnard, Matthias Brun, Frédéric Jouault, Ciprian Teodorov, Philippe Dhaussy
- article
- 21st International Conference on Model Driven Engineering Languages and Systems (MODELS), Oct 2018, Copenhague, Denmark
- Accès au bibtex
-
- titre
- Robotic system testing with AMSA framework
- auteur
- Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
- article
- International Conference on Model Driven Engineering Languages and Systems (MODELS 2018) Workshop MDETOOLS, Oct 2018, Copenhague, Denmark
- Accès au bibtex
-
- titre
- Recrutement et auto-organisation : Vers un modèle multi-agent complet d’une colonie d’abeilles
- auteur
- Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
- article
- 26èmes Journées Francophones sur les Systèmes Multi-Agents (JFSMA'2018), Oct 2018, Métabief, France
- Accès au texte intégral et bibtex
-
- titre
- Reward Function Evaluation in a Reinforcement Learning Approach for Energy Management
- auteur
- Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
- article
- 2018 16th Biennial Baltic Electronics Conference (BEC), Oct 2018, Tallinn, Estonia. pp.1-4
- Accès au texte intégral et bibtex
-
- titre
- Incremental preference elicitation for SRMP models: Application for autonomous drones
- auteur
- Arwa Khannoussi, Alexandru Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Patrick Meyer
- article
- 88th Meeting of the EURO Working Group Multiple Criteria Decision Aiding, Sep 2018, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Back-in-time inspectors: an implementation with Collectors
- auteur
- Steven Costiou, Clotilde Toullec, Mickael Kerboeuf, Alain Plantec
- article
- International Workshop on Smalltalk Technologies, Sep 2018, Cagliari, Italy
- Accès au texte intégral et bibtex
-
- titre
- A Cost Model for Hybrid Storage Systems in a Cloud Federations
- auteur
- Amina Chikhaoui, Kamel Boukhalfa, Jalil Boukhobza
- article
- 2018 Federated Conference on Computer Science and Information Systems, Sep 2018, Poznan, Poland. ⟨10.15439/2018F237⟩
- Accès au bibtex
-
- titre
- Broadcast- and Power-Aware Wireless NoC for Barrier Synchronization in Parallel Computing
- auteur
- Hemanta Kumar Mondal, Rodrigo Cataldo, Cesar Augusto Missio Marcon, Kevin Martin, Sujay Deb, Jean-Philippe Diguet
- article
- 2018 31st IEEE International System-on-Chip Conference (SOCC), Sep 2018, Arlington, United States. pp.1-6
- Accès au texte intégral et bibtex
-
- titre
- MONTRES-NVM: An External Sorting Algorithm for Hybrid Memory
- auteur
- Mohammed Bey Ahmed Khernache, Arezki Laga, Jalil Boukhobza
- article
- 2018 IEEE 7th Non-Volatile Memory Systems and Applications Symposium (NVMSA), Aug 2018, Hakodate, France. pp.49-54
- Accès au bibtex
-
- titre
- When IT female students become teachers: lessons from a French project "Girls who code" as a learning by doing example
- auteur
- Cécile Plaud, Vincent Ribaud
- article
- 10th European Conference on Gender Equality in Higher Education, Aug 2018, Dublin, Ireland
- Accès au texte intégral et bibtex
-
- titre
- Microcontroller Implementation of Simultaneous Protections Against Observation and Perturbation Attacks for ECC
- auteur
- Audrey Lucas, Arnaud Tisserand
- article
- SECRYPT: 15th International Conference on Security and Cryptography, Jul 2018, Porto, Portugal. pp.1-8, ⟨10.5220/0006884605700577⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Approximate Operators Case Study: Sobel Filter Application Executed on an Approximate RISC-V Platform
- auteur
- Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
- article
- SAMOS: 18th International Conference on Embedded Computer Systems: Architectures, Modeling and Simlation, Jul 2018, Pythagorion, Greece. pp.146-149
- Accès au texte intégral et bibtex
-
- titre
- Ingénierie des projets Savanturiers de l'ingénierie
- auteur
- Vincent Ribaud, Chabha Hireche, Arwa Khannoussi, Vincent Leilde, Cécile Plaud, Cyrielle Feron, Liz Kouassi, Awatef Mraihi, Constance Rio
- article
- Colloque Education par la recherche, Jul 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- An Integrated Toolchain for Overlay-centric System-on-chip
- auteur
- Jean-Christophe Le Lann, Théotime Bollengier, Mohamad Najem, Loïc Lagadec
- article
- 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip 2018 (ReCoSoC 2018), Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449388⟩
- Accès au bibtex
-
- titre
- Embedded UML Model Execution to Bridge the Gap Between Design and Runtime
- auteur
- Valentin Besnard, Matthias Brun, Frédéric Jouault, Ciprian Teodorov, Philippe Dhaussy
- article
- MDE@DeRun 2018 : First International Workshop on Model-Driven Engineering for Design-Runtime Interaction in Complex Systems, Jun 2018, Toulouse, France
- Accès au bibtex
-
- titre
- ENGINEERING STUDENTS READY FOR A VUCA WORLD? A DESIGN BASED RESEARCH ON DECISIONSHIP
- auteur
- Siegfried Rouvrais, Sophie Gaultier Lebris, Matthew Stewart
- article
- 14th International CDIO Conference,, Jun 2018, Kanazawa, Japan
- Accès au texte intégral et bibtex
-
- titre
- Quand des étudiantes deviennent des ambassadrices du numérique
- auteur
- Cécile Plaud, Vincent Ribaud
- article
- Valorisation des Initiatives Pédagogiques en Bretagne et Pays de Loire - VIP 2018, Jun 2018, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Detecting Gaps and Voids in WSNs and IoT Networks: the Minimum x-Coordinate based Method
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mahamadou Traore, Mounir Lallali
- article
- International Conference on Future Networks and Distr buted Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231090⟩
- Accès au texte intégral et bibtex
-
- titre
- Detecting Gaps and Voids in WSNs and IoT Networks: the Angle-based Method
- auteur
- Madani Bezoui, Ahcène Bounceur, Loïc Lagadec, Reinhardt Euler, Hammoudeh Mohammad, Abdelkader Laouid, Abdelkamel Tari
- article
- International Conference on Future Networks and Distributed Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231089⟩
- Accès au texte intégral et bibtex
-
- titre
- Model-Based Dependability Analysis of Unmanned Aerial Vehicles - A Case Study
- auteur
- Matheus Franco, Andre de Oliveira, Rosana Braga, Catherine Dezan, Jean-Philippe Diguet, Kalinka R L J Castelo Branco
- article
- 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (SSIV'18), Jun 2018, Luxembourg, Luxembourg
- Accès au bibtex
-
- titre
- Subutai: Distributed Synchronization Primitives in NoC Interfaces for Legacy Parallel-Applications
- auteur
- Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Johanna Sepúlveda, Altamiro Susin, Cesar Marcon, Jean-Philippe Diguet
- article
- the 55th Annual Design Automation Conference, Jun 2018, San Francisco, United States. pp.83:1--83:6, ⟨10.1145/3195970.3196124⟩
- Accès au texte intégral et bibtex
-
- titre
- Scheduling analysis of AADL architecture models
- auteur
- Frank Singhoff, Pierre Dissaux
- article
- Full day tutorial présented at the 23rd International Conference on Reliable Software Technologies - Ada-Europe, Jun 2018, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Toward a Complete Agent-Based Model of a Honeybee Colony
- auteur
- Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
- article
- Highlights of Practical Applications of Agents, Multi-Agent Systems, and Complexity: The PAAMS Collection, Jun 2018, Toledo, Spain. ⟨10.1007/978-3-319-94779-2_42⟩
- Accès au texte intégral et bibtex
-
- titre
- DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
- article
- 4th International Conference on Mobile, Secure and Programmable Networking (MSPN 2018), Jun 2018, Paris, France. pp.42-53
- Accès au texte intégral et bibtex
-
- titre
- Cache-Based Side-Channel Intrusion Detection using Hardware Performance Counters
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- CryptArchi 2018 - 16th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- ROS code generation from AMSA framework for robotic systems testing
- auteur
- Hamza El Baccouri, Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
- article
- 13th National Conference on Software and Hardware Architectures for Robots Control (SHARC'18), Jun 2018, Saint Tropez, France
- Accès au bibtex
-
- titre
- Cognitive Radio for UAV communications: Opportunities and future challenges
- auteur
- Guilherme Santana, Rogers Cristo, Catherine Dezan, Jean-Philippe Diguet, Diana Osorio, Kalinka R L J Castelo Branco
- article
- International Conference on Unmanned Aircraft Systems (ICUAS'18), Jun 2018, Dallas, United States
- Accès au bibtex
-
- titre
- AVS, a model-based racing sailboat simulator: application to wind integration
- auteur
- Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
- article
- 3rd IFAC Conference on Embedded Systems, Computational Intelligence and Telematics in Control CESCIT 2018, Jun 2018, Faro, Portugal. pp.88-94, ⟨10.1016/j.ifacol.2018.06.242⟩
- Accès au bibtex
-
- titre
- NIGHTs-WATCH: A Cache-based Side-channel Intrusion Detector Using Hardware Performance Counters
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Vianney Lapotre, Guy Gogniat
- article
- 7th International Workshop on Hardware and Architectural Support for Security and Privacy, Jun 2018, Los Angeles, United States. ⟨10.1145/3214292.3214293⟩
- Accès au texte intégral et bibtex
-
- titre
- About Early Scheduling Verification Of Embedded Real-Time Critical Systems: An Example With AADL
- auteur
- Frank Singhoff, Stéphane Rubini, Hai Nam Tran, Jalil Boukhobza, Laurent Lemarchand, Pierre Dissaux, Valérie-Anne Nicolas, Alain Plantec, Jérôme Legrand, Mourad Dridi, Jean-Philippe Diguet
- article
- Invited speaker at SYRCoSE 2018 - 12th Spring/Summer Young Researchers’ Colloquium on Software Engineering, May 2018, Novgorod the Great, Russia
- Accès au bibtex
-
- titre
- QuaRTOS-DSE: A Tool for Design Space Exploration of Embedded Real-Time System
- auteur
- Briag Le Nabec, Belgacem Ben Hedia, Jean-Philippe Babau
- article
- 2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), May 2018, Singapore, France. pp.42-50, ⟨10.1109/ISORC.2018.00014⟩
- Accès au bibtex
-
- titre
- A Heterogeneous Cluster with Reconfigurable Accelerator for Energy Efficient Near-Sensor Data Analytics
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi
- article
- International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- 40 Gop/S/mm² Fixed-Point Operators for Brain Computer Interface in 65nm CMOS
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- 2018 IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy. ⟨10.1109/ISCAS.2018.8351028⟩
- Accès au texte intégral et bibtex
-
- titre
- A fully flexible circuit implementation of clique-based neural networks in 65-nm CMOS
- auteur
- Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- ISCAS 2018 : IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Firenze, Italy. ⟨10.1109/ISCAS.2018.8350954⟩
- Accès au bibtex
-
- titre
- Toward Efficient Many-core Scheduling of Partial Expansion Graphs
- auteur
- Hai Nam Tran, Shuvra S Bhattacharyya, Jean-Pierre Talpin, Thierry Gautier
- article
- SCOPES 2018 - 21st International Workshop on Software and Compilers for Embedded Systems, May 2018, Saint Goar, Germany. pp.1-4, ⟨10.1145/3207719.3207734⟩
- Accès au texte intégral et bibtex
-
- titre
- BFM: a Scalable and Resource-aware Method for Adaptive Mission Planning of UAVs
- auteur
- Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Luis Mejias
- article
- IEEE International Conference on Robotics and Automation (ICRA), May 2018, Brisbane, Australia
- Accès au bibtex
-
- titre
- An Extension to iFogSim to Enable the Design of Data Placement Strategies
- auteur
- Mohammed Islam Naas, Jalil Boukhobza, Philippe Raipin Parvedy, Laurent Lemarchand
- article
- 2018 IEEE 2nd International Conference on Fog and Edge Computing (ICFEC), May 2018, Washington DC, France. ⟨10.1109/CFEC.2018.8358724⟩
- Accès au bibtex
-
- titre
- A Graph Partitioning-based Heuristic for Runtime IoT Data Placement Strategies in a Fog infrastructure
- auteur
- Mohammed Islam Naas, Laurent Lemarchand, Jalil Boukhobza, Philippe Raipin
- article
- ACM Symposium on Applied Computing (SAC), Apr 2018, Pau, France
- Accès au bibtex
-
- titre
- Collectors
- auteur
- Steven Costiou, Mickael Kerboeuf, Alain Plantec, Marcus Denker
- article
- Programming Experience 2018 (PX'18), Apr 2018, Nice, France. pp.9, ⟨10.1145/3191697.3214335⟩
- Accès au texte intégral et bibtex
-
- titre
- Apprentissage par projets à l'école primaire avec les filles qui. . .
- auteur
- Cassandra Balland, Esther Bernard, Morgane Coat, Isabelle Fouqué, Louise Hergoualc 'H, Gwendoline Kervot, Liz Kouassi, Audrey Lidec, Alix Machard, Maela Mingant, Awatef Mraihi, Marine Nuzillat, Maëlla Perrot, Constance Rio, Caroline Rogard, Mélodie Saliou, Andréa Savi, Anaëlle Seithers, Maëlle Sinilo, Marie Traon, Maxime Vallemont, Virginie Abiven, Jessica Benedicto, Emilie Carosin, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Chabha Hireche, Arwa Khannoussi, Vincent Leilde, Nicolas Moal, Cécile Plaud, Fabienne Ricard, Catherine Stefanelli, Carolyn Stein, Yann Ty-Coz, Vincent Ribaud
- article
- RJC-EIAH 2018 - Atelier "Organisation et suivi des activités d’apprentissage de l’informatique : outils, modèles et expériences", Apr 2018, Besançon, France
- Accès au texte intégral et bibtex
-
- titre
- Non-Volatile Memories: A New Deal for Operating System Design?
- auteur
- Stéphane Rubini, Jalil Boukhobza
- article
- Workshop "Gestion des resources dans le Cloud", Apr 2018, Alger, Algeria
- Accès au bibtex
-
- titre
- IA mission planning for autonomous vehicles : probabilistic models and embedded versions
- auteur
- Catherine Dezan
- article
- IX Escola Regional de Informatica SP/Oeste, Mar 2018, San Carlos, Brazil
- Accès au bibtex
-
- titre
- Fast Evaluation of Homomorphic Encryption Schemes Based on Ring-LWE
- auteur
- Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
- article
- 2018 9th IFIP International Conference on New Technologies, Mobility and Security (NTMS), Feb 2018, Paris, France. ⟨10.1109/NTMS.2018.8328693⟩
- Accès au texte intégral et bibtex
-
- titre
- Une approche basée sur la programmation par contraintes pour résoudre le problème d'affectation de binômes
- auteur
- Kevin Martin, Alban Derrien
- article
- ROADEF, Feb 2018, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- Traceable decisions for autonomous unmanned aerial vehicles
- auteur
- Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet, Alexandru Liviu Olteanu
- article
- ROADEF 2018, Feb 2018, Lorient, France
- Accès au bibtex
-
- titre
- Eduquer en montrant l'exemple : les filles qui ...
- auteur
- Vincent Ribaud, Malou Alleno, Cassandra Balland, Esther Bernard, Néné Satorou Cissé, Morgane Coat, Tinaig Daniel, Isabelle Fouqué, Louise Hergoualc 'H A, Gwendoline Kervot, Liz Kouassi, Éloïse Le Floch, Enora Le Scanf, Mégane Lesne, Audrey Lidec, Alix Machard, Maela Mingant, Maëlla Perrot, Océane Pierry, Lisa Ribaud-Le Cann, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo, Sophie Tehet, Maxime Vallemont, Jessica Benedicto, Stéphanie Berthelot, Bénédicte Blineau, Emmanuelle Boguenet, Émilie Carosin, Pascale Cloastre, Françoise Conan, Valérie Dantec, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Claire François, Carine Guillerm, Chabha Hireche, Arwa Khannoussi, Gaëlle Laizet, Catherine Lecru, Hémerance Le Forestier, Laurence Le Gouëz, Éric Loubeau, Éric Quemener, Muriel Vidal
- article
- Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
- Accès au texte intégral et bibtex
-
- titre
- Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- PARMA-DITAM, Jan 2018, Manchester, United Kingdom. ⟨10.1145/3183767.3183780⟩
- Accès au texte intégral et bibtex
-
- titre
- CupCarbon-Lab: An IoT Emulator
- auteur
- Ahcène Bounceur, Olivier Marc Marc, Massinissa Lounis, Julien Soler, Laurent Clavier, Pierre Combeau, Rodolphe Vauzelle, Loïc Lagadec, Reinhardt Euler, Madani Bezoui, Pietro Manzoni
- article
- IEEE Consumer Communications & Networking Conference, Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319313⟩
- Accès au texte intégral et bibtex
-
- titre
- A new dominating tree routing algorithm for efficient leader election in IoT networks
- auteur
- Ahcène Bounceur, Madani Bezoui, Massinissa Lounis, Reinhardt Euler, Ciprian Teodorov
- article
- 15th IEEE Annual Consumer Communications & Networking Conference (CCNC), Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319292⟩
- Accès au texte intégral et bibtex
-
- titre
- Are engineering students ready for a VUCA world? A Design-based Research
- auteur
- Sophie Gaultier Le Bris, Siegfried Rouvrais, Matheus Stewart
- article
- Proceedings of the 14th International CDIO Conference, KIT, Kanazawa, 2018, Kanazawa, Japan
- Accès au bibtex
-
Book sections
- titre
- Using Parallel and Distributed Reachability in Model Checking
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- Ambient Communications and Computer Systems, pp.143-154, 2018, ⟨10.1007/978-981-10-7386-1_12⟩
- Accès au bibtex
-
Other publications
- titre
- Il faut repenser le rapport au travail
- auteur
- Nathalie Julien, Eric Martin
- article
- 2018
- Accès au bibtex
-
Books
- titre
- L'usine du futur - Stratégies et déploiement. Industrie 4.0, de l'IoT aux jumeaux numériques
- auteur
- Eric Martin, Nathalie Julien
- article
- 2018
- Accès au bibtex
-
Poster communications
- titre
- Planification de Mission de Drone: Implémentation Logicielle/Matérielle
- auteur
- Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Stéphane Mocanu
- article
- GDR SoC2, Jun 2018, Paris, France
- Accès au bibtex
-
- titre
- Subutai: Implantation de primitives de synchronisation au sein d'interfaces NoCs sans modification du code source
- auteur
- Rodrigo Cataldo, Kevin Martin, Jean-Philippe Diguet
- article
- Colloque du GdR SOC2, Jun 2018, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Eduquer en montrant l’exemple : les filles qui... - Poster Réfléchir
- auteur
- Vincent Ribaud
- article
- Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
- Accès au texte intégral et bibtex
-
Reports
- titre
- Prospective Report of the scientific council of the Information Sciences and their interactions Institute of CNRS
- auteur
- Christian Barillot, Inbar Fijalkow, Isabelle Queinnec, Fabrice Theoleyre, Hélène Touzet, Michel Beaudouin-Lafon, Marie-Paule Cani, François Chaumette, Gérald Conreur, Véronique Cortier, Cyril Gavoille, Guy Gogniat, Julien Gossa, Andreas Herzig, Jean Krivine, Philippe Lamarre, Françoise Lamnabhi-Lagarrigue, Anne-Catherine Letournel, Lionel Seinturier, Isabelle Tellier, Serge Torres, Charlotte Truchet, Alexandros Tsoukias, Michel Verleysen
- article
- [0] CNRS. 2018, pp.1-87
- Accès au texte intégral et bibtex
-
- titre
- Towards Reinforcing Decision Making Skills of Higher and Vocational Education and Training Students
- auteur
- Siegfried Rouvrais, Alnasser Manhal, Cook Sandra, Flannagan Bryan, Jordan Katie, Stewart Matthew, Taylor Philipp, Wiseman Claire, Lebris Sophie Gaultier, Martin Stuart, Joder Axel, Agredano Maria, Bono Lluc, Morro Antoni, Tudela Lluis, Chelin Nathalie, Sarah Ghaffari, Sébastien Houcke, Yvon Kermarrec, Marschal Nathalie, Mathieu Simonnet, Stéphanie Tillement, Roger Waldeck, Esnault Liliane, Auðunsson Haraldur, Breitenbuecher Sven, Gur Friðgeirsson Þórður Víki, Jonasson Haukur Ingi, Matthíasdóttir Grét, Dunn Sheila, George Lydia, O'Reilly Anthony, Mcshane Kevin
- article
- [Research Report] Output 1, IMT Atlantique; Lab-STICC. 2018
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Bayesian Networks for Safety/Security
- auteur
- Catherine Dezan
- article
- 2018
- Accès au bibtex
-
2017
Journal articles
- titre
- Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
- auteur
- Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
- article
- EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
- Accès au texte intégral et bibtex
-
- titre
- A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
- auteur
- Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy Savings in Networks-on-Chip with Smart Temporal Shielding
- auteur
- Erwan Moréac, Pierre Bomel, Johann Laurent, André Rossi
- article
- Journal of Low Power Electronics, 2017, Journal of Low Power Electronics, 13 (3), pp.441-455. ⟨10.1166/jolpe.2017.1500⟩
- Accès au bibtex
-
- titre
- Lub: A Pattern for Fine Grained Behavior Adaptation at Runtime
- auteur
- Steven Costiou, Mickael Kerboeuf, Glenn Cavarlé, Alain Plantec
- article
- Science of Computer Programming, 2017, ⟨10.1016/j.scico.2017.09.006⟩
- Accès au bibtex
-
- titre
- Plug and play your robot into your smart home: Illustration of a new framework
- auteur
- Sao Mai Nguyen, Christophe Lohr, Philippe Tanguy, Yiqiao Chen
- article
- KI - Künstliche Intelligenz, 2017, 31 (3), pp.283 - 289. ⟨10.1007/s13218-017-0494-8⟩
- Accès au texte intégral et bibtex
-
- titre
- A Scaling-Less Newton-Raphson Pipelined Implementation for a Fixed-Point Reciprocal Operator
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- IEEE Signal Processing Letters, 2017, 24 (6), pp.789 - 793. ⟨10.1109/LSP.2017.2694225⟩
- Accès au bibtex
-
- titre
- Embedded Context Aware Diagnosis for a UAV SoC platform
- auteur
- Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2017, 51, pp.185-197. ⟨10.1016/j.micpro.2017.04.013⟩
- Accès au bibtex
-
- titre
- The integration of expert-defined importance factors to enrich Bayesian Fault Tree Analysis
- auteur
- Molham Darwish, Shaban Almouahed, Florent de Lamotte
- article
- Reliability Engineering and System Safety, 2017, 162, pp.81 - 90. ⟨10.1016/j.ress.2017.01.007⟩
- Accès au bibtex
-
- titre
- Sensor Fault Detection and Signal Improvement using Prédictive Filter
- auteur
- Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
- article
- The transaction of the Royal Institution of Naval Architects, 2017, International Journal of Small Craft Technology, 159 (Part B1), pp.15. ⟨10.3940/rina.ijsct.2017.b1.186⟩
- Accès au bibtex
-
- titre
- Bit-Accurate Energy Estimation for Networks-on-Chip
- auteur
- Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
- article
- Journal of Systems Architecture, 2017, ⟨10.1016/j.sysarc.2017.05.002⟩
- Accès au bibtex
-
- titre
- Environment-driven reachability for timed systems
- auteur
- Ciprian Teodorov, Philippe Dhaussy, Luka Le Roux
- article
- International Journal on Software Tools for Technology Transfer, 2017, 19 (2), pp.229-245. ⟨10.1007/s10009-015-0401-2⟩
- Accès au bibtex
-
- titre
- A Unified Design Flow to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (3), pp.384-397. ⟨10.1109/TCAD.2016.2587278⟩
- Accès au bibtex
-
- titre
- Simulation of Multi-source Electric Production and Energy Transfers in Sailing
- auteur
- Mathilde Tréhin, Johann Laurent, Jean-Philippe Diguet
- article
- Journal of Shipping and Ocean Engineering, 2017, 7 (1), pp.9. ⟨10.17265/2159-5879/2017.01.002⟩
- Accès au bibtex
-
- titre
- Sensor Fault Detection and Signal Improvement using Predictive Filters
- auteur
- Hugo Kerhascoet, P Merien, Johann Laurent, Eric Senn, Frédéric Hauville
- article
- International Journal of Small Craft Technology, 2017, 159 (Part B1), pp.186. ⟨10.3940/rina.ijsct.2017.b1.186⟩
- Accès au texte intégral et bibtex
-
- titre
- Scheduling analysis of tasks constrained by TDMA: Application to software radio protocols
- auteur
- Shuai Li, Frank Singhoff, Stéphane Rubini, Michel Bourdellès
- article
- Journal of Systems Architecture, 2017, 76, pp.58-75. ⟨10.1016/j.sysarc.2016.11.003⟩
- Accès au texte intégral et bibtex
-
- titre
- MONTRES : Merge ON-The-Run External Sorting algorithm for large data volumes on SSD based storage systems
- auteur
- Arezki Laga, Jalil Boukhobza, Frank Singhoff, Michel Koskas
- article
- IEEE Transactions on Computers, 2017, 66 (10), pp.1689 - 1702. ⟨10.1109/TC.2017.2706678⟩
- Accès au bibtex
-
- titre
- A Transparent home Sensors/Actuators layer for Health &Well-being services
- auteur
- Philippe Tanguy, Mathieu Simonnet, Christophe Lohr, Jérôme Kerdreux
- article
- EAI endorsed transactions on pervasive health and technology, 2017, 3 (11), pp.1 - 7. ⟨10.4108/eai.18-7-2017.152900⟩
- Accès au texte intégral et bibtex
-
- titre
- Real-Time Control System for Improved Precision and Throughput in an Ultrafast Carbon Fiber Placement Robot Using a SoC FPGA Extended Processing Platform
- auteur
- Gilberto Ochoa-Ruiz, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet, Cheng-Cong Bao
- article
- International Journal of Reconfigurable Computing, 2017, 2017, pp.1 - 20. ⟨10.1155/2017/3298734⟩
- Accès au bibtex
-
- titre
- Extended overlay architectures for heterogeneous FPGA cluster management
- auteur
- Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
- article
- Journal of Systems Architecture, 2017, 78, pp.1-14. ⟨10.1016/j.sysarc.2017.06.001⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- A High Abstraction Level Constraint for Object Localization in Marine Observatories
- auteur
- Joël Champeau, Loïc Lagadec, Charbel Geryes Aoun, Jad Moussa, Elio Hanna
- article
- CSCI 2017, Dec 2017, Las Vegas, United States
- Accès au bibtex
-
- titre
- Reinforcement Learning Strategies for Energy Management in Low Power IoT
- auteur
- Yohann Rioual, Johann Laurent, Eric Senn, Jean-Philippe Diguet
- article
- CSCI, Dec 2017, Las Vegas, United States
- Accès au texte intégral et bibtex
-
- titre
- Architecture level Optimizations for Kummer based HECC on FPGAs
- auteur
- Gabriel Gallin, Turku Ozlum Celik, Arnaud Tisserand
- article
- IndoCrypt 2017 - 18th International Conference on Cryptology in India, Dec 2017, Chennai, India. pp.44-64, ⟨10.1007/978-3-319-71667-1_3⟩
- Accès au texte intégral et bibtex
-
- titre
- Power Consumption Analysis and Hardware Security
- auteur
- Arnaud Tisserand
- article
- "Risques, Société et Sécurité" (R2S). "Journée Thématique Consommation d'énergie dans les systèmes reconfigurables', Dec 2017, Cergy, France
- Accès au bibtex
-
- titre
- Démonstrateur ASSecIN: ligne industrielle virtuelle permettant l'évaluation de passerelle intelligente *
- auteur
- Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet
- article
- 11ème Colloque sur la Modélisation des Systèmes Réactifs (MSR 2017), Nov 2017, Marseille, France
- Accès au texte intégral et bibtex
-
- titre
- A wireless contact lens eye tracking system (example of a smart sensors development platform)
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Laurent Dupont, Francesco Ferranti, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin
- article
- SENSO2017: 5th international conference sensors, energy harvesting, wireless network and smart objects workshop, Nov 2017, Gardanne, France
- Accès au bibtex
-
- titre
- Does Process Assessment Drive Process Learning? The Case of a Bachelor Capstone Project
- auteur
- Vincent Leilde, Vincent Ribaud
- article
- 2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.39⟩
- Accès au texte intégral et bibtex
-
- titre
- Girls Who . . . Do Scratch a First Round with the Essence Kernel
- auteur
- Cassandra Balland, Néné Satorou Cissé, Louise Hergoualc’h, Gwendoline Kervot, Audrey Lidec, Alix Machard, Lisa Ribaud-Le Cann, Constance Rio, Maelle Sinilo, Valérie Dantec, Catherine Dezan, Cyrielle Feron, Claire François, Chabha Hireche, Arwa Khannoussi, Vincent Ribaud
- article
- 2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.48⟩
- Accès au texte intégral et bibtex
-
- titre
- DAS: An Efficient NoC Router for Mixed-Criticality Real-Time Systems
- auteur
- Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
- article
- 2017 IEEE 35th International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. ⟨10.1109/ICCD.2017.42⟩
- Accès au texte intégral et bibtex
-
- titre
- Hyper-Threaded Multiplier for HECC
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Asilomar Conference on Signals, Systems, and Computers, Oct 2017, Pacific Grove, CA, United States
- Accès au texte intégral et bibtex
-
- titre
- Modeling and Validation of a Mixed-Criticality NoC Router Using the IF Language
- auteur
- Mourad Dridi, Mounir Lallali, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
- article
- the 10th International Workshop on Network on Chip Architectures, Oct 2017, Boston, United States. ⟨10.1145/3139540.3139543⟩
- Accès au bibtex
-
- titre
- Relating Student, Teacher and Third-Party Assessments in a Bachelor Capstone Project
- auteur
- Vincent Ribaud, Vincent Leilde
- article
- International Conference on Software Process Improvement and Capability Determination, SPICE 2017, Oct 2017, Palma de Mallorca, Spain. pp.499-506
- Accès au texte intégral et bibtex
-
- titre
- Efficient Scalable Hardware Architecture for Highly Performant Encoded Neural Networks
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Robin Danilo, Philippe Coussy
- article
- IEEE International Workshop on Signal Processing Systems 2017, Oct 2017, Lorient, France
- Accès au bibtex
-
- titre
- An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy
- auteur
- Imran Wali, Emmanuel Casseau, Arnaud Tisserand
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
- Accès au bibtex
-
- titre
- Energy Aware Networks-on-Chip Cortex Inspired Communication
- auteur
- Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Maurizio Palesi
- article
- PATMOS 2017, Sep 2017, Thessaloniki, Greece
- Accès au bibtex
-
- titre
- Integrating human preferences in automated decisions of unmanned aerial vehicles
- auteur
- Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet
- article
- 14th Decision Deck Workshop, Sep 2017, Paris, France
- Accès au bibtex
-
- titre
- Hardware Support for Physical Security
- auteur
- Arnaud Tisserand
- article
- CRiSIS: 12th International Conference on Risks and Security of Internet and Systems, Sep 2017, Dinard, France
- Accès au bibtex
-
- titre
- How to apprehend leadership related skills in a project management experiment?
- auteur
- Michel Morvan, Bruno Vinouze, Marie-Pierre Adam, Matthieu Arzel, Didier Baux, Antoine Beugnard, Priscillia Creach, Jean-Philippe Coupez, Myriam Le Goff-Pronost, Camilla Kärnfelt
- article
- SEFI 2017: 45th Conference on Education Excellence For Sustainable Development, Sep 2017, Azores, Portugal. pp.536-543
- Accès au texte intégral et bibtex
-
- titre
- Towards one Model Interpreter for Both Design and Deployment
- auteur
- Valentin Besnard, Matthias Brun, Philippe Dhaussy, Frédéric Jouault, David Olivier, Ciprian Teodorov
- article
- 3rd International Workshop on Executable Modeling (EXE 2017), Sep 2017, Austin, United States
- Accès au bibtex
-
- titre
- A Methodology to Assess Vulnerabilities and Countermeasures Impact on the Missions of a Naval System
- auteur
- Bastien Sultan, Fabien Dagnat, Caroline Fontaine
- article
- CyberICPS'2017 : International Workshop on the Security of Industrial Control Systems and Cyber-Physical Systems, Sep 2017, Oslo, Norway. pp.63 - 76, ⟨10.1007/978-3-319-72817-9_5⟩
- Accès au bibtex
-
- titre
- A Diagnosis Framework for Critical Systems Verification
- auteur
- Vincent Leilde, Vincent Ribaud, Ciprian Teodorov, Philippe Dhaussy
- article
- 15th International Conference on Software Engineering and Formal Methods, SEFM 2017, Sep 2017, Trente, Italy. Short Papers 1-6
- Accès au texte intégral et bibtex
-
- titre
- Improving Confidentiality Against Cache-based SCAs
- auteur
- Maria Mushtaq, Vianney Lapotre, Guy Gogniat, M Asim Mukhtar, Muhammad Khurram Bhatti
- article
- ACM WomENcourage, Sep 2017, barcelona, France
- Accès au texte intégral et bibtex
-
- titre
- Implantation en virgule fixe d'un opérateur de calcul d'inverse à base de Newton-Raphson, sans normalisation et sans bloc mémoire
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- GRETSI 2017 : 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2017, Juan-Les-Pins, France
- Accès au bibtex
-
- titre
- ARMHEx: A hardware extension for DIFT on ARM-based SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
- Accès au texte intégral et bibtex
-
- titre
- Debugging Cyber-Physical Systems with Pharo
- auteur
- Matteo Marra, Elisa Gonzalez Boix, Steven Costiou, Mickaël Kerboeuf, Alain Plantec, Guillermo Polito, Stéphane Ducasse
- article
- Proceedings of the 12th Edition of the International Workshop on Smalltalk Technologies, ESUG, Sep 2017, Maribor, Slovenia. pp.8:1--8:10, ⟨10.1145/3139903.3139913⟩
- Accès au texte intégral et bibtex
-
- titre
- Using Parallel and Distributed Reachability in Model Checking
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- Recent Advancement in Computer, Communication and Computational Sciences (RACCCS-2017), Sep 2017, Ajmer, India. pp.143-154, ⟨10.1007/978-981-10-7386-1_12⟩
- Accès au bibtex
-
- titre
- Patrons de conception pour l’analyse d’ordonnancement temps réel multiprocesseurs
- auteur
- Stéphane Rubini, Frank Singhoff, Alain Plantec, Hai-Nam Tran, Jalil Boukhobza, Pierre Dissaux
- article
- Tutoriel présenté à l’école d'été temps réel (ETR'2017), Aug 2017, Rennes, France
- Accès au bibtex
-
- titre
- PAnTHErS: A Prototyping and Analysis Tool for Homomorphic Encryption Schemes
- auteur
- Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
- article
- SECRYPT: 14th International Conference on Security and Cryptography, Jul 2017, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- A Sub-nJ CMOS ECG Classifier for Wireless Smart Sensor
- auteur
- Paul Chollet, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- EMBC 2017 : 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Jul 2017, Jeju, South Korea. pp.3840 - 3843, ⟨10.1109/EMBC.2017.8037694⟩
- Accès au bibtex
-
- titre
- Using a Virtual Plant to Support the Development of Intelligent Gateway for Sensors/Actuators Security
- auteur
- Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet, Vianney Lapotre
- article
- IFAC World Congress, Jul 2017, Toulouse, France. pp.5837-5842
- Accès au bibtex
-
- titre
- Asserting causal properties in High Level Synthesis
- auteur
- Erwan Fabiani, Loïc Lagadec, Mohamed Ben Hammouda, Ciprian Teodorov
- article
- 2017 IEEE 2nd International Verification and Security Workshop (IVSW), Jul 2017, Thessaloniki, Greece
- Accès au bibtex
-
- titre
- A scaling-less Newton-Raphson pipelined implementation for a fixed-point inverse square root operator
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. ⟨10.1109/NEWCAS.2017.8010129⟩
- Accès au texte intégral et bibtex
-
- titre
- How web services can be tolerant to intruders through diversification
- auteur
- Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
- article
- ICWS 2017 : 24th IEEE International Conference on Web Services, Jun 2017, Honolulu, United States. pp.436 - 443, ⟨10.1109/ICWS.2017.50⟩
- Accès au bibtex
-
- titre
- An attack-tolerant framework for web services
- auteur
- Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
- article
- SCC 2017 : 14th International Conference on Services Computing, Jun 2017, Honolulu, United States. pp.503 - 506, ⟨10.1109/SCC.2017.75⟩
- Accès au bibtex
-
- titre
- An ultra-low power iterative clique-based neural network integrated in 65-nm CMOS
- auteur
- Paul Chollet, Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.5 - 8, ⟨10.1109/NEWCAS.2017.8010091⟩
- Accès au bibtex
-
- titre
- Autonomous Safety System for a Smart Stove for Cognitively Impaired People
- auteur
- Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean-Luc Philippe
- article
- International Conference on Practical Applications of Agents and Multi-Agent Systems, Jun 2017, Porto, Portugal. pp.145-156
- Accès au bibtex
-
- titre
- ECC Protections against both Observation and Pertubation Attacks
- auteur
- Audrey Lucas, Arnaud Tisserand
- article
- CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
- Accès au bibtex
-
- titre
- Hardware Architectures for HECC
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
- Accès au texte intégral et bibtex
-
- titre
- Embedding Crypto in SoCs: Threats and Protections
- auteur
- Arnaud Tisserand
- article
- XIIème Colloque du GDR SoC-SiP, Jun 2017, Bordeaux, France. http://www.colloque2017-gdrsoc2.org/
- Accès au bibtex
-
- titre
- Online Diagnosis Updates for Embedded Health Management
- auteur
- Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet
- article
- 6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
- Accès au bibtex
-
- titre
- Embedded Decision Making for UAV Missions
- auteur
- Sara Zermani, Catherine Dezan, Reinhardt Euler
- article
- 6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
- Accès au bibtex
-
- titre
- Hardware Architectures Exploration for Hyper-Elliptic Curve Cryptography
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Crypto'Puces 2017- 6ème rencontre Crypto'Puces, du composant au système communicant embarqué, May 2017, Porquerolles, France. pp.31
- Accès au texte intégral et bibtex
-
- titre
- A 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architecture
- auteur
- Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- ISCAS 2017 : IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States. pp.1 - 4, ⟨10.1109/ISCAS.2017.8050658⟩
- Accès au bibtex
-
- titre
- A 142MOPS/mW Integrated Programmable Array accelerator for Smart Visual Processing
- auteur
- Satyajit Das, Davide Rossi, Kevin Martin, Philippe Coussy, Luca Benini
- article
- IEEE International Symposium on Circuits & Systems, May 2017, Baltimore, United States
- Accès au texte intégral et bibtex
-
- titre
- ARMHEx: embedded security through hardware-enhanced information flow tracking
- auteur
- Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
- article
- RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
- Accès au texte intégral et bibtex
-
- titre
- iFogStor: an IoT Data Placement Strategy for Fog Infrastructure
- auteur
- Mohammed Islam Naas, Philippe Raipin, Jalil Boukhobza, Laurent Lemarchand
- article
- IEEE 1st International Conference on Fog and Edge Computing, May 2017, Madrid, Spain. ⟨10.1109/ICFEC.2017.15⟩
- Accès au bibtex
-
- titre
- COPS: Cost Based Object Placement Strategies on Hybrid Storage System for DBaaS Cloud
- auteur
- Djillali Boukhelef, Kamel Boukhalfa, Jalil Boukhobza, Hamza Ouarnoughi, Laurent Lemarchand
- article
- IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2017, Madrid, Spain. pp.659-664 ⟨10.1109/CCGRID.2017.36⟩
- Accès au bibtex
-
- titre
- A Cost-effective Approach for Efficient Time-sharing of Reconfigurable Architectures
- auteur
- Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
- article
- FPGA4GPC'2017, May 2017, Hambourg, Germany. ⟨10.1109/FPGA4GPC.2017.8008959⟩
- Accès au bibtex
-
- titre
- Somewhat/Fully Homomorphic Encryption: Implementation Progresses and Challenges
- auteur
- Guillaume Bonnoron, Caroline Fontaine, Guy Gogniat, Vincent Herbert, Vianney Lapotre, Vincent Migliore, Adeline Roux-Langlois
- article
- C2SI 2017 : 2nd International Conference on Codes, Cryptology and Information Security, Apr 2017, Rabat, Morocco. pp.68 - 82, ⟨10.1007/978-3-319-55589-8_5⟩
- Accès au bibtex
-
- titre
- Unanticipated Debugging with Dynamic Layers
- auteur
- Steven Costiou, Mickaël Kerboeuf, Marcus Denker, Alain Plantec
- article
- LASSY 2017 Live Adaptation of Software SYstems, Apr 2017, Brussels, Belgium. pp.6, ⟨10.1145/3079368.3079391⟩
- Accès au texte intégral et bibtex
-
- titre
- Soft timing closure for soft programmable logic cores: The ARGen approach
- auteur
- Théotime Bollengier, Loïc Lagadec, Mohamad Najem, Jean-Christophe Le Lann, Pierre Guilloux
- article
- ARC 2017 - 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Model-based attack tolerance
- auteur
- Georges Ouffoué, Fatiha Zaidi, Ana Rosa Cavalli, Mounir Lallali
- article
- FINA 2017 : 13th International Symposium on Frontiers of Information Systems and Network Applications, Mar 2017, Taipei, Taiwan. pp.68 - 73, ⟨10.1109/WAINA.2017.88⟩
- Accès au bibtex
-
- titre
- Un Web Service sur les problèmes de transport avec des distances réelles, pour propager les algorithmes de recherche opérationnelle
- auteur
- Maxime Chassaing, Marc Sevaux, Pierre Bomel, Ivan Crépeau
- article
- ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2017, Metz, France
- Accès au bibtex
-
- titre
- Efficient Mapping of CDFG onto Coarse-Grained Reconfigurable Array Architectures
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi, Luca Benini
- article
- ASP-DAC, Jan 2017, Tokyo, Japan
- Accès au texte intégral et bibtex
-
Special issue
- titre
- Introduction to the Special Section on Computer Arithmetic
- auteur
- Javier Hormigo, Jean-Michel Muller, Stuart Obermann, Nathalie Revol, Arnaud Tisserand, Julio Villalba-Moreno
- article
- IEEE Transactions on Computers, 66 (12), pp.1991-1993, 2017, ⟨10.1109/TC.2017.2761278⟩
- Accès au bibtex
-
Other publications
- titre
- NoC and Mixed-criticality Systems
- auteur
- Mourad Dridi, Stéphane Rubini, Mounir Lallali, Frank Singhoff, Jean-Philippe Diguet, Martha Johanna Sepulveda Florez
- article
- 2017
- Accès au bibtex
-
- titre
- Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
- auteur
- Kevin Martin, Thanh Dinh Ngo, Jean-Philippe Diguet
- article
- 2017
- Accès au bibtex
-
- titre
- Cybersécurité, attaques et protections des puces électroniques
- auteur
- Arnaud Tisserand
- article
- 2017, https://armorscience.wordpress.com/conferences-et-cafes-science/annee-2016-2017/la-cybersecurite/
- Accès au bibtex
-
Books
- titre
- Les mémoires flash
- auteur
- Jalil Boukhobza, Pierre Olivier
- article
- ISTE éditions. ISTE éditions, 2017, 978-1-78405-281-2
- Accès au bibtex
-
- titre
- Flash Memory Integration
- auteur
- Jalil Boukhobza, Pierre Olivier
- article
- ISTE Press - Elsevier, pp.266, 2017, 9781785481246
- Accès au bibtex
-
Poster communications
- titre
- Simulation Approach for Energy Management in Wireless Sensor Networks
- auteur
- Yohann Rioual, Jean-Philippe Diguet, Johann Laurent, Eric Senn
- article
- BEE Week 2017, Nov 2017, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- Demonstrating the SPIDER Runtime for Reconfigurable Dataflow Graphs Execution onto a DMA-based Manycore Processor
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France. 2017
- Accès au texte intégral et bibtex
-
- titre
- ARMHEx: a framework for efficient DIFT in real-world SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
- Accès au texte intégral et bibtex
-
- titre
- Networks-on-Chip Cortex Inspired Communication To Reduce Energy Consumption
- auteur
- Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Andrea Mineo, Maurizio Palesi
- article
- Design Automation Conference (DAC 2017), Jun 2017, Austin, TX, United States. , Design Automation Conference, DAC 2017
- Accès au texte intégral et bibtex
-
- titre
- Flot de projection d'applications sur architecture reconfigurable à gros grains
- auteur
- Philippe Coussy
- article
- journée du GT Optimisation des Systèmes Intégrés (OSI) du GdR RO, Jun 2017, Paris, France
- Accès au bibtex
-
- titre
- Finite Field Multiplier Architectures for Hyper-Elliptic Curve Cryptography
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Colloque National du GDR SOC2, Jun 2017, Bordeaux, France.
- Accès au bibtex
-
- titre
- Accélération matérielle pour l'imagerie cérébrale par EEG
- auteur
- Erwan Libessart, Adrien Merlini, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- 13ème Colloque du GDR SoC/SiP, Jun 2017, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- A bit-accurate power estimation simulator for NoCs
- auteur
- Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi
- article
- DATE 2017 Design Automation and Test in Europe, Mar 2017, Lausanne, Switzerland. , Design Automation and Test in Europe
- Accès au texte intégral et bibtex
-
Theses
- titre
- Cache memory aware priority assignment and scheduling simulation of real-time embedded systems
- auteur
- Hai Nam Tran
- article
- Embedded Systems. Université de Bretagne occidentale - Brest, 2017. English. ⟨NNT : 2017BRES0011⟩
- Accès au texte intégral et bibtex
-
2016
Journal articles
- titre
- Integrating I/Os in Cloudsim for Performance and Energy Estimation
- auteur
- Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
- article
- Operating Systems Review, 2016, 50 (3), pp.27-36 ⟨10.1145/3041710.3041715⟩
- Accès au bibtex
-
- titre
- Sequential and Parallel Algorithms for the State Space Exploration
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- Cybernetics and Information Technologies, 2016, 16 (1), ⟨10.1515/cait-2016-0001⟩
- Accès au bibtex
-
- titre
- A Parallel Algorithm for the State Space Exploration
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- Scalable Computing : Practice and Experience, 2016, Scalable Computing: Practice and Experience, 17 (2), pp.129-141. ⟨10.12694/scpe.v17i2.1161⟩
- Accès au bibtex
-
- titre
- Past-Free[ze] reachability analysis: reaching further with DAG-directed exhaustive state-space analysis
- auteur
- Ciprian Teodorov, Luka Le Roux, Zoé Drey, Philippe Dhaussy
- article
- Journal of Software Testing, Verification and Reliability, 2016, ⟨10.1002/stvr.1611⟩
- Accès au bibtex
-
- titre
- A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn, Hamza Ouarnoughi
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (4), ⟨10.1145/2903139⟩
- Accès au bibtex
-
- titre
- Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
- auteur
- Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
- Accès au bibtex
-
- titre
- Energy Efficient Associative Memory Based on Neural Cliques
- auteur
- Bartosz Boguslawski, Frédéric Heitzmann, Benoit Larras, Fabrice Seguin
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, 63 (4), pp.376 - 380. ⟨10.1109/TCSII.2015.2504946⟩
- Accès au bibtex
-
- titre
- Cache-aware real-time scheduling simulator: implementation and return of experience
- auteur
- Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
- article
- ACM SIGBED Review, 2016, Special Issue on the 5th Embedded Operating Systems Workshop (EWiLi 2015), 13 (1), pp.22-28 ⟨10.1145/2907972.2907975⟩
- Accès au bibtex
-
- titre
- TBES: Template-Based Exploration and Synthesis of Heterogeneous Multiprocessor Architectures on FPGA
- auteur
- Youenn Corre, Jean-Philippe Diguet, Dominique Heller, Dominique Blouin, Loïc Lagadec
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (1), pp.9
- Accès au texte intégral et bibtex
-
- titre
- A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
- article
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
- Accès au texte intégral et bibtex
-
- titre
- Twin Neurons for Efficient Real-World Data Distribution in Networks of Neural Cliques. Applications in Power Management in Electronic Circuits
- auteur
- Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
- article
- IEEE Transactions on Neural Networks and Learning Systems, 2016, 27 (2), pp.375 - 387. ⟨10.1109/TNNLS.2015.2480545⟩
- Accès au bibtex
-
- titre
- Ultra-Low-Energy Mixed-Signal IC Implementing Encoded Neural Networks
- auteur
- Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2016, 63 (11), pp.1974 - 1985. ⟨10.1109/TCSI.2016.2600663⟩
- Accès au bibtex
-
Conference papers
- titre
- CaRDIN: An Agile Environment for EdgeComputing on Reconfigurable Sensor Networks
- auteur
- Xuan Sang Le, Jean-Christophe Le Lann, Loïc Lagadec, Luc Fabresse, Noury Bouraqadi, Jannik Laval
- article
- 3rd IEEE International Conference on Computational Science and Computational Intelligence (CSCI 2016), Dec 2016, Las Vegas, United States
- Accès au bibtex
-
- titre
- Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
- Accès au texte intégral et bibtex
-
- titre
- An example of early scheduling analysis with AADL
- auteur
- Stéphane Rubini, Hai-Nam Tran, Mourad Dridi, Vincent Gaudel, Jalil Boukhobza, Alain Plantec, Christian Fotsing, Frank Singhoff, P Dissaux, J Legrand, A Schach
- article
- Présentation invitée à la Journée AADL du GDR SOC-SIP, Nov 2016, Paris, France
- Accès au bibtex
-
- titre
- Runtime support for rule-based access-control evaluation through model-transformation
- auteur
- Salvador Martínez, Jokin Garcia, Jordi Cabot
- article
- the 2016 ACM SIGPLAN International Conference, Oct 2016, Amsterdam, Netherlands. pp.57-69, ⟨10.1145/2997364.2997375⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedded Diagnosis and Mission Planning based on Stochastic Methods
- auteur
- Catherine Dezan
- article
- USP ICMC Robotics seminar, Oct 2016, San Carlos, Brazil
- Accès au bibtex
-
- titre
- Framework to Generate and Validate Embedded Decison Trees with Missing Data
- auteur
- Arwa Khannoussi, Catherine Dezan, Patrick Meyer
- article
- JRWRTC 2016 : 10th Junior Researcher Workshop on Real-Time Computing, Oct 2016, Brest, France. pp.17 - 20
- Accès au bibtex
-
- titre
- A humanoid robot for coaching patients for physical rehabilitation exercises
- auteur
- Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris, André Thépaut
- article
- ACCAS 2016 : 12th Asian Conference on Computer Aided Surgery, Oct 2016, Daejeon, South Korea
- Accès au texte intégral et bibtex
-
- titre
- Overlay Architectures for Heterogeneous FPGA Cluster Management.
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- DASIP 2016, Oct 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Crosstalk-aware link power model for Networks-on-Chip
- auteur
- Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
- article
- DASIP, Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853807⟩
- Accès au bibtex
-
- titre
- An Organizing System to Perform and Enable Verification and Diagnosis Activities
- auteur
- Vincent Leilde, Vincent Ribaud, Philippe Dhaussy
- article
- Intelligent Data Engineering and Automated Learning – IDEAL 2016, Oct 2016, Yangzou, China. pp.576-587
- Accès au texte intégral et bibtex
-
- titre
- Application of AADL for Marine Control Systems
- auteur
- Pierre Dissaux, Sofien Kerkeni, Frank Singhoff
- article
- Dynamic positioning conference, Oct 2016, Houston, United States
- Accès au bibtex
-
- titre
- Associative memory based on clustered neural networks: improved model and architecture for oriented edge detection
- auteur
- Robin Danilo, Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Conference on Design & Architectures for Signal & Image Processing, Oct 2016, Rennes, France
- Accès au bibtex
-
- titre
- A Dynamically Reconfigurable ECC Decoder Architecture for the next generation communication standards (5G, SDR and behond)
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- WInnComm Europe, Oct 2016, Paris, France
- Accès au bibtex
-
- titre
- Efficient Parallel Multi-Objective Optimization for Real-time Systems Software Design Exploration
- auteur
- Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
- article
- International Symposium on Rapid System Prototyping (RSP'16), Oct 2016, Pittsburgh, United States
- Accès au bibtex
-
- titre
- Model-based Diagnosis Patterns for Model Checking
- auteur
- Vincent Leilde, Vincent Ribaud, Philippe Dhaussy
- article
- PAME 2016, Oct 2016, St Malo, France. pp.7-12
- Accès au texte intégral et bibtex
-
- titre
- ModifRoundtrip: A Model-Based tool to reuse legacy transformations
- auteur
- Paola Vallejo, Jean-Philippe Babau, Mickaël Kerboeuf
- article
- ACM/IEEE 19th International Conference on Model Driven Engineering Languages and Systems (MoDELS 2016), Oct 2016, Saint Malo, France
- Accès au bibtex
-
- titre
- Heuristic based Routing Algorithm for Network on Chip
- auteur
- Asma Benmessaoud Gabis, Marc Sevaux, Pierre Bomel, Mouloud Koudil, Karima Benatchba
- article
- IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), Sep 2016, Lyon, France. pp.39-45, ⟨10.1109/MCSoC.2016.43⟩
- Accès au bibtex
-
- titre
- Network Contention-Aware Method to Evaluate Data Coherency Protocols within a Compilation Toolchain
- auteur
- Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepulveda
- article
- 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSOC 2016, Sep 2016, Lyon, France. pp.249-256, ⟨10.1109/MCSoC.2016.54⟩
- Accès au bibtex
-
- titre
- Green metadata based adaptive DVFS for energy efficient video decoding
- auteur
- Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
- article
- 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS),, Sep 2016, Bremen, Germany. ⟨10.1109/PATMOS.2016.7833693⟩
- Accès au bibtex
-
- titre
- Modeling legacy code with BIP: How to reduce the gap between formal description and real-time implementation
- auteur
- B.L. Nabec, B.B. Hedia, Jean-Philippe Babau, M. Jan, H. Guesmi
- article
- 2016 Forum on Specification and Design Languages (FDL), Sep 2016, Bremen, Germany. ⟨10.1109/FDL.2016.7880385⟩
- Accès au bibtex
-
- titre
- Boosting advanced skills in project management thanks to complex human and technical situations
- auteur
- Marie-Pierre Adam, Matthieu Arzel, Didier Baux, Antoine Beugnard, Jean-Philippe Coupez, Myriam Le Goff-Pronost, Michel Morvan, Pierre Tremenbert, Bruno Vinouze
- article
- SEFI 2016: 44th European Society for Engineering Education annual conference, Sep 2016, Tampere, Finland. pp.1-11
- Accès au texte intégral et bibtex
-
- titre
- A Cost Model for DBaaS Storage
- auteur
- Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa
- article
- Database and Expert Systems Applications, Sep 2016, Porto, Portugal. pp.223-239, ⟨10.1007/978-3-319-44403-1_14⟩
- Accès au bibtex
-
- titre
- Speedometer Fault Detection and GNSS Fusion using Kalman Filters
- auteur
- Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
- article
- OCEANS’16 MTS/IEEE, Sep 2016, Monterey, United States. pp.8
- Accès au texte intégral et bibtex
-
- titre
- Towards a hardware-assisted information flow tracking ecosystem for ARM processors
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
- Accès au texte intégral et bibtex
-
- titre
- Computational Architecture of a Robot Coach for Physical Exercises in Kinesthetic Rehabilitation
- auteur
- Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris
- article
- RO-MAN 2016 : IEEE International Symposium on Human and Robot Interactive Communication , Aug 2016, New York, United States. pp.1 - 6, ⟨10.1109/ROMAN.2016.7745251⟩
- Accès au texte intégral et bibtex
-
- titre
- Lub: A DSL for Dynamic Context Oriented Programming
- auteur
- Steven Costiou, Mickaël Kerboeuf, Glenn Cavarlé, Alain Plantec
- article
- International Workshop on Smalltalk Technologies (IWST'16), Aug 2016, Prague, Czech Republic. pp.13, ⟨10.1145/2991041.2991054⟩
- Accès au bibtex
-
- titre
- Dynamic Round-Trip Engineering in the context of FOMDD
- auteur
- Glenn Cavarlé, Alain Plantec, Steven Costiou, Vincent Ribaud
- article
- 11th International Workshop on Smalltalk Technologies, Aug 2016, Prague, Czech Republic. pp.1 - 7, ⟨10.1145/2991041.2991056⟩
- Accès au texte intégral et bibtex
-
- titre
- Pragmas: Literal Messages as Powerful Method Annotations
- auteur
- Stéphane Ducasse, Eliot Miranda, Alain Plantec
- article
- International Workshop on Smalltalk Technologies - IWST 2016, Aug 2016, Prague, Czech Republic. ⟨10.1145/2991041.2991050⟩
- Accès au texte intégral et bibtex
-
- titre
- Lynx: A Learning Linux Prefetching Mechanism For SSD Performance Model
- auteur
- Arezki Laga, Jalil Boukhobza, Michel Koskas, Frank Singhoff
- article
- The 5th IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA 2016), Aug 2016, Daegu, South Korea. ⟨10.1109/NVMSA.2016.7547186⟩
- Accès au bibtex
-
- titre
- Choosing security elements for the xAAL home automation system
- auteur
- Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
- article
- ATC 2016 : 13th IEEE International Conference on Advanced and Trusted Computing, Jul 2016, Toulouse, France. pp.534 - 541, ⟨10.1109/uic-atc-scalcom-cbdcom-iop-smartworld.2016.0093⟩
- Accès au texte intégral et bibtex
-
- titre
- MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
- article
- 4rd Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) as part of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Jul 2016, Samos, Greece
- Accès au texte intégral et bibtex
-
- titre
- A Scalable Design Approach to Efficiently Map Applications on CGRAs
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Thomas Peyret, Gwenolé Corre, Mathieu Thevenin
- article
- IEEE Computer Society Annual Symposium on VLSI, Jul 2016, Pittsburgh, United States. pp.7560275, ⟨10.1109/ISVLSI.2016.54⟩
- Accès au texte intégral et bibtex
-
- titre
- Génération de composant "état de santé" pour monitorer le système embarqué de véhicule autonome
- auteur
- Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
- article
- Compas , Jul 2016, Lorient, France
- Accès au bibtex
-
- titre
- Modèle de consommation d'énergie des liens sensible au crosstalk pour les réseaux sur puces
- auteur
- Erwan Moréac, Johann Laurent, André Rossi, Pierre Bomel
- article
- COMPAS, Jul 2016, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- ZeFF : Une plateforme pour l’intégration d’architectures overlay dans le Cloud
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- COMPAS 2016, Jul 2016, Lorient, France
- Accès au bibtex
-
- titre
- Introduction d'aléas dans le processus de projection d'applications sur CGRA
- auteur
- Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
- article
- Conférence d’informatique en Parallélisme, Architecture et Système (COMPAS 2016), Lab-STICC (UMR 6285), Jul 2016, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- Speeding Up Robot Control Software Through Seamless Integration With FPGA
- auteur
- Xuan Sang Le, Luc Fabresse, Jannik Laval, Jean-Christophe Le Lann, Loïc Lagadec
- article
- SHARC'16, Jun 2016, Brest, France
- Accès au bibtex
-
- titre
- A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
- auteur
- Jalil Boukhobza
- article
- Modélisation de la consommation d’énergie, Jun 2016, Lilles, France
- Accès au bibtex
-
- titre
- Towards risk aware NoCs for data protection in MPSoCs
- auteur
- Martha Johanna Sepulveda, Flórez Daniel, Ramon Fernandes, César A. M. Marcon, Guy Gogniat, Georg Sigl
- article
- 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2016, Jun 2016, Tallinn, Estonia
- Accès au bibtex
-
- titre
- Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
- Accès au texte intégral et bibtex
-
- titre
- Toward sub-pJ per classification in Body Area Sensor Networks
- auteur
- Paul Chollet, Kevin Colombier, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- NEWCAS 2016 : 14th IEEE International on New Circuits and Systems, Jun 2016, Vancouver, Canada. pp.1 - 4, ⟨10.1109/NEWCAS.2016.7604764⟩
- Accès au bibtex
-
- titre
- Analysis of Memory Performance: Mixed Rank Performance Across Microarchitectures
- auteur
- Mourad Bouache, John L. Glover, Jalil Boukhobza
- article
- ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 579-590, ⟨10.1007/978-3-319-46079-6_39⟩
- Accès au bibtex
-
- titre
- Considering I/O Processing in CloudSim for Performance and Energy Evaluation
- auteur
- Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini, Erwann Kassis
- article
- ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 591-603, ⟨10.1007/978-3-319-46079-6_40⟩
- Accès au bibtex
-
- titre
- A Transparent home Sensors/Actuators layer for Health & Well-being services
- auteur
- Philippe Tanguy, Christophe Lohr, Jérôme Kerdreux
- article
- IoTCare 2016 : EAI (European Alliance for Innovation) International Conference on IoT and Big Data Technologies for HealthCare, Jun 2016, Budapest, Hungary. pp.29 - 35, ⟨10.1007/978-3-319-49655-9_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedded and Probabilistic Health Management for the GPS of Autonomous Vehicles
- auteur
- Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
- article
- 5th Mediterranean Conference on Embedded Computing, Jun 2016, Bar, Montenegro
- Accès au bibtex
-
- titre
- Flash and the NVM team to save the data storage world!
- auteur
- Jalil Boukhobza
- article
- Journée Scientifiques de l'Université de Nantes, Jun 2016, Nantes, France
- Accès au bibtex
-
- titre
- A portable approach for SoC-based Dynamic Information Flow Tracking implementations
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Overlay Architectures For FPGA Resource Virtualization
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- GDR SOC SIP, Jun 2016, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Amélioration des performances des mémoires associatives par les réseaux à clones
- auteur
- Hugues Nono, Cyrille Chavet, Philippe Coussy
- article
- Colloque national du GdR SoC-SiP, Jun 2016, Nantes, France
- Accès au bibtex
-
- titre
- Maîtrise des Correctifs de Sécurité pour les Systèmes Navals
- auteur
- Bastien Sultan, Fabien Dagnat, Caroline Fontaine
- article
- CIEL 2016 : 5ème Conférence en Ingénierie du Logiciel, Jun 2016, Besançon, France. pp.1 - 6
- Accès au texte intégral et bibtex
-
- titre
- Notifying Memories: a case-study on Data-Flow Applications with NoC Interfaces Implementation
- auteur
- Kevin Martin, Mostafa Rizk, Martha Johanna Sepulveda Florez, Jean-Philippe Diguet
- article
- Design Automation Conference, Jun 2016, Austin, United States. ⟨10.1145/2897937.2898051⟩
- Accès au bibtex
-
- titre
- A Process for Evaluating Parametric Models for Mechanical Systems Simulation : the Case of a Sailboat
- auteur
- E. Lavigne, B. Piquemal, Adeline Bourdon, Simon Chesné, Goulven Guillou, Jean-Philippe Babau
- article
- Sofware and Hardware Architectures for Robots Control, Jun 2016, Brest, France
- Accès au bibtex
-
- titre
- Side Channel Attacks on networks on Chip
- auteur
- Johanna Sepúlveda, Lilian Bossuet, Guy Gogniat, Reindbrecht Cezar, Sigl Georg
- article
- International CryptArchi Workshop , Jun 2016, La Grande-Motte, France
- Accès au bibtex
-
- titre
- Methodology for optimal wind vane design
- auteur
- Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Frédéric Hauville, Eric Senn, Coneau Raphael
- article
- OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. ⟨10.1109/OCEANSAP.2016.7485426⟩
- Accès au bibtex
-
- titre
- Methodology for optimal wind vane design
- auteur
- Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Eric Senn, Frederic Hauville, Raphael Coneau
- article
- OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. pp.7
- Accès au texte intégral et bibtex
-
- titre
- Proposal of an adaptive service providing system for a multi-user smart home
- auteur
- Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean Luc Philippe
- article
- Workshops at the Thirtieth AAAI Conference on Artificial Intelligence, Mar 2016, Phoenix, United States
- Accès au bibtex
-
- titre
- A Dynamically Reconfigurable ECC Decoder Architecture
- auteur
- Cyrille Chavet, Philippe Coussy, Sani Awais Hussein
- article
- Design Automation and Test in Europe (DATE 2016), Mar 2016, Dresden, Germany
- Accès au bibtex
-
- titre
- ImocaGen: A Model-based Code Generator for Embedded Systems Tuning
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- 4th International Conference on Model-Driven Engineering and Software Development, Feb 2016, Rome, Italy. pp.390-396, ⟨10.5220/0005804103900396⟩
- Accès au bibtex
-
- titre
- A Cost Model for Virtual Machine Storage in Cloud IaaS Context
- auteur
- Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
- article
- 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), Feb 2016, Heraklion, Greece. ⟨10.1109/PDP.2016.119⟩
- Accès au bibtex
-
- titre
- ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
- article
- 24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
- Accès au bibtex
-
- titre
- Modélisation de la girouette d’un voilier : expérimentation avec LocalSolver
- auteur
- Audrey Cerqueus, Marc Sevaux, Hugo Kerhascoet, Johann Laurent
- article
- ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2016, Compiègne, France
- Accès au bibtex
-
- titre
- Dynamic NoC buffer allocation for MPSoC timing side channel attack protection
- auteur
- Martha Johanna Sepulveda, Daniel Florez, Mathias Soeken, Jean-Philippe Diguet, Guy Gogniat
- article
- IEEE 7th Latin American Symposium on Circuits & Systems, LASCAS 2016, Jan 2016, Florianopolis, Brazil
- Accès au bibtex
-
- titre
- Model Checking of SCADE Designed Systems
- auteur
- S Heim, Xavier Dumas, E Bonnafous, Philippe Dhaussy, C Teodorov, Lise Leroux
- article
- 8th European Congress on Embedded Real Time Software and Systems (ERTS 2016), Jan 2016, TOULOUSE, France
- Accès au texte intégral et bibtex
-
- titre
- Adapting a Fixed Priority Assignment Algorithm to Real-time Embedded Systems with Cache Memory
- auteur
- Hai Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
- article
- Colloque du GDR SoC-SiP, 2016, Rennes, France
- Accès au bibtex
-
Book sections
- titre
- On the Connected Spanning Cubic Subgraph Problem
- auteur
- Damien Massé, Reinhardt Euler, Laurent Lemarchand
- article
- K.A. Adiprasito, I. Bárány, C. Vilcu. Proceedings in Mathematics and Statistics, Convexity and Discrete Geometry Including Graph Theory (148), Springer, pp.109-136, 2016, Proceedings in Mathematics and Statistics, ⟨10.1007/978-3-319-28186-5_10⟩
- Accès au bibtex
-
- titre
- Proposed Algorithms to the State Explosion Problem
- auteur
- Lamia Allal, Ghalem Belalem, Philippe Dhaussy, Ciprian Teodorov
- article
- Aynur Unal; Malaya Nayak; Durgesh Kumar Mishra; Dharm Singh; Joshi Amit. Smart Trends in Information Technology and Computer Communications. First International Conference, SmartCom 2016, Jaipur, India, August 6–7, 2016, Revised Selected Papers, 628, Springer, pp.211-217, 2016, Communications in Computer and Information Science book series (CCIS), 978-981-10-3433-6 (eBook); 978-981-10-3432-9 (Softcover). ⟨10.1007/978-981-10-3433-6_26⟩
- Accès au bibtex
-
Books
- titre
- Fondements de la programmation orientée objet avec Java 8
- auteur
- Mickaël Kerboeuf
- article
- Ellipses, 2016, Références sciences, 9782340-014824
- Accès au bibtex
-
- titre
- SIGBED Review, Volume 13, Number 1, January 2016
- auteur
- Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
- article
- ACM, 13 (1), 2016, 1551-3688
- Accès au bibtex
-
Poster communications
- titre
- HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
- auteur
- Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
- article
- Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
- Accès au texte intégral et bibtex
-
- titre
- SWARMS Project : Self-Adaptive HW/SW Architecture for Unmanned Aerial Vehicles (UAVs)
- auteur
- Sara Zermani, Hanen Chenini, Catherine Dezan, Reinhardt Euler, Dominique Heller, Jean-Philippe Diguet, Duncan Campbell, Brendan Chen, Gilles Coppin
- article
- Séminaire des doctorantes et doctorants de la SIF, GDR SoC-SiP, Apr 2016, Paris, Nantes, France
- Accès au bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat
- article
- HiPEAC, Jan 2016, Prague, Czech Republic. 2016
- Accès au bibtex
-
2015
Journal articles
- titre
- A Tracing Toolset for Embedded Linux Flash File Systems
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
- article
- EAI endorsed transactions on Internet of Things, 2015, 15 (4), pp.e1. ⟨10.4108/icst.valuetools.2014.258179⟩
- Accès au bibtex
-
- titre
- Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
- auteur
- Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
- article
- Journal of Signal Processing Systems, 2015
- Accès au bibtex
-
- titre
- An MDE Approach for Rapid Prototyping and Implementation of Dynamic Reconfigurable Systems
- auteur
- Gilberto Ochoa Ruiz, Guillet Sébastien, Florent de Lamotte, Eric Rutten, El-Bay Bourennane, Jean-Philippe Diguet, Guy Gogniat
- article
- ACM Transactions on Design Automation of Electronic Systems, 2015, Vol. 21 Issue 1, Article No. 8, Nov. 2015 ., 21 (1), pp.Article No. 8
- Accès au bibtex
-
- titre
- Recontextualisation de modèles par graphes de dépendance
- auteur
- Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
- article
- Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2015
- Accès au bibtex
-
- titre
- Disposable configuration of remotely reconfigurable systems
- auteur
- Lilian Bossuet, Viktor Fischer, Lubos Gaspar, Lionel Torres, Guy Gogniat
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39 (6), pp.382-392. ⟨10.1016/j.micpro.2015.05.007⟩
- Accès au bibtex
-
- titre
- A Multi-Band Stacked RF Energy Harvester With RF-to-DC Efficiency Up to 84%
- auteur
- Véronique Kuhn, Christian Person, Fabrice Seguin, Cyril Lahuec
- article
- IEEE Transactions on Microwave Theory and Techniques, 2015, 63 (5), pp.1 - 11. ⟨10.1109/TMTT.2015.2416233⟩
- Accès au bibtex
-
- titre
- Fully Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
- auteur
- Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Laura Conde-Canencia
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-23. ⟨10.1145/2629510⟩
- Accès au bibtex
-
- titre
- Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible Models
- auteur
- Jeffrey Krichmar, Philippe Coussy, Nikil Dutt
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-18. ⟨10.1145/2629509⟩
- Accès au bibtex
-
- titre
- NoC-Based Protection for SoC Time-Driven Attacks
- auteur
- Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
- article
- IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
- Accès au bibtex
-
- titre
- MaCACH: An adaptive cache-aware hybrid FTL mapping scheme using feedback control for efficient page-mapped space management
- auteur
- Jalil Boukhobza, Pierre Olivier, Stéphane Rubini, Laurent Lemarchand, Yassine Hadjadj-Aoul, Arezki Laga
- article
- Journal of Systems Architecture, 2015, 61 (3-4), pp.157-171. ⟨10.1016/j.sysarc.2015.03.001⟩
- Accès au bibtex
-
- titre
- xAAL: A Distributed Infrastructure for Heterogeneous Ambient Devices
- auteur
- Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
- article
- Journal of intelligent systems, 2015, 24 (3), pp.321 - 331. ⟨10.1515/jisys-2014-0144⟩
- Accès au texte intégral et bibtex
-
- titre
- NoC-based Protection for SoC Time-Driven Attacks
- auteur
- Martha Johanna Sepulveda, Jean-Philippe Diguet, Guy Gogniat, Marius Strum
- article
- IEEE Embedded Systems Letters, 2015, 7 (1), pp.DOI:10.1109/LES.2014.2384744
- Accès au bibtex
-
- titre
- A Modeling Approach for Marine Observatory
- auteur
- Charbel Geryes Aoun, Iyas Alloush, Yvon Kermarrec, Joël Champeau, Oussama Kassem Zein
- article
- Sensors & Transducers., 2015, Sensors & Transducers Journal, 185 (2), pp.129-139
- Accès au bibtex
-
- titre
- Énergie et puissance dans les systèmes embarqués
- auteur
- Nathalie Julien
- article
- Les Techniques de l'Ingenieur, 2015
- Accès au bibtex
-
- titre
- A Methodology for Performance/Energy Consumption Characterization and Modeling of Video Decoding on Heterogeneous SoC and its Applications
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
- article
- Journal of Systems Architecture, 2015, Volume 61 (Issue 1), pp.Pages 49-70. ⟨10.1016/j.sysarc.2014.11.003⟩
- Accès au bibtex
-
- titre
- A Model-driven Approach for the Generation of Customizable Model Migrations
- auteur
- Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
- article
- Communications in Computer and Information Science, 2015, Model-Driven Engineering and Software Development: Third International Conference, MODELSWARD 2015, Angers, France, February 9-11, 2015, Revised Selected Papers, 580, pp.67--81. ⟨10.1007/978-3-319-27869-8_4⟩
- Accès au bibtex
-
- titre
- Model-Based Design of Real-Time Embedded Application Reconfiguration
- auteur
- Mouna Ben Said, Yessine Hadj Kacem, Nader Ben Amor, Mickaël Kerboeuf, Mohamed Abid
- article
- Lecture Notes in Electrical Engineering, Springer, 2015, Languages, Design Methods, and Tools for Electronic System Design, 311, ⟨10.1007/978-3-319-06317-1_13⟩
- Accès au bibtex
-
- titre
- Design Pattern for self-adaptive RTE systems monitoring
- auteur
- Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf
- article
- Studies in Computational Intelligence, 2015, Software Engineering Research, Management and Applications, 578, ⟨10.1007/978-3-319-11265-7_3⟩
- Accès au bibtex
-
- titre
- Modeling the geometry and dynamics of the Endoplasmic Reticulum network
- auteur
- Congping Lin, Laurent Lemarchand, Reinhardt Euler, Imogen Sparkes
- article
- IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2015, http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7006715&url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel7%2F8857%2F4359833%2F07006715.pdf%3Farnumber%3D7006715. ⟨10.1109/TCBB.2015.2389226⟩
- Accès au bibtex
-
Conference papers
- titre
- Architecture Exploration of Real-time Systems Based on Multi-Objective Optimization
- auteur
- Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
- article
- 20th International Conference on Engineering of Complex Computer Systems (ICECCS 2015), Dec 2015, Golden Coast, Australia
- Accès au bibtex
-
- titre
- Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
- auteur
- Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedded Health Management for Autonomous UAV Mission
- auteur
- Catherine Dezan
- article
- QUT Robotics seminar , Nov 2015, Brisbane, Australia
- Accès au bibtex
-
- titre
- Design Space Exploration and High Level Synthesis
- auteur
- Philippe Coussy
- article
- International Workshop on HW/SW co-development, Nov 2015, Toulouse, France
- Accès au bibtex
-
- titre
- Testing Algorithm For Large P-median Problems In Heterogenous Road Networks
- auteur
- Pascal Rebreyend, Laurent Lemarchand
- article
- INFORMS, Nov 2015, Philadelphia, United States
- Accès au bibtex
-
- titre
- Relevance of impedance spectroscopy for the monitoring of implant-induced fibrosis: A preliminary study
- auteur
- Noëlle Lewis, Cyril Lahuec, S Renaud, Eric Mcadams, Paco Bogonez-Franco, Claire Lethias, Sabrina Kellouche, F Carreiras, Andrea Pinna, Aymeric Histace, Michel Boissière, Emmanuel Pauthe, I Lagroye, Fabien Soulier, Serge Bernard, S Binczak, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Olivier Romain
- article
- BIOCAS: Biomedical Circuits and Systems, Oct 2015, Atlanta, United States. ⟨10.1109/BioCAS.2015.7348399⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Interconnect Approach for Networks of Neural Cliques Using 3D Technology
- auteur
- Bartosz Boguslawski, Sarhan Hossam, Fabrice Seguin, Frédéric Heitzmann, Sebastien Thuries, Olivier Billoint, Fabien Clermidy
- article
- VLSI-Soc 2015 : IFIP/IEEE International Conference on Very Large Scale Integration, Oct 2015, Daejeon, Corée du Sud. pp.116 - 121, ⟨10.1109/VLSI-SoC.2015.7314402⟩
- Accès au bibtex
-
- titre
- Blending Process Assessment and Employees Competencies Assessment in Very Small Entities
- auteur
- Vincent Ribaud, Rory V O 'Connor
- article
- 22nd European Conference on Systems, Software and Services Process Improvement (EuroSPI 2015), Sep 2015, Ankara, Turkey. pp.206 - 219, ⟨10.1007/978-3-319-24647-5_17⟩
- Accès au texte intégral et bibtex
-
- titre
- Relating ICT Competencies with Personality Types
- auteur
- Vincent Ribaud, Philippe Saliou
- article
- EuroSPI 2015, Sep 2015, Ankara, Turkey. pp.1-8, ⟨10.1007/978-3-319-24647-5_24⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving Reuse by means of Asymmetrical Model Migrations: An Application to the Orcc Case Study
- auteur
- Paola Vallejo, Mickaël Kerboeuf, Kevin Martin, Jean-Philippe Babau
- article
- 2015 ACM/IEEE 18th International Conference on Model Driven Engineering Languages and Systems (MODELS), Sep 2015, Ottawa, Canada
- Accès au bibtex
-
- titre
- Context-Aware Verification of a Cruise-Control System
- auteur
- Ciprian Teodorov, Luka Leroux, Philippe Dhaussy
- article
- MEDI 2014, Sep 2015, Larnaca, Cyprus. pp.53-64, ⟨10.1007/978-3-319-11587-0_7⟩
- Accès au bibtex
-
- titre
- Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Colloque National du GRETSI, Lyon, France, september 2015, Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
- auteur
- Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
- article
- Colloque National du GRETSI, Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Réseaux de Clusters de Neurones Restreints
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde Canencia
- article
- Colloque sur le Traitement du Signal et de l'Image (GRETSI), Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Addressing Cache Related Preemption Delay in Fixed Priority Assignment
- auteur
- Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
- article
- 20th IEEE International Conference on Emerging Technologies and Factory Automation, Sep 2015, Luxembourg, France
- Accès au bibtex
-
- titre
- Relational and graph queries over a transition system
- auteur
- Siham Rim Boudaoud, Khaoula Es-Salhi, Vincent Ribaud, Ciprian Teodorov
- article
- International Conference on Computer as a Tool (EUROCON 2015), Sep 2015, Salamanque, Spain. pp.1-6, ⟨10.1109/EUROCON.2015.7313738⟩
- Accès au texte intégral et bibtex
-
- titre
- Matching network improvement for RF energy harvesters in Body Sensor Area Network context
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- EuMC 2015 : 45th European Microwave Conference, Sep 2015, Paris, France. pp.303 - 306, ⟨10.1109/EuMC.2015.7345760⟩
- Accès au bibtex
-
- titre
- KriQL: a query language for the diagnosis of transition systems
- auteur
- Khaoula Es-Salhi, Siham Rim Boudaoud, Ciprian Teodorov, Zoé Drey, Vincent Ribaud
- article
- 15th International Workshop on Automated Verification of Critical Systems - AVOCS'15, Sep 2015, Edimburgh, United Kingdom. pp.151-165
- Accès au texte intégral et bibtex
-
- titre
- Semantics based analysis of botnet activity from heterogeneous data sources
- auteur
- Santiago Ruano Rincon, Sandrine Vaton, Antoine Beugnard, Serge Garlatti
- article
- IWCMC 2015 : 11th International Wireless Communications & Mobile Computing Conference - TRAC Workshop : Traffic Analysis and Characterization, Aug 2015, Dubrovnik, Croatia
- Accès au texte intégral et bibtex
-
- titre
- Teaching Real-Time Scheduling Analysis with Cheddar
- auteur
- Frank Singhoff, Alain Plantec, Stéphane Rubini, Hai-Nam Tran, Vincent Gaudel, Jalil Boukhobza, Laurent Lemarchand, Shuai Li, Etienne Borde, Laurent Pautet, Jerome Hugues, Pierre Dissaux, Jérôme Legrand, Christian Fotsing, Blandine Djika
- article
- 9ème édition de l’Ecole d’Eté « Temps Réel », Aug 2015, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- A Meta Model Supporting both Hardware and Smalltalk-based Execution of FPGA Circuits
- auteur
- Xuan Sang Le, Loic Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
- article
- IWST 2015, ESUG, Jul 2015, Bressia, Italy. ⟨10.1145/2811237.2811296⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of analog subthreshold encoded neural network circuit in sub-100nm CMOS
- auteur
- Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- IJCNN 2015 : IEEE International Joint Conference on Neural Networks, Jul 2015, Killarney, Ireland. pp.1 - 7, ⟨10.1109/IJCNN.2015.7280672⟩
- Accès au bibtex
-
- titre
- Communication-aware Parallelization Strategies for High Performance Applications.
- auteur
- Imran Ashraf, Nader Khammassi, Koen Bertels, Jean-Christophe Le Lann
- article
- ISVLSI'15 - IEEE Computer Society Annual Symposium on VLSI, Jul 2015, Montpellier, France
- Accès au bibtex
-
- titre
- Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
- article
- Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
- Accès au bibtex
-
- titre
- FFSMark : Un Benchmark pour Systèmes de Fichiers Dédiés aux Mémoires Flash
- auteur
- Pierre Olivier, Jalil Boukhobza
- article
- Conférence d’informatique en Parallélisme, Architecture et Système 2015, Jun 2015, Lille, France. pp.10
- Accès au texte intégral et bibtex
-
- titre
- COMPA backend : Runtime dynamique pour l’exécution de programmes flot de données sur plates-formes multiprocesseurs
- auteur
- Yaset Oliva, Emmanuel Casseau, Kevin Martin, Jean-Philippe Diguet, Thanh Dinh Ngo, Yvan Eustache
- article
- COMPAS 2015 : - Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France. pp.1-9
- Accès au texte intégral et bibtex
-
- titre
- IMOCA : une architecture à base de modes de fonctionnement pour les systèmes de contrôle processus
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- 10th National Conference of Control Architectures of Robot (CAR'15), Jun 2015, Lyon, France
- Accès au bibtex
-
- titre
- Score distribution as a tool to reveal group dynamics in student projects?
- auteur
- Claire Lassudrie, Marie-Pierre Adam, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Sylvie Kerouedan, Myriam Le Goff-Pronost, Michel Morvan, Bruno Vinouze, Didier Baux
- article
- SEFI 2015 : Annual Conference of the European Society for Engineering Education, Jun 2015, Orléans, France. pp.111 - 111
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Security Architecture for disrupted protection zones in NoC−Based MPSoCs
- auteur
- Guy Gogniat, Martha Johanna Sepulveda, Flórez Daniel
- article
- 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2015), Jun 2015, Bremen, Germany
- Accès au bibtex
-
- titre
- FPGA Implementation of Bayesian Network Inference for an Embedded Diagnosis
- auteur
- Sara Zermani, Catherine Dezan, Hanen Chenini, Jean-Philippe Diguet, Reinhardt Euler
- article
- IEEE Conference on Prognostics and Health Management (PHM), Jun 2015, Austin, Texas, United States
- Accès au bibtex
-
- titre
- Un exemple de dispositif constructiviste en Licence 2 Informatique
- auteur
- Lily Blanleuil, Amandine Grosjean, Pierre Laot, Jean-Baptiste Lauté, Jimmy Tournemaine, Vincent Ribaud
- article
- Questions de Pédagogie dans l’Enseignement Supérieur, Jun 2015, Brest, France. pp.802-808
- Accès au texte intégral et bibtex
-
- titre
- Analyse d'une formation à la conduite de projets selon une grille de maturité de processus
- auteur
- Marie-Pierre Adam, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Myriam Le Goff-Pronost, Michel Morvan, Bruno Vinouze, Didier Baux
- article
- QPES 2015 : Colloque Questions de pédagogies dans l'enseignement supérieur. Innover : pourquoi et comment ?, Jun 2015, Brest, France. pp.125-130
- Accès au bibtex
-
- titre
- Bayesian Network-Based Framework for the Design of Reconfigurable Health Management Monitors
- auteur
- Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
- article
- NASA/ESA Conf. on Adaptive Hardware and Systems (AHS), Jun 2015, Montreal, Canada
- Accès au bibtex
-
- titre
- Process Assessment Issues in a Bachelor Capstone Project
- auteur
- Vincent Ribaud, Alexandre Bescond, Matthieu Gourvenec, Joël Gueguen, Victorien Lamour, Alexandre Levieux, Thomas Parvillers, Rory O'Connor
- article
- Software Process Education, Training and Professionalism, Jun 2015, Göteborg, Sweden. pp.25-33
- Accès au texte intégral et bibtex
-
- titre
- Role Framework to Support Collaborative Virtual Prototyping of System of Systems
- auteur
- Jean-Philippe Schneider, Joël Champeau, Loïc Lagadec, Eric Senn
- article
- WETICE 2015, IEEE, Jun 2015, Larnaca, Cyprus
- Accès au bibtex
-
- titre
- Real-Time Design Patterns: Architectural Designs for Automatic Semi-Partitioned and Global Scheduling
- auteur
- Magdich Amina, Yessine Hadj Kacem, Adel Mahfoudhi, Mickaël Kerboeuf, Mohamed Abid
- article
- Enterprise, Business-Process and Information Systems Modeling, Jun 2015, Stockholm, France. pp.447--460, ⟨10.1007/978-3-319-19237-6_28⟩
- Accès au bibtex
-
- titre
- A Formal, Model-driven Design Flow for System Simulation and Multi-core Implementation
- auteur
- Papa Issa Diallo, Seyed-Hosein Attarzadeh-Niaki, Francesco Robino, Ingo Sander, Joel Champeau, Johnny Oberg
- article
- SIES 2015, University of Siegen, Jun 2015, Siegen, Germany
- Accès au bibtex
-
- titre
- Des capteurs autonomes grâce à la récupération d'énergie RF large-bande
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- JNM 2015 : Journées Nationales Micro-Ondes, Jun 2015, Bordeaux, France. pp.1 - 4
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Recurrent Neural Networks: Clones Based Model and Architecture
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- IEEE Int'l Symposium on Circuits & Systems (ISCAS), May 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Recurrent Neural Networks: Clone-Based Model and Architecture
- auteur
- Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
- article
- IEEE International Symposium on Circuits and Systems (ISCAS) 2015, May 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Model-Driven Integration and Analysis of Access-control Policies in Multi-layer Information Systems
- auteur
- Salvador Martínez, Joaquin Garcia-Alfaro, Frédéric Cuppens, Nora Cuppens-Bouhlahia, Jordi Cabot
- article
- 30th IFIP International Information Security Conference (SEC), May 2015, Hamburg, Germany. pp.218-233, ⟨10.1007/978-3-319-18467-8_15⟩
- Accès au texte intégral et bibtex
-
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Hooman Jarollahi, Vincent Gripon, P Coussy, Laura Conde-Canencia, Warren J. Gross
- article
- 2015 International Symposium on Circuits and Systems (ISCAS 2015), May 2015, Lisbonne, Portugal. pp.2501 - 2504, ⟨10.1109/ISCAS.2015.7169193⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving storage of patterns in recurrent neural networks: Clone-based model and architecture
- auteur
- Hugues Wouafo, Cyrille Chavet, Philippe Coussy
- article
- 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.577-580, ⟨10.1109/ISCAS.2015.7168699⟩
- Accès au bibtex
-
- titre
- Algorithm and implementation of an associative memory for oriented edge detection using improved clustered neural networks
- auteur
- Robin Danilo, Hooman Jarollahi, Vincent Gripon, Philippe Coussy, Laura Conde-Canencia, Warren Gross
- article
- 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.2501-2504, ⟨10.1109/ISCAS.2015.7169193⟩
- Accès au bibtex
-
- titre
- Restricted Clustered Neural Network for Storing Real Data
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde-Canencia, Vincent Gripon, Warren Gross
- article
- the 25th edition, May 2015, Pittsburgh, France. pp.205-210, ⟨10.1145/2742060.2743767⟩
- Accès au bibtex
-
- titre
- Embedded Real-Time Localization of UAV based on an Hybrid Device
- auteur
- Hanen Chenini, Dominique Heller, Catherine Dezan, Jean-Philippe Diguet, Duncan Campbell
- article
- 40th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) 2015, IEEE, Apr 2015, Brisbane, Australia
- Accès au bibtex
-
- titre
- A Role Language to Interpret Multi-Formalism System of Systems Models
- auteur
- Jean-Philippe Schneider, Joël Champeau, Ciprian Teodorov, Eric Senn, Loic Lagadec
- article
- Syscon 2015, IEEE, Apr 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- A Computational Comparison of Different Algorithms for Very Large p -median Problems
- auteur
- Pascal Rebreyend, Laurent Lemarchand, Reinhardt Euler
- article
- EvoCOP 2015 - The 15th European Conference on Evolutionary Computation in Combinatorial Optimisation, Apr 2015, Copenhagen, Denmark
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Binary Cluster-Based Neural Networks: Clone-based Model and Architecture
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Internationnal workshop on Neural Coding, co-located with DATE Conference 2015, Mar 2015, Grenoble, France
- Accès au bibtex
-
- titre
- In-place memory mapping approach for optimized parallel hardware interleaver architectures
- auteur
- Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- Design Automation and Test in Europe (DATE 2015), Mar 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Towards a Meta-Language for the Concurrency Concern in DSLs
- auteur
- Julien Deantoni, Papa Issa Diallo, Ciprian Teodorov, Joël Champeau, Benoit Combemale
- article
- Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar 2015, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- In-Place Memory Mapping Approach for Optimized Parallel Hardware Interleaver Architectures
- auteur
- Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy, Awais Sani
- article
- Design, Automation and Test in Europe, Mar 2015, Grenoble, France. pp.896-899, ⟨10.7873/DATE.2015.1055⟩
- Accès au bibtex
-
- titre
- A Model Driven Approach for Telecommunication Service Creation Environments Relying on Enterprise Architecture
- auteur
- Iyas Alloush, Vanea Chiprianov, Jacques Simonin, Siegfried Rouvrais, Yvon Kermarrec
- article
- ICIN 2015 : 18th International Conference on Intelligence in Next Generation Networks, Feb 2015, Paris, France. pp.151 - 153, ⟨10.1109/ICIN.2015.7073824⟩
- Accès au bibtex
-
- titre
- Cycle-based model to evaluate consistency protocols within a multi-protocol compilation tool-chain
- auteur
- H. Chaker, L. Cudennec, S. Dahmani, G. Gogniat, M.J. Sepúlveda
- article
- COSMIC '15 Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, Feb 2015, San Francisco Bay Area, United States. ⟨10.1145/2723772.2723779⟩
- Accès au bibtex
-
- titre
- Specification of Adaptable Model Migrations
- auteur
- Paola Vallejo, Mickael Kerboeuf, Jean-Philippe Babau
- article
- MODELSWARD 2015 - Proceedings of the 3rd International Conference on Model-Driven Engineering and Software Development, Feb 2015, Angers, France
- Accès au bibtex
-
- titre
- A trace-driven approach for fast and accurate simulation of manycore architectures
- auteur
- Anastasiia Butko, Rafael Garibotti, Luciano Ost, Chris Adeniyi-Jones, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli
- article
- ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2015, Chiba, Tokyo, Japan. pp.707-712, ⟨10.1109/ASPDAC.2015.7059093⟩
- Accès au texte intégral et bibtex
-
Habilitation à diriger des recherches
- titre
- Contribution au domaine de la conception des Systèmes Embarqués et Pervasifs Faible Consommation
- auteur
- Johann Laurent
- article
- Electronique. Université de Bretagne Sud, 2015
- Accès au texte intégral et bibtex
-
Patents
- titre
- Dispositif de conversion d'energie radiofréquence en courant continu et capteur correspondant
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- France, N° de brevet: FR3017752. 14506. 2015
- Accès au bibtex
-
- titre
- Procédé et dispositif d'architecture configurable à gros grains pour exécuter l'intégralité d'un code
- auteur
- Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Kevin Martin, Philippe Coussy
- article
- France, N° de brevet: FR1460631. 2015
- Accès au bibtex
-
- titre
- Procédé et dispositif de tolérance aux fautes sur des composants électroniques
- auteur
- Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Philippe Coussy, Kevin Martin
- article
- France, N° de brevet: FR1460633. 2015
- Accès au bibtex
-
Poster communications
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde Canencia
- article
- Colloque national du GdR BioCOmp, Oct 2015, Saint Paul de Vence, France
- Accès au bibtex
-
- titre
- Compa backend: a Dynamic Runtime for the execution of dataflow programs onto multi-core platforms
- auteur
- Kevin Martin, Jean-Philippe Diguet, Yvan Eustache, Thanh Dinh Ngo, Emmanuel Casseau, Yaset Oliva
- article
- Conference on Design & Architectures for Signal & Image Processing, Demo Night, Sep 2015, Cracow, Poland. 2015
- Accès au bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
- article
- CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
- Accès au texte intégral et bibtex
-
- titre
- Réseaux de clusters de neurones restreints
- auteur
- Robin Danilo, Vincent Gripon, Philippe Coussy, Laura Conde Canencia
- article
- GRETSI 2015 : 25ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2015, Lyon, France. pp.1 - 5
- Accès au bibtex
-
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Hooman Jarollahi, Philippe Coussy, Vincent Gripon, Laura Conde Canencia
- article
- International Workshop on Neuromorphic and Brain-Based Computing Systems (Neucomp), Mar 2015, Grenoble, France
- Accès au bibtex
-
Reports
- titre
- Formal framework of recontextualization by means of dependency graphs
- auteur
- Mickaël Kerboeuf, Paola Vallejo, Jean-Philippe Babau
- article
- [Research Report] Lab-STICC_UBO_CACS_MOCS. 2015
- Accès au texte intégral et bibtex
-
Preprints, Working Papers, ...
- titre
- Phadeo : un environnement pour FPGA virtuel
- auteur
- Sebastián Tleye, Ciprian Teodorov, Erwan Fabiani, Loic Lagadec
- article
- 2015
- Accès au texte intégral et bibtex
-
2014
Journal articles
- titre
- Analog Encoded Neural Network for Power Management in MPSoC
- auteur
- Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
- article
- Analog Integrated Circuits and Signal Processing, 2014, 81 (3), pp.595 - 605. ⟨10.1007/s10470-014-0420-z⟩
- Accès au bibtex
-
- titre
- Enhancing RF-to-DC conversion efficiency of wideband RF energy harvesters using multi-tone optimization technique
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- International Journal of Microwave and Wireless Technologies, 2014, pp.1 - 11
- Accès au bibtex
-
- titre
- Soft Error Detection and Correction Technique for Radiation Hardening Based on C-element and BICS
- auteur
- Daniel Gomez Toro, Matthieu Arzel, Fabrice Seguin, Michel Jezequel
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2014, 61 (12), pp.952 - 956
- Accès au bibtex
-
- titre
- IMOCA: an architecture based on operating modes for process control systems
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- Revue des Nouvelles Technologies de l'Information, 2014, Avancées récentes dans le domaine des Architectures Logicielles, RNTI-L-7, pp.147-164
- Accès au texte intégral et bibtex
-
- titre
- Fully-Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
- auteur
- Philippe Coussy, Cyrille Chavet, Laura Conde Canencia, Hugues Nono Wouafo
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2014, pp.xx-yy
- Accès au bibtex
-
- titre
- Design Patterns for Self-Adaptive RTE Systems Specification
- auteur
- Mouna Ben Said, Yessine Hadj Kacem, Mickaël Kerboeuf, Nader Ben Amor, Mohamed Abid
- article
- International Journal of Reconfigurable Computing, 2014, 2014, 2014, Article ID 536362, 21 p. ⟨10.1155/2014/536362⟩
- Accès au bibtex
-
- titre
- Extending Enterprise Architecture Modeling Languages for Domain Specificity and Collaboration: Application to Telecommunications Service Design
- auteur
- Vanea Chiprianov, Yvon Kermarrec, Siegfried Rouvrais, Jacques Simonin
- article
- Software and Systems Modeling, 2014, 13 (3), pp.963 - 974. ⟨10.1007/s10270-012-0298-0⟩
- Accès au texte intégral et bibtex
-
- titre
- RTOS-Aware Refactoring for Portable Real-Time Design Models
- auteur
- Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
- article
- Journal of Software, 2014, 9 (7), pp.1737-1748. ⟨10.4304/jsw.9.7.1737-1748⟩
- Accès au bibtex
-
- titre
- Vérification formelle de propriétés : Application de l'outil OBP au cas d'étude CCS
- auteur
- Philippe Dhaussy, Luka Le Roux, Ciprian Teodorov
- article
- Génie logiciel : le magazine de l'ingénierie du logiciel et des systèmes, 2014, 109
- Accès au bibtex
-
- titre
- Transformation de modèles UML vers Fiacre, via les langages intermédiaires tUML et ABCD
- auteur
- Frédéric Jouault, Ciprian Teodorov, Jérôme Delatour, Luka Le Roux, Philippe Dhaussy
- article
- Génie logiciel : le magazine de l'ingénierie du logiciel et des systèmes, 2014, 109
- Accès au bibtex
-
- titre
- A Scalable and Highly Configurable Cache-Aware Hybrid Flash Translation Layer
- auteur
- Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
- article
- Computers, 2014, 3 (1), pp.36-57. ⟨10.3390/computers3010036⟩
- Accès au bibtex
-
- titre
- Model-Driven Toolset for Embedded Reconfigurable Cores: Flexible Prototyping and Software-like Debugging
- auteur
- Loic Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Damien Picard, Erwan Fabiani
- article
- Science of Computer Programming, 2014, pp.1. ⟨10.1016/j.scico.2014.02.015⟩
- Accès au bibtex
-
- titre
- DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
- article
- ACM SIGBED Review, 2014, Special Interest Group on Embedded Systems, 11 (1), pp.56-61
- Accès au bibtex
-
- titre
- Flashmon V2: monitoring raw NAND flash memory I/O requests on embedded Linux
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn
- article
- ACM SIGBED Review, 2014, 11 (1), pp.38-43. ⟨10.1145/2597457.2597462⟩
- Accès au bibtex
-
- titre
- Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
- auteur
- Pascal Cotret, Guy Gogniat
- article
- Techniques de l'Ingénieur, 2014, Référence IN175 - 10 p
- Accès au bibtex
-
- titre
- Scheduling Analysis from Architectural Models of Embedded Multi-Processor Systems
- auteur
- Stéphane Rubini, Christian Fotsing, Frank Singhoff, Hai-Nam Tran, Pierre Dissaux
- article
- ACM SIGBED Review, 2014, 11 (1)
- Accès au texte intégral et bibtex
-
- titre
- Composition of Design Pattern : from the modeling of RTOS synchronization tools to schedulability analysis
- auteur
- Vincent Gaudel, Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
- article
- ACM SIGBED Review, 2014, 11 (1), pp.44-49
- Accès au bibtex
-
- titre
- Extending UML/MARTE to support Discrete Controller Synthesis, application to Reconfigurable Systems-on-Chip modeling
- auteur
- Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
- article
- ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2014, 7 (3), pp.17. ⟨10.1145/2629628⟩
- Accès au bibtex
-
Conference papers
- titre
- Techniques and Challenges for Trace Processing from a Model-Checking Perspective
- auteur
- Vincent Ribaud, Ciprian Teodorov, Zoé Drey, Luka Leroux, Philippe Dhaussy
- article
- International Joint Conferences on Computer, Information, Systems Sciences, & Engineering - CISSE 2014, University of Bridgeport, Dec 2014, Bridgeport, United States
- Accès au texte intégral et bibtex
-
- titre
- A Tracing Toolset for Embedded Linux Flash File Systems
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
- article
- 8th International Conference on Performance Evaluation Methodologies and Tools, Dec 2014, Bratislava, Slovakia
- Accès au bibtex
-
- titre
- 3D-LeukoNoC: A Dynamic TSV-Based 3D-MPSoC Protection
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Sepulveda Daniel, Jean-Philippe Diguet, Marius Strum
- article
- International Conference on Reconfigurable Computing and FPGAs (Reconfig), Dec 2014, Cancun, Mexico
- Accès au bibtex
-
- titre
- A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
- auteur
- Mickael Lanoe, Bordin Matteo, Dominique Heller, Cyrille Chavet, Philippe Coussy
- article
- 21st IEEE International Conference on Electronics Circuits and Systems, Dec 2014, Marseille, France
- Accès au bibtex
-
- titre
- A Multilevel I/O Tracer for Timing and Performance Analysis of Storage Systems in IaaS Cloud
- auteur
- Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
- article
- 3rd IEEE Real-Time and Distributed Computing in Emerging Applications (REACTION ), Dec 2014, Rome, Italy
- Accès au bibtex
-
- titre
- Domain Specific Modeling Language for Object Localization in Marine Observatories
- auteur
- Charbel Geryes Aoun, Iyas Alloush, Yvon Kermarrec, Oussama Kassem Zein, Joël Champeau
- article
- SENSORCOMM 2014, IARIA, Nov 2014, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- On the Energy Efficiency of Parallel Multi-core vs Hardware Accelerated HD Video Decoding
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
- article
- EWiLi, the Embedded Operating Systems Workshop, Nov 2014, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Read-ahead Efficiency for Raw NAND Flash Storage in Embedded Linux
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn
- article
- EWiLi the Embedde operating Systems Workshop, Nov 2014, Lisbon, Portugal
- Accès au bibtex
-
- titre
- Elastic Security Zones for NoC-Based 3D-MPSoCs
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Marius Strum, Marius Zeferino, Daniel Florez, Jean-Philippe Diguet
- article
- 21st IEEE International Conference on Electronics Circuits and Systems, Nov 2014, Marseille, France
- Accès au bibtex
-
- titre
- What can Emerging Hardware do for your DBMS Buffer ?
- auteur
- Cheikh Salmi, Nacef Abdelhakim, Ladjel Bellatreche, Jalil Boukhobza
- article
- Proc. 17th International Workshop on Data Warehousing and OLAP (DOLAP 2014), Nov 2014, Shanghai, China. ⟨10.1145/2666158.2666181⟩
- Accès au bibtex
-
- titre
- Modélisation de la vision inspirée du vivant
- auteur
- Philippe Coussy, Cyrille Chavet
- article
- Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
- Accès au bibtex
-
- titre
- Modélisation de la vision inspirée du vivant
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
- Accès au bibtex
-
- titre
- In Vehicle Communication Networks : A Power Line Communication Study and Demonstrator for Infotainment Applications
- auteur
- Fabienne Nouvel, Philippe Tanguy
- article
- ICSNC 2014, IARIA, Oct 2014, NICE, France
- Accès au bibtex
-
- titre
- Architecture Models Refinement for Fine Grain Timing Analysis of Embedded Systems
- auteur
- Etienne Borde, Smail Rahmoun, Fabien Cadoret, Laurent Pautet, Frank Singhoff, Pierre Dissaux
- article
- IEEE International Symposium on Rapid System Prototyping, Oct 2014, New Dehli, India
- Accès au bibtex
-
- titre
- IMOCA: a Model-Based Code Generator for the Development of Multi-Platform Marine Embedded Systems
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- MOQESM'14. International Conference of Quantitative Monitoring of Underwater Environment, Oct 2014, Brest, France
- Accès au texte intégral et bibtex
-
- titre
- Communication-model based Embedded Mapping of Dataflow Actors on Heterogeneous MPSoC
- auteur
- Thanh Dinh Ngo, Sepulveda Daniel, Kevin Martin, Jean-Philippe Diguet
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2014, Madrid, France
- Accès au bibtex
-
- titre
- Dataflow program implementation onto a heterogeneous multiprocessor platform
- auteur
- Kevin Martin, Jean-Philippe Diguet, Emmanuel Casseau, Yaset Oliva
- article
- METODO, Oct 2014, Madrid, France
- Accès au texte intégral et bibtex
-
- titre
- Trusted Computing using Enhanced Manycore Architectures with Cryptoprocessors
- auteur
- C Mancillas López, M Méndez Real, L Bossuet, G Gogniat, V Fischer, A Baganne
- article
- 22nd IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Oct 2014, http://www.vlsi-soc.com/, France
- Accès au texte intégral et bibtex
-
- titre
- AADLv2, An Architecture Description Language for the Analysis and Generation of Embedded Systems
- auteur
- Jérôme Hugues, Frank Singhoff
- article
- Half day tutorial presented in the ACM HILT conference, Oct 2014, Portland, United States
- Accès au bibtex
-
- titre
- CdmCL, a Specific Textual Constraint Language for Common Data Model
- auteur
- Ahmed Ahmed, Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
- article
- International Workshop on OCL and Textual Modelling, co-located with 17th International Conference on Model Driven Engineering Languages and Systems (MODELS 2014), Sep 2014, Valencia, Spain
- Accès au bibtex
-
- titre
- Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors
- auteur
- Safae Dahmani, Loïc Cudennec, Stéphane Rémi Louise, Guy Gogniat
- article
- IEEE 8th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-14), Sep 2014, Aizu-Wakamatsu, Japan
- Accès au texte intégral et bibtex
-
- titre
- Introducing complexity into project management through multi-stakeholder interactions
- auteur
- Myriam Le Goff-Pronost, Matthieu Arzel, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Michel Morvan, Bruno Vinouze, Richard Naël, Didier Baux
- article
- SEFI 2014 : 42th annual conference, Sep 2014, Birmingham, United Kingdom. pp.135 - 135
- Accès au texte intégral et bibtex
-
- titre
- Electronic Navigational Chart Generator for a marine mobile augmented reality system
- auteur
- Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
- article
- MTS/IEEE OCEANS 2014, Sep 2014, St Jones, Canada
- Accès au texte intégral et bibtex
-
- titre
- Integration of cache related preemption delay analysis into a priority assignment algorithm
- auteur
- Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
- article
- EWiLi'14, The 4th Embedded Operating Systems Workshop., Sep 2014, Lisbon, Portugal
- Accès au texte intégral et bibtex
-
- titre
- Open-PEOPLE, A Collaborative Platform for Remote & Accurate Measurement And Evaluation of Embedded Systems Power Consumption
- auteur
- Yahia Benmoussa, Eric Senn, Jalil Boukhobza, Mickael Lanoe, Djamel Benazzouz
- article
- IEEE 22nd International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Sep 2014, Paris, France. pp.498-501, ⟨10.1109/MASCOTS.2014.72⟩
- Accès au bibtex
-
- titre
- A Domain-Specific Framework for Creating Early Trusted Underwater Systems Relying on Enterprise Architecture
- auteur
- Iyas Alloush, Charbel Geryes Aoun, Yvon Kermarrec, Siegfried Rouvrais
- article
- MASCOTS 2014 - IEEE 22nd International Symposium on Modelling, Analysis & Simulation of Computer and Telecommunication Systems, IEEE computer Society, Sep 2014, Paris, France. ⟨10.1109/MASCOTS.2014.23⟩
- Accès au texte intégral et bibtex
-
- titre
- Modeling Shared-Memory Multiprocessor Systems with AADL
- auteur
- Stéphane Rubini, Pierre Dissaux, Frank Singhoff
- article
- 1st Architecture Centric Virtual Integration (ACVI) Workshop. In conjonction with the MODELS international conference., Sep 2014, Valencia, Spain
- Accès au bibtex
-
- titre
- AADLv2, a Domain Specific Language for the Modeling, the Analysis and the Generation of Real-Time Embedded Systems
- auteur
- Frank Singhoff, Jérôme Hugues
- article
- Half day tutorial presented in the International MODELS conferences, Sep 2014, Valencia, Spain
- Accès au bibtex
-
- titre
- Extending Schedulability Tests of Tree-Shaped Transactions for TDMA Radio Protocols.
- auteur
- Shuai Li, Frank Singhoff, Stéphane Rubini, Bourdelles Michel
- article
- 19th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA'2014), Sep 2014, Barcelana, Spain
- Accès au bibtex
-
- titre
- Transforming Viewpoints of Distributed Designs to Support Simulation Scenarios
- auteur
- Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
- article
- ICSOFT-EA 2014 : proceedings of the 9th International Conference on Software Engineering and Applications, Aug 2014, Vienna, Austria. pp.321 - 328, ⟨10.5220/0004997103210328⟩
- Accès au texte intégral et bibtex
-
- titre
- Virtual Devices for Hot-Pluggable Processors
- auteur
- Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
- article
- 17th Euromicro Conference on Digital System Design (DSD), Aug 2014, Verona, Italy
- Accès au bibtex
-
- titre
- Efficient models configuration for an electric vehicle energy management software
- auteur
- Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
- article
- Euromicro Conference series on Software Engineering and Advanced Applications (SEAA), Aug 2014, Verona, Italy. pp.Pages 48-55, ⟨10.1109/SEAA.2014.80⟩
- Accès au texte intégral et bibtex
-
- titre
- Mobile Augmented Reality System for marine navigation assistance
- auteur
- Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
- article
- 12th IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. ⟨10.1109/EUC.2014.49⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Server Configuration for Multiple Streaming in a Home Network
- auteur
- Laurent Lemarchand, Isaac Armah-Mensah, Jean-Philippe Babau
- article
- International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. pp 39--45, ⟨10.1109/EUC.2014.15⟩
- Accès au bibtex
-
- titre
- Instruction cache in hard real-time systems: modeling and integration in scheduling analysis tools with AADL
- auteur
- Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
- article
- International Conference on Embedded and Ubiquitous Computing (EUC), Aug 2014, Milan, Italy. pp.104-111, ⟨10.1109/EUC.2014.24⟩
- Accès au bibtex
-
- titre
- Towards a Dynamic Infrastructure for Playing withSystems of Systems
- auteur
- Jean-Philippe Schneider, Ciprian Teodorov, Eric Senn, Joël Champeau
- article
- ECSA 2014, Aug 2014, Vienne, Austria
- Accès au bibtex
-
- titre
- A HLS-based toolflow to design next-generation heterogeneous many-core platforms with shared memory
- auteur
- Paolo Burgio, Andrea Marongiu, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Italy
- Accès au bibtex
-
- titre
- From Smalltalk to Silicon: Towards a methodology to turn Smalltalk code into FPGA
- auteur
- Le Xuan Sang, Loïc Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
- article
- IWST 14, Aug 2014, Cambridge, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Scheduling Analysis of TDMA-Constrained Tasks: Illustration with Software Radio Protocols.
- auteur
- Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
- article
- 11th IEEE International Conference on Embedded Software and Systems, Aug 2014, paris, France
- Accès au bibtex
-
- titre
- Towards agile cross-platform application development with Smalltalk and Model Driven Engineering
- auteur
- Glenn Cavarlé, Alain Plantec, Vincent Ribaud, Christophe Touzé
- article
- International Workshop on Smalltalk Technologies - IWST 2014, ESUG, Aug 2014, Cambridge, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Huffman Coding for Storing Non-uniformly Distributed Messages in Networks of Neural Cliques
- auteur
- Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
- article
- AAAI 2014 : the 28th Conference on Artificial Intelligence, Jul 2014, Québec, Canada. pp.262-268
- Accès au bibtex
-
- titre
- Synchronization of Models of Rich Languages with Triple Graph Grammars
- auteur
- Dominique Blouin, Pierre Dissaux, Frank Singhoff, Alain Plantec, Jean-Philippe Diguet
- article
- International Conference on Model Transformation (ICMT), Jul 2014, York, United Kingdom
- Accès au bibtex
-
- titre
- Stochastic Reliability Evaluation of Sea-of-Tiles Based on Double Gate Controllable-Polarity FETs
- auteur
- Catherine Dezan, Sara Zermani
- article
- IEEE/ACM NANOARCH'14, Jul 2014, Paris, France. pp.169-170
- Accès au bibtex
-
- titre
- Modeling the Geometry of the Endoplasmic Reticulum Network
- auteur
- Laurent Lemarchand, Reinhardt Euler, Congping Lin, Imogen Sparkes
- article
- 1st International Conference on Algorithms for Computational Biology -- AlCob'14, Jul 2014, Tarragona, Spain. pp.132-146
- Accès au bibtex
-
- titre
- Applying Holistic Schedulability Tests to Industrial Systems: Experience and Lessons Learned.
- auteur
- Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
- article
- 5th International Workshop on Analysis Tools and Methodologies for Embedded and Real-time Systems, Jul 2014, Madrid, Spain
- Accès au bibtex
-
- titre
- Modeling the geometry of the Endoplasmic Reticulum network
- auteur
- Reinhardt Euler, Laurent Lemarchand, Congping Lin, Imogen Sparkes
- article
- 20th Conference of the International Federation of Operational Research Societies IFORS 2014, Jul 2014, Barcelone, Spain
- Accès au bibtex
-
- titre
- Integration of physical models in the ORQA framework for electric vehicle energy management
- auteur
- Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
- article
- Doctoral Symposium on Components and Architecture (WCOP), Jun 2014, Marcq-en-Baroeul, France. pp.7-12, ⟨10.1145/2601328.2601332⟩
- Accès au texte intégral et bibtex
-
- titre
- SRMP: a software pattern for deadlocks prevention inreal-time concurrency models
- auteur
- Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
- article
- the 10th international ACM Sigsoft conference on Quality of software architectures, QoSA'14, Jun 2014, Lille, France. pp.139-144, ⟨10.1145/2602576.2602591⟩
- Accès au bibtex
-
- titre
- A comparison between Ambient Assisted Living Systems
- auteur
- Molham Darwish, Eric Senn, Christophe Lohr, Yvon Kermarrec
- article
- ICOST 2014 : 12th International Conference on Smart Homes and Health Telematics, Jun 2014, Denver, United States. pp.231 - 237, ⟨10.1007/978-3-319-14424-5_26⟩
- Accès au texte intégral et bibtex
-
- titre
- A Model Driven Approach for the Development of Fine-Grain Self-Adaptive Multitask and Networked RTE Systems
- auteur
- Mouna Ben Said, Nader Ben Amor, Yessine Hadj Kacem, Mickaël Kerboeuf, Mohamed Abid
- article
- IEEE 23rd International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE 2014), Jun 2014, Parma, Italy. ⟨10.1109/WETICE.2014.52⟩
- Accès au bibtex
-
- titre
- A UML/MARTE-Based Design Pattern for Semi-partitioned Scheduling Analysis
- auteur
- Magdich Amina, Yessine Hadj Kacem, Adel Mahfoudhi, Mickaël Kerboeuf
- article
- IEEE 23rd International Conference on Enabling Technologies: Infrastructure for Collaborative Enterprises (WETICE 2014), Jun 2014, Parma, Italy. ⟨10.1109/WETICE.2014.52⟩
- Accès au bibtex
-
- titre
- Performance exploration of partially connected 3D NoCs under manufacturing variability
- auteur
- Anelise Kologeski, Fernanda Lima Kastensmidt, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Aida Todri-Sanial
- article
- NEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
- Accès au bibtex
-
- titre
- Extending response-time analysis for the automatic synthesis of functional graphs into fixed-priority distributed systems
- auteur
- Asma Mehiaoui, Sara Tucci Piergiovanni, Chokri Mraidha, Jean-Philippe Babau
- article
- 9th IEEE International Symposium on Industrial Embedded Systems (SIES), 2014, Jun 2014, Pisa, Italy. pp.122-132, ⟨10.1109/SIES.2014.6871196⟩
- Accès au bibtex
-
- titre
- Efficient Application Mapping on CGRAs based on Backward Simultaneous Scheduling/Binding and Dynamic Graph Transformations
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jun 2014, Zurich, Switzerland. pp.6868652, ⟨10.1109/ASAP.2014.6868652⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of fibrosis induced by an implanted medical device
- auteur
- Noëlle Lewis, Sylvie Renaud, Isabelle Lagroye, Eric Mcadams, Paco Bogonez-Franco, Norbert Noury, Fabien Soulier, Serge Bernard, Claire Lethias, Cyril Lahuec, Matthieu Arzel, Rémy Agniel, Sabrina Kellouche, Michel Boissière, Franck Carreiras, Emmanuel Pauthe, Michel Bonneau, Chantal Kang, Stéphane Binczak, Andrea Pinna, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Aymeric Histace, Olivier Romain
- article
- Handicap, Jun 2014, Paris, France
- Accès au bibtex
-
- titre
- An efficient off-line configuration of an electric vehicle energy management software
- auteur
- Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
- article
- Groupement De Recherche CNRS du Génie de la Programmation et du Logiciel, Jun 2014, Paris, France
- Accès au bibtex
-
- titre
- Designing optimized parallel interleaver architecture through network customization
- auteur
- Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
- article
- Colloque national du GdR SoC-SiP, Jun 2014, France
- Accès au bibtex
-
- titre
- Multi-platform code generation for the development of IMOCA models
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- CAL 2014. Conférence francophone sur l'Architecture Logicielle, Jun 2014, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Context-aware Veri cation of a Landing Gear System
- auteur
- Philippe Dhaussy, Ciprian Teodorov
- article
- ABZ 2014, Jun 2014, Toulouse, France. pp.52-65
- Accès au bibtex
-
- titre
- A Task Model for TDMA Communications
- auteur
- Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
- article
- 9th IEEE International Symposium on Industrial Embedded Systems. WIP Session., Jun 2014, Pisa, Italy
- Accès au bibtex
-
- titre
- Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- ICSAS 2014 - International symposium on circuits and systems, Jun 2014, Melbourne, Australia
- Accès au bibtex
-
- titre
- Extending Multicore Architectures with Cryptoptocessors and Parallel Cryptography
- auteur
- C Mancillas López, Maria Mendez Réal, Lilian Bossuet, Guy Gogniat, Viktor Fischer, Adel Baganne
- article
- Colloque national du GDR SOC-SIP, Jun 2014, Paris, France
- Accès au bibtex
-
- titre
- A Prototyping Platform for Virtual Reconfigurable Units
- auteur
- Loïc Lagadec, Jean-Christophe Le Lann, Théotime Bollengier
- article
- RECOSOC 2014, May 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Evolutions viables de formes par application de mécanismes cellulaires.
- auteur
- Abdoulaye Sarr, Alexandra Fronville, Laurent Lemarchand, Pascal Ballet, Vincent Rodin
- article
- 34ème séminaire de la société francophone de biologie théorique (SFBT), May 2014, SFBT, France
- Accès au bibtex
-
- titre
- A Design Approach to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerator
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
- article
- GLSVLSI 2014, May 2014, Houston, United States
- Accès au bibtex
-
- titre
- A Memory Mapping Approach based on Network Customization to Design Conflict-Free Parallel Hardware Architectures
- auteur
- Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
- article
- ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2014, France. pp.xx-yy
- Accès au bibtex
-
- titre
- A Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
- article
- ISCAS 2014 - IEEE International Symposium on Circuits and Systems, May 2014, Melbourne, Australia. pp.XX
- Accès au bibtex
-
- titre
- An automated design approach to map applications on CGRAs
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- GLSVLSI Great Lakes Symposium on VLSI, May 2014, Houston, Texas, United States. pp.229-230, ⟨10.1145/2591513.2591552⟩
- Accès au texte intégral et bibtex
-
- titre
- Flash storage systems: performance and power consumption issues
- auteur
- Jalil Boukhobza
- article
- ECOFAC, Ecole thématique COnception FAible Consommation pour les systèmes embarqués temps réels, May 2014, Lorient, France
- Accès au bibtex
-
- titre
- Cluster-Head Selection Algorithm to Enhance Energy-Efficiency and Reliability of Wireless Sensor Networks
- auteur
- Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
- article
- 20th European Wireless (EW) Conference., May 2014, Barcelona, Spain. pp. xxx
- Accès au bibtex
-
- titre
- Embedding Polynomial Time Memory Mapping and Routing Algorithms on-chip to Design Configurable Decoder Architecture
- auteur
- Saeed Ur Reehman, Awais Hussein Sani, Philippe Coussy, Cyrille Chavet
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing, May 2014, Italy. pp.xx-yy
- Accès au bibtex
-
- titre
- FPGAs virtuels : enjeux et usages
- auteur
- Loïc Lagadec
- article
- COMPAS 2014, Apr 2014, Neuchâtel, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Une approche de conception pour générer automatiquement des moniteurs sur puce pendant la synthèse de haut niveau d'accélérateurs matériels
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- COMPAS 2014 - Conférence en Parallélisme, Architecture et Système, Apr 2014, Neuchâtel, Suisse
- Accès au bibtex
-
- titre
- Ordonnancement, assignation et transformations dynamiques de graphe simultanés pour projeter efficacement des applications sur CGRAs
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- ComPAS 2014 : conférence en parallélisme, architecture et systèmes, Apr 2014, Neuchatel, Suisse
- Accès au texte intégral et bibtex
-
- titre
- A High-Level Programming Model to Ease Pipeline Parallelism Expression On Shared Memory Multicore Architectures
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- HPC 2014, Apr 2014, Tampa, FL, United States. pp.XX
- Accès au bibtex
-
- titre
- From Software Code to Hardware: Directions in High-Level Synthesis
- auteur
- Philippe Coussy
- article
- International Workshop on "Electronic System-Level Design towards Heterogeneous Computing", IEEE Design Automation and Test in Europe DATE, March 2014, Mar 2014, Germany
- Accès au bibtex
-
- titre
- Online Inference for Adaptive Diagnosis via Arithmetic Circuit Compilation of Bayesian Networks
- auteur
- Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
- article
- Designing with Uncertainty: Opportunities & Challenges workshop, Mar 2014, York, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- A tightly-coupled Hardware Controller to improve scalability and programmability of shared-memory heterogeneous clusters
- auteur
- Paolo Burgio, Robin Danilo, Andrea Marongiu, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Design, Automation and Test in Europe (DATE), Mar 2014, Germany. pp.XX, YY
- Accès au bibtex
-
- titre
- VLSI Architectures and NoCs for Neural Coding
- auteur
- Jean-Philippe Diguet, Philippe Coussy, Cyrille Chavet
- article
- 1st International Symposium on Brainware LSI, Mar 2014, Japan
- Accès au bibtex
-
- titre
- DESIGN AND IMPLEMENTATION OF A CACHE HIERARCHY-AWARE TASK SCHEDULING FOR PARALLEL LOOPS ON MULTICORE ARCHITECTURES
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- PDCTA 2014, Feb 2014, Sydney, Australia
- Accès au bibtex
-
- titre
- Embedded Databases on Flash Memories: Performance and Lifetime Issues, the case of SQLite
- auteur
- Jalil Boukhobza, Pierre Olivier, Loic Plassart, Hamza Ouarnoughi, Ladjel Bellatreche
- article
- Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
- auteur
- P Dissaux, O Marc, S. Rubini, C Fotsing, V Gaudel, Frank Singhoff, A Plantec, Hai Nam Tran, Vương Nguyễn-Hồng
- article
- Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- Tackling Real-Time Signal Processing Applications on Shared Memory Multicore Architectures Using XPU
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- ERTS 2014, Feb 2014, Toulouse, France
- Accès au bibtex
-
- titre
- The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
- auteur
- Pierre Dissaux, Olivier Marc Marc, Stéphane Rubini, Christian Fotsing, Vincent Gaudel, Frank Singhoff, Alain Plantec, Vuong Nguyen-Hong, Hai-Nam Tran
- article
- Embedded Real Time Software and Systems, Feb 2014, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- New reconfigurable fault tolerant FPGA architecture: A design for mission critical applications
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec
- article
- Workshop on Reconfigurable Computing (WRC), Jan 2014, Vienne, Austria. pp.WRC 2014
- Accès au bibtex
-
Book sections
- titre
- Hardware design of parallel interleaver architecture: a survey
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Advanced Hardware Design for Error Correcting Codes, Springer, pp.xx-yy, 2014
- Accès au bibtex
-
- titre
- XPU: A C++ Metaprogramming Approach to Ease Parallelism Expression: Parallelization Methodology, Internal Design and Practical Application
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- Parallel Programming: Practical Aspects, Models and Current Limitations, NOVA publishers, pp.175-198, 2014, 978-1-60741-263-2
- Accès au bibtex
-
Books
- titre
- SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 4th Workshop on Embed With Linux (EWiLi 2014)
- auteur
- Jalil Boukhobza, Jean-Philippe Diguet, Pierre Ficheux, Frank Singhoff
- article
- ACM. ACM, 11 (4), 2014, ISSN: 1551-3688
- Accès au bibtex
-
- titre
- Preface to the special issue on advances in Smalltalk based systems
- auteur
- Loïc Lagadec, Alain Plantec
- article
- 96 (1), pp.1-3, 2014, Science of Computer Programming, Science direct, ⟨10.1016/j.scico.2014.07.004⟩
- Accès au bibtex
-
- titre
- Advanced Hardware Design for Error Correcting Codes
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Springer, pp.200, 2014
- Accès au bibtex
-
- titre
- International workshop on smalltalk technologies 2011 special issue (Journal of Software: Practice and Experience)
- auteur
- Alain Plantec, Loic Lagadec
- article
- Wiley, pp.91, 2014, ⟨10.1002/spe.2172⟩
- Accès au bibtex
-
- titre
- SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 3rd Workshop on Embed With Linux (EWiLi 2013)
- auteur
- Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
- article
- ACM, pp.79, 2014, 1551-3688
- Accès au bibtex
-
Patents
- titre
- Architecture de réseau de neurone, procédé d'obtention et programmes correspondants
- auteur
- Cyrille Chavet, Philippe Coussy, Nicolas Charpentier
- article
- France, N° de brevet: 1261155. 2014
- Accès au bibtex
-
- titre
- Système de traitement de données avec cache actif
- auteur
- Cyrille Chavet, Philippe Coussy, Jean-Philippe Diguet, John Shield
- article
- N° de brevet: 1256715. 2014
- Accès au bibtex
-
Poster communications
- titre
- Orcc's Compa-Backend demonstration
- auteur
- Yaset Oliva, Emmanuel Casseau, Kevin Martin, Pierre Bomel, Jean-Philippe Diguet, Hervé Yviquel, Mickael Raulet, Erwan Raffin, Laurent Morin
- article
- Conference on Design and Architectures for Signal and Image Processing, Demo Night, Oct 2014, Madrid, Spain. 2014
- Accès au texte intégral et bibtex
-
- titre
- Déploiement à la volée de réseaux d'acteurs dataflow dynamiques sur plateforme multiprocesseurs hétérogène
- auteur
- Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
- article
- SoCSiP, Jun 2014, Paris, France. 2014
- Accès au texte intégral et bibtex
-
- titre
- Multithreading for Compute Accelerators Through Distributed Shared Memory Design
- auteur
- Rafael Garibotti, Luciano Ost, Abdoulaye Gamatié, Vianney Lapotre, Chris Adeniyi-Jones, Gilles Sassatelli
- article
- DAC: Design Automation Conference, Jun 2014, San Francisco, United States. IEEE Design Automation Conference, 2014, Work-in-Progress Session
- Accès au bibtex
-
Proceedings
- titre
- A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
- auteur
- Bordin Matteo, Mickael Lanoe, Dominique Heller, Cyrille Chavet, Philippe Coussy
- article
- 21st IEEE International Conference on Electronics Circuits & Systems, Dec 2014, Marseille, France. 2014
- Accès au bibtex
-
Reports
- titre
- Operational Semantics of the Model of Concurrency and Communication Language
- auteur
- Julien Deantoni, Papa Issa Diallo, Joël Champeau, Benoit Combemale, Ciprian Teodorov
- article
- [Research Report] RR-8584, INRIA. 2014, pp.23
- Accès au texte intégral et bibtex
-
- titre
- On Fault Diagnosis using Bayesian Networks ; A Case Study of Combinational Adders.
- auteur
- Sara Zermani, Catherine Dezan, Reinhardt Euler
- article
- 2014
- Accès au texte intégral et bibtex
-
2013
Journal articles
- titre
- Introduction de la prédiction de branchement dans la synthèse de haut niveau
- auteur
- Vianney Lapotre, Philippe Coussy, Cyrille Chavet
- article
- Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, n° 2/2013, 281-301, pp.XX-YY
- Accès au bibtex
-
- titre
- Performance analysis and modeling of SQLite embedded databases on flash file systems
- auteur
- Hamza Ouarnoughi, Jalil Boukhobza, Pierre Olivier, Loic Plassart, Ladjel Bellatreche
- article
- Design Automation for Embedded Systems An International Journal, 2013, Volume 17 (Issue 3-4), pp.507-542. ⟨10.1007/s10617-014-9149-2⟩
- Accès au bibtex
-
- titre
- A First Step Toward On-Chip Memory Mapping for Parallel Turbo and LDPC Decoders: A Polynomial Time Mapping Algorithm
- auteur
- Awais Hussein Sani, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- IEEE Transactions on Signal Processing, 2013, pp.xx-yy
- Accès au bibtex
-
- titre
- Un système de cache hiérarchique pour les E/S présentant des motifs séquentiels pour les mémoires flash NAND
- auteur
- Pierre Olivier, Jalil Boukhobza
- article
- Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, 32 (2), pp.203-228. ⟨10.3166/tsi.32.203-228⟩
- Accès au bibtex
-
- titre
- Model-driven physical-design automation for FPGAs: fast prototyping and legacy reuse
- auteur
- Ciprian Teodorov, Loic Lagadec
- article
- Software: Practice and Experience, 2013, 44 (4), pp.455-482. ⟨10.1002/spe.2190⟩
- Accès au bibtex
-
- titre
- Configurable Memory Security in Embedded Systems
- auteur
- Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Russel Tessier, D. Unnikrishnan
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2013, 12/ (3), pp.71. ⟨10.1145/2442116.2442121⟩
- Accès au bibtex
-
- titre
- Management of stateful firewall misconfiguration
- auteur
- García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Salvador Martinez Perez, Jordi Cabot
- article
- Computers & Security, 2013, 39 (11), pp.64-85
- Accès au bibtex
-
- titre
- An Efficient Framework for Power-Aware Design of Heterogeneous MPSoC
- auteur
- Rabie Ben Atitallah, Eric Senn, Daniel Chillet, Mickael Lanoe, Dominique Blouin
- article
- IEEE Transactions on Industrial Informatics, 2013, 9 (1), pp.487-501. ⟨10.1109/TII.2012.2198657⟩
- Accès au bibtex
-
- titre
- Resource Management for Multimedia Applications, Distributed in Open and Heterogeneous Home Networks
- auteur
- Maxime Louvel, Alain Plantec, Jean-Philippe Babau
- article
- Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
- Accès au bibtex
-
- titre
- Architectures of flexible symmetric key crypto engines--a survey: From hardware coprocessor to multi-crypto-processor system on chip
- auteur
- Lilian Bossuet, Michael Grand, Lubos Gaspar, Viktor Fischer, Guy Gogniat
- article
- ACM Computing Surveys, 2013, Volume 45 Issue 4, August 2013 (Article No. 41), 32 p. ⟨10.1145/2501654.2501655⟩
- Accès au bibtex
-
- titre
- Resource management for multimedia applications, distributed in open and heterogeneous home networks
- auteur
- Maxime Louvel, Alain Plantec, Jean-Philippe Babau
- article
- Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Recent advances in homomorphic encryption: a possible future for signal processing in the encrypted domain
- auteur
- Carlos Aguilar Melchor, Simon Fau, Caroline Fontaine, Guy Gogniat, Renaud Sirdey
- article
- IEEE Signal Processing Magazine, 2013, pp.108-107
- Accès au bibtex
-
- titre
- Automated Measurement of Models of Requirements
- auteur
- Martin Monperrus, Benoit Baudry, Joël Champeau, Brigitte Hoeltzener, Jean-Marc Jézéquel
- article
- Software Quality Journal, 2013, 21 (1), pp.3-22. ⟨10.1007/s11219-011-9163-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Reconciling run-time evolution and resource-constrained embedded systems through a component-based development framework
- auteur
- Juan Navas, Jean-Philippe Babau, Jacques Pulou
- article
- Science of Computer Programming, 2013, 8, pp.1073-1098. ⟨10.1016/j.scico.2012.08.004⟩
- Accès au bibtex
-
Conference papers
- titre
- A multi-tone RF energy harvester in body sensor area network context
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- LAPC 2013 : Loughborough Antennas and Propagation Conference, Nov 2013, Loughborough, United Kingdom. pp.238 - 241, ⟨10.1109/LAPC.2013.6711891⟩
- Accès au bibtex
-
- titre
- Towards practical program execution over fully homomorphic encryption schemes
- auteur
- Simon Fau, Renaud Sirdey, Caroline Fontaine, Carlos Aguilar Melchor, Guy Gogniat
- article
- 2013 Eighth International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2013), Oct 2013, Compiègne, France
- Accès au bibtex
-
- titre
- A Model-Driven Approach to Enhance Tool Interoperability using the Theory of Models of Computation
- auteur
- Papa Issa Diallo, Joël Champeau, Loïc Lagadec
- article
- SLE 2013 - 6th International Conference on Software Language Engineering, Oct 2013, United States
- Accès au bibtex
-
- titre
- A CONFLICT-FREE MEMORY MAPPING APPROACH TO DESIGN PARALLEL HARDWARE INTERLEAVER ARCHITECTURES WITH OPTIMIZED NETWORK AND CONTROLLER
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy
- article
- IEEE Workshop on Signal Processing Systems (SiPS), Oct 2013, Taipei, Taiwan. pp.xx-yy
- Accès au bibtex
-
- titre
- How to exploit the device diversity and database interaction to propose a generic cost model?
- auteur
- Ladjel Bellatreche, Cheikh Salmi, Sebastien Bress, Amira Kerkad, Ahcène Boukorca, Jalil Boukhobza
- article
- International Database Engineering & Applications Symposium IDEAS '13, Oct 2013, Barcelona, Spain. pp.142-147, ⟨10.1145/2513591.2513660⟩
- Accès au bibtex
-
- titre
- Architecture and Programming Model Support for Efficient Heterogeneous Computing on Tightly-Coupled Shared-Memory Clusters
- auteur
- Paolo Burgio, Andrea Marongiu, Robin Danilo, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2013, Turkey. pp.XX, YY
- Accès au bibtex
-
- titre
- Rapid Design and Prototyping of a Reconfigurable Decoder Architecture for QC-LDPC Codes
- auteur
- Purushotham Murugappa Velayuthan, Vianney Lapotre, Amer Baghdadi, Michel Jezequel
- article
- RSP 2013 : 24th IEEE International Symposium on Rapid System Prototyping, Oct 2013, Montreal, Canada
- Accès au bibtex
-
- titre
- Enforcing Software Engineering Tools Interoperability: An Example with AADL Subsets.
- auteur
- Vincent Gaudel, Alain Plantec, Frank Singhoff, Jérôme Hugues, Pierre Dissaux, Jérôme Legrand
- article
- 24th International Symposium on Rapid System Prototyping (RSP), Oct 2013, Montreal, Canada
- Accès au bibtex
-
- titre
- Specification of a Legacy Tool by Means of a Dependency Graph to Improve its Reusability
- auteur
- Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
- article
- 7th MoDELS workshop on Models and Evolution, Sep 2013, Miami, United States. pp.1
- Accès au bibtex
-
- titre
- PLiMoS, a DSML to Reify Semantics Relationships: An Application to Model-Based Product Lines
- auteur
- Stephen Creff, Joël Champeau
- article
- MODELS 2013, Sep 2013, Miami, United States
- Accès au texte intégral et bibtex
-
- titre
- Model-Driven Extraction and Analysis of Network Security Policies
- auteur
- Salvador Martinez Perez, García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Jordi Cabot
- article
- Model-Driven Engineering Languages and Systems - 16th International Conference, MODELS 2013, Sep 2013, Miami, United States. pp.52-68
- Accès au bibtex
-
- titre
- Enhance the reusability of Models and their Behavioral correctness
- auteur
- Papa Issa Diallo, Joël Champeau, Loïc Lagadec
- article
- MODELS 2013 - Workshop GEMOC, Sep 2013, Miami, United States
- Accès au bibtex
-
- titre
- Model Federation in toolchains
- auteur
- Joël Champeau, Vincent Leilde, Papa Issa Diallo
- article
- MODELS 2013, Sep 2013, Miami, United States
- Accès au texte intégral et bibtex
-
- titre
- Fine-grain Adaptation for Real Time Embedded Systems using UML/MARTE Profile
- auteur
- Mouna Ben Said, Yessine Hadj Kacem, Nader Ben Amor, Mickaël Kerboeuf
- article
- 2013 Forum on specification and Design Languages, Sep 2013, Paris, France. pp.102-108
- Accès au bibtex
-
- titre
- GeCoS: A framework for prototyping custom hardware design flows
- auteur
- Antoine Floch, Tomofumi Yuki, Ali El-Moussawi, Antoine Morvan, Kevin Martin, Maxime Naullet, Mythri Alle, Ludovic L'Hours, Nicolas Simon, Steven Derrien, François Charot, Christophe Wolinski, Olivier Sentieys
- article
- 13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), Sep 2013, Eindhoven, Netherlands. pp.100-105, ⟨10.1109/SCAM.2013.6648190⟩
- Accès au bibtex
-
- titre
- How to train engineering students to cope with complexity in project management ?
- auteur
- Bruno Vinouze, Antoine Beugnard, Jean-Philippe Coupez, François Gallée, Claire Lassudrie, Myriam Le Goff-Pronost, Michel Morvan, Jérome Bourges, Didier Baux
- article
- SEFI 2013 : 41th SEFI Conference, Sep 2013, Leuven, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Early exploring design alternatives of smart sensor software with Model of Computation implemented with actors
- auteur
- Jean-Philippe Schneider, Zoé Drey, Jean-Christophe Le Lann
- article
- ESUG 2013 - 21th International Smalltalk Conference, Sep 2013, Annecy, France
- Accès au bibtex
-
- titre
- Parallel deadlock detection and recovery for networks-on-chip dedicated to diffused computations
- auteur
- Pierre Bomel, Marc Sevaux
- article
- Proceedings of the Euromicro DSD/SEAA conference, Sep 2013, Santander, Spain. pp.29-36
- Accès au bibtex
-
- titre
- Stopping-free dynamic configuration of a multi-ASIP turbo decoder
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
- article
- DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
- Accès au texte intégral et bibtex
-
- titre
- Energy Consumption Modeling of H.264/AVC Video Decoding for GPP and DSP
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
- article
- 16th EUROMICRO conference Series on Digital System Design (DSD), Sep 2013, France. pp.890-897, ⟨10.1109/DSD.2013.100⟩
- Accès au bibtex
-
- titre
- Placement de données en mémoire sans conflit pour l'optimisation du réseau d'interconnexion et du contrôleur des entrelaceurs parallèles
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- Colloque GRETSI, Sep 2013, France. pp.xx-yy
- Accès au bibtex
-
- titre
- Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
- article
- GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Branch Prediction For High-Level Synthesis
- auteur
- Vianney Lapotre, Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Robin Danilo
- article
- International Conference on Field Programmable Logic and Applications, Sep 2013, Portugal. pp.XX-YY
- Accès au bibtex
-
- titre
- AADLv2, an Architecture Description Language for the Analysis and Generation of Embedded Systems
- auteur
- Jérôme Hugues, Frank Singhoff
- article
- Half day tutorial presented in the International EMSOFT/ESWEEK conferences, Sep 2013, Montreal, Canada
- Accès au bibtex
-
- titre
- A transversal alignment between measurements and enterprise architecture for early verification of telecom service design
- auteur
- Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
- article
- 19th Open European Summer School (EUNICE), Aug 2013, Chemnitz, Germany. pp.245-256, ⟨10.1007/978-3-642-40552-5_22⟩
- Accès au texte intégral et bibtex
-
- titre
- DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz, Yassine Hadjadj-Aoul
- article
- EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.8
- Accès au texte intégral et bibtex
-
- titre
- Flashmon V2: Monitoring Raw NAND Flash Memory I/O Requests on Embedded Linux
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn
- article
- EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.4
- Accès au texte intégral et bibtex
-
- titre
- Reverse Engineering of Database Security Policies
- auteur
- Salvador Martínez, Martinez Cosentino, Jordi Cabot, Frédéric Cuppens
- article
- DEXA 2013 : 24th International Conference on Database and Expert Systems Applications, Aug 2013, Prague, Czech Republic. pp.442 - 449, ⟨10.1007/978-3-642-40173-2_37⟩
- Accès au bibtex
-
- titre
- GPP vs DSP : A Performance/Energy Characterization and Evaluation of V ideo Decoding
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
- article
- IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Aug 2013, San Francisco, United States. pp.273-282, ⟨10.1109/MASCOTS.2013.35⟩
- Accès au bibtex
-
- titre
- A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
- article
- ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
- Accès au texte intégral et bibtex
-
- titre
- A generalized model transformation approach to link design models to network simulators: NS-3 case study
- auteur
- Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
- article
- SIMULTECH 2013 : the 3rd International Conference on Simulation and Modeling Methodologies, Technologies and Applications, Jul 2013, Rekjavik, Iceland. pp.337 - 344, ⟨10.5220/0004407503370344⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards an Access-Control Metamodel for Web Content Management Systems
- auteur
- Salvador Martinez Perez, García-Alfaro Joaquin, Cuppens Frédéric, Nora Cuppens-Boulahia, Jordi Cabot
- article
- ICWE 2013: the International Conference on Web Engineering - MDWE 2013: Model-Driven Web Engineering, Jul 2013, Aalborg, Denmark. pp 148-155
- Accès au bibtex
-
- titre
- An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
- auteur
- Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
- article
- ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
- Accès au texte intégral et bibtex
-
- titre
- An Automated Tool Selection Method based on Model Transformation: OPNET and NS-3 Case Study
- auteur
- Iyas Alloush, Yvon Kermarrec, Siegfried Rouvrais
- article
- SPECTS 2013 : the 16th International Symposium on Performance Evaluation of Computer and Telecommunication Systems, Jul 2013, Toronto, Canada. pp.10 - 17
- Accès au texte intégral et bibtex
-
- titre
- Study of a Cosmic Ray Impact on Combinatorial Logic Circuits of an 8bit SAR ADC in 65nm CMOS Technology
- auteur
- Daniel Gomez Toro, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
- article
- MWSCAS 2013 : IEEE 56th International Midwest Symposium on Circuits and Systems, Jul 2013, Columbus, United States
- Accès au bibtex
-
- titre
- DPMP: A Software Pattern for Real-Time Tasks Merge
- auteur
- Rania Mzid, Chokri Mraidha, Asma Mehiaoui, Sara Tucci Piergiovanni, Jean-Philippe Babau, Mohamed Abid
- article
- 9th European Conference on Modelling Foundations and Applications, Jul 2013, Montpellier, France. pp.101-117, ⟨10.1007/978-3-642-39013-5_8⟩
- Accès au bibtex
-
- titre
- Coupled open navigation and augmented reality systems for skippers
- auteur
- Ronan Douguet, Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
- article
- International conference on innovation in high performance sailing yachts (Innov'Sail), Jun 2013, Lorient, France
- Accès au bibtex
-
- titre
- TAG SHEPERD: a Low Cost and Non Intrusive Man Overboard Detection System
- auteur
- Johann Laurent, Nicolas Le Griguer, Jean-Philippe Diguet
- article
- International Conference On Innovation In Hight Performance Sailing Yatchs, Jun 2013, Lorient, France
- Accès au bibtex
-
- titre
- A two-step optimization technique for functions placement, partitioning, and priority assignment in distributed systems
- auteur
- Asma Mehiaoui, Ernest Wozniak, Sara Tucci Piergiovanni, Chokri Mraidha, Marco Di Natale, Haibo Zeng, Jean-Philippe Babau, Laurent Lemarchand, Sébastien Gerard
- article
- SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2013, Jun 2013, Seattle, United States. pp.121-132, ⟨10.1145/2465554.2465572⟩
- Accès au bibtex
-
- titre
- Increasing the autonomy of Wireless Sensor Node by effective use of both DPM and DVFS methods
- auteur
- Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
- article
- 12th Edition of IEEE Faible Tension Faible Consommation - IEEE FTFC 2013, Jun 2013, Paris, France. pp.xx-yy
- Accès au texte intégral et bibtex
-
- titre
- ORQA: Modeling Energy and Quality of Service within AUTOSAR Models
- auteur
- Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
- article
- Quality of Software Architectures (QoSA), Jun 2013, Vancouver, Canada. pp.Pages 3-12, ⟨10.1145/2465478.2465488⟩
- Accès au texte intégral et bibtex
-
- titre
- Analog Encoded Neural Network for Power Management in MPSoC
- auteur
- Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
- article
- NEWCAS 2013 : proceedings of the 11th IEEE international NEWCAS conference, Jun 2013, Paris, France. pp.1 - 4
- Accès au bibtex
-
- titre
- Evaluation of the Performance/Energy Overhead in DSP Video Decoding and its Implications
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
- article
- Annual Metting of the GDR SoC SiP, Jun 2013, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- The detection and localization of hard-failure for Wireless Sensor Node based on online power management
- auteur
- Van-Trinh Hoang, Nathalie Julien, Pascal Berruet
- article
- Le huitième colloque du GDR SOC-SIP du CNRS, Jun 2013, Lyon, France
- Accès au bibtex
-
- titre
- Open Data Buoy to Analyze Weather and Sea Conditions for Sailing Regattas
- auteur
- Ronan Douguet, Jean-Philippe Diguet, Johann Laurent, Yann Riou
- article
- OCEANS'13 MTS/IEEE Bergen, Jun 2013, Bergen, Norway. Open Data Buoy to Analyze Weather and Sea Conditions for Sailing RegattasGroupama Sailing Team
- Accès au bibtex
-
- titre
- Toward a Unified Performance and Power Consumption NAND Flash Memory Model of Embedded and Solid State Secondary Storage Systems
- auteur
- Pierre Olivier, Jalil Boukhobza, Eric Senn
- article
- GDR Soc-Sip 2013 Meeting, Jun 2013, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Efficient NISI Compensation Technique for a Low-Cost Satellite Video Receiver
- auteur
- Esteban Gabriel Cabanillas, Cyril Lahuec, Didier Lohy, Michel Jezequel
- article
- ICC 2013 : IEEE International Conference on Communications, Jun 2013, Budapest, Hungary
- Accès au bibtex
-
- titre
- APPRENTISSAGE SITUE DE L'INGENIERIE DES SYSTEMES D'INFORMATION -LA METHODE DU SAUMON
- auteur
- Vincent Ribaud, Philippe Saliou
- article
- Questions de Pédagogies dans l'Enseignement Supérieur, Jun 2013, Sherbrooke, Canada. pp.279-289
- Accès au texte intégral et bibtex
-
- titre
- Cheddar : about the usability of the real-time scheduling theory
- auteur
- Frank Singhoff
- article
- CCT CNES Seminar, Jun 2013, Toulouse, France
- Accès au bibtex
-
- titre
- Deterministic Implementation of Periodic-Delayed Communications and Experimentation in AADL
- auteur
- Fabien Cadoret, Robert Thomas, Etienne Borde, Laurent Pautet, Frank Singhoff
- article
- 17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2013), Jun 2013, Paderborn, Germany
- Accès au bibtex
-
- titre
- IMOCA: an architecture based on parameterizable operating modes for control applications in an uncertain environment
- auteur
- Goulven Guillou, Jean-Philippe Babau
- article
- CAL 2013. 7ième conférence francophone sur les architectures logicielles., May 2013, Toulouse, France
- Accès au texte intégral et bibtex
-
- titre
- ON-CHIP IMPLEMENTATION OF MEMORY MAPPING ALGORITHM TO SUPPORT FLEXIBLE DECODER ARCHITECTURE
- auteur
- Sani Awais Hussein, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- 38th International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2013, France. pp.XX-YY
- Accès au bibtex
-
- titre
- Introducing a Data Sliding Mechanism for Cooperative Caching in Manycore Architectures
- auteur
- Safae Dahmani, Loïc Cudennec, Guy Gogniat
- article
- The 27th IEEE International Parallel & Distributed Processing Symposium, May 2013, Boston, Massachusetts, United States. pp.335-344
- Accès au texte intégral et bibtex
-
- titre
- Virtual UARTs for Reconfigurable Multi-processor Architectures
- auteur
- Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
- article
- IEEE 27th International Symposium on Parallel and Distributed Processing, May 2013, United States. pp.Pages 252-259
- Accès au bibtex
-
- titre
- The Cost of Problem-Based Learning: An Example in Information Systems Engineering
- auteur
- Vincent Ribaud, Philippe Saliou
- article
- 2013 IEEE 26th Conference on Software Engineering Education and Training (CSEE&T), IEEE, May 2013, San Fransisco, United States. pp.259-263, ⟨10.1109/CSEET.2013.6595257⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
- article
- ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
- Accès au texte intégral et bibtex
-
- titre
- Analog implementation of encoded neural networks
- auteur
- Benoit Larras, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, China. pp.1 - 4
- Accès au bibtex
-
- titre
- Récupération d'énergie pour capteurs autonomes dans un contexte BSAN : Comparaison expérimentale entre sources d'énergie radiofréquences et lumineuses
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- JNM 2013 : 18èmes Journées Nationales Microondes, May 2013, Paris, France
- Accès au bibtex
-
- titre
- A Memory Mapping Approach for Network and Contro