Publications HAL du labo/EPI Lab-STICC

2024

Journal articles

titre
NAVIDRO, a CARES architectural style for configuring drone co-simulation
auteur
Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
article
ACM Transactions on Embedded Computing Systems (TECS), In press, ⟨10.1145/3651889⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04514108/file/3651889.pdf BibTex

2023

Journal articles

titre
A POSIX/RTEMS monitoring tool and a benchmark to detect real-time scheduling anomalies
auteur
Blandine Djika, Georges-Edouard Kouamou, Frank Singhoff, Alain Plantec
article
Ada User Journal, 2023, 44 (3)
Accès au bibtex
BibTex
titre
A novel bi-anomaly-based intrusion detection system approach for industry 4.0
auteur
Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
article
Future Generation Computer Systems, 2023, ⟨10.1016/j.future.2023.03.024⟩
Accès au bibtex
BibTex
titre
ADEPT 2022 workshop: a summary of strengths and weaknesses of the AADL ecosystem
auteur
Frank Singhoff, Jérôme Hugues, Hai Nam Tran, Gianluca Bardaro, Dominique Blouin, Marco Bozzano, Patrick Denzler, Pierre Dissaux, Eric Senn, Xiong Xu, Zhibing Yang
article
Ada User Journal, 2023, 44 (2), pp.125-128
Accès au bibtex
BibTex
titre
Accelerating Random Forest on Memory-Constrained Devices through Data Storage Optimization
auteur
Camélia Slimani, Chun-Feng Wu, Stéphane Rubini, Yuan-Hao Chang, Jalil Boukhobza
article
IEEE Transactions on Computers, 2023, 72 (6), pp.1595 - 1609. ⟨10.1109/TC.2022.3215898⟩
Accès au bibtex
BibTex

Conference papers

titre
Work-In-Progress: Could Tensorflow applications benefit from a mixed-criticality approach?
auteur
Alan Le Boudec, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Sébastien Levieux, Alexandre Skrzyniarz
article
Brief presentation at the 44th IEEE Real-Time Systems Symposium (RTSS), Dec 2023, Taipei, Taiwan
Accès au bibtex
BibTex
titre
GrIOt: Graph-based Modeling of HPC Application I/O Call Stacks for Predictive Prefetch
auteur
Louis-Marie Nicolas, Salim Mimouni, Philippe Couvée, Jalil Boukhobza
article
SC-W 2023: Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis - 8th International Parallel Data Systems Workshop, Nov 2023, Denver CO USA, France. pp.1195-1201, ⟨10.1145/3624062.3624189⟩
Accès au bibtex
BibTex
titre
Training K-means on Embedded Devices: a Deadline-aware and Energy Efficient Design
auteur
Hafsa Kara Achira, Camélia Slimani, Jalil Boukhobza
article
31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.89-96
Accès au texte intégral et bibtex
https://hal.science/hal-04290802/file/EK_means_paper___revised_version%20%284%29.pdf BibTex
titre
Investigating Multi-tier and QoS-aware Caching based on ARC
auteur
Lydia Ait-Oucheggou, Stéphane Rubini, Abdella Battou, Jalil Boukhobza
article
31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2023, Stony Brook, United States. pp.161-164
Accès au texte intégral et bibtex
https://hal.science/hal-04290816/file/QM_ARC_mascots_workshop%20%282%29.pdf BibTex
titre
Modular and Distributed Architecture using an Embedded Digital Twin for Assistive Technologies Adaptation
auteur
Aurélian Houé, Cédric Seguin, Florent Frizon de Lamotte, Nathalie Julien, Willy Allègre
article
13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023),, Université Savoie Mont Blanc, Sep 2023, Annecy, France
Accès au texte intégral et bibtex
https://hal.science/hal-04327426/file/Paper_sohoma_2023_revised_version.pdf BibTex
titre
Digital Twin Architecture for Ambient Assisted Living
auteur
Abbas Ramadan, Florent Frizon de Lamotte, Nathalie Julien
article
13th International Workshop on Service-Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future (SOHOMA2023), Sep 2023, Annecy (74000), France. pp.124-134, ⟨10.1007/978-3-031-53445-4_11⟩
Accès au bibtex
BibTex
titre
Characterizing Intrusion Detection Systems On Heterogeneous Embedded Platforms
auteur
Camélia Slimani, Louis Morge-Rollet, Laurent Lemarchand, David Espes, Frédéric Le Roy, Jalil Boukhobza
article
26th Euromicro Conference on Digital System Design (DSD'2023), Sep 2023, Durres, Albania
Accès au bibtex
BibTex
titre
A New Normative Approach to Intrusion Detection in Manufacturing 4.0
auteur
Salwa Alem, David Espes, Laurent Tchamnda Nana, Eric Martin, Florent Frizon de Lamotte
article
22nd World Congress of the International Federation of Automatic Control, Jul 2023, Yokohama, Japan
Accès au bibtex
BibTex
titre
Multi-Objective Optimization for an Online Re-Planning of Autonomous Vehicles
auteur
Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
article
9th International Workshop on Safety and Security of Intelligent Vehicles (SSIV at DSN'23), IEEE/IFIP, Jun 2023, Porto, Portugal. ⟨10.1109/DSN-W58399.2023.00029⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-04143307/file/ssiv2023porto.pdf BibTex
titre
Intelligent Diagnosis of Engine Failure in Air Vehicles Using the ALFA Dataset
auteur
Isadora Garcia Ferrão, Leandro da Silva, Sherlon da Silva, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Online reward adaptation for MDP-based distributed missions
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Anomaly-Based Intrusion Detection System for In-Flight and Network Security in UAV Swarm
auteur
Leandro da Silva, Isadora Garcia Ferrão, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Accès au bibtex
BibTex
titre
Online reward adaptation for MDP-based distributed missions
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Branco
article
2023 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2023, Warsaw, Poland. pp.1059-1066, ⟨10.1109/icuas57906.2023.10156131⟩
Accès au bibtex
BibTex
titre
Detection of scheduling anomalies in real-time systems
auteur
Blandine Djika, Alain Plantec, Frank Singhoff, Georges-Edouard Kouamou
article
Journées nationales du GDR GPL 2023, Jun 2023, Rennes, France
Accès au bibtex
BibTex
titre
Multimodal perception for obstacle detection for flying boats - Unmanned Surface Vehicule (USV)
auteur
Ronan Douguet, Dominique Heller, Johann Laurent
article
IEEE OCEAN, Jun 2023, Limerick, Ireland. ⟨10.1109/OCEANSLimerick52467.2023.10244714⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04261088/file/IEEE_Oceans_Limerick_V3.pdf BibTex
titre
HeROfake: Heterogeneous Resources Orchestration in a Serverless Cloud – An Application to Deepfake Detection
auteur
Vincent Lannurien, Laurent d'Orazio, Olivier Barais, Esther Bernard, Olivier Weppe, Laurent Beaulieu, Amine Kacete, Stéphane Paquelet, Jalil Boukhobza
article
CCGrid 2023 - IEEE/ACM 23rd International Symposium on Cluster, Cloud and Internet Computing, May 2023, Bangalore, India. pp.154-165, ⟨10.1109/CCGrid57682.2023.00024⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04165179/file/HeROfake_Paper.pdf BibTex

Book sections

titre
Serverless Cloud Computing: State of the Art and Challenges
auteur
Vincent Lannurien, Laurent D’orazio, Olivier Barais, Jalil Boukhobza
article
Serverless Computing: Principles and Paradigms, 162, Springer International Publishing, pp.275-316, 2023, Lecture Notes on Data Engineering and Communications Technologies, ⟨10.1007/978-3-031-26633-1_11⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-04114984/file/Serverless_SotA_Challenges_Chapter.pdf BibTex

Habilitation à diriger des recherches

titre
Approches exactes et heuristiques pour l’exploration d’architectures logicielles et matérielles
auteur
L Lemarchand
article
Informatique [cs]. Université de Bretagne Occidentale, Brest, FRA., 2023
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/tel-04323458/file/HDR_Laurent_Lemarchand_2023.pdf BibTex

Other publications

titre
Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
auteur
Hai Nam Tran, Frank Singhoff
article
2023
Accès au bibtex
BibTex

Poster communications

titre
Drones d'Observations Légers pour la Fouille, détection et Identification Automatisée DOLFIA
auteur
Johann Laurent, Dominique Heller
article
Journée AID, Dec 2023, Paris, France. 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04359830/file/DOLFIA_poster_MATHILDE_DH.pdf BibTex
titre
Optimisation multi-objectif pour une replanification en ligne des trajectoires de véhicules autonomes
auteur
Kilian Le Gall, Laurent Lemarchand, Catherine Dezan
article
COMPAS, Jul 2023, Annecy, France
Accès au bibtex
BibTex
titre
MoTIe : Monitoring of mobile Things with Intelligent and embedded adaptations for secure services
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka Regina Lucas Jaquie Castelo Branco
article
Compas 2023: Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2023, Annecy, France
Accès au texte intégral et bibtex
https://hal.science/hal-04394631/file/Poster_COMPAS_2023.pdf BibTex
titre
ADAPTING THE ARC CACHE MANAGEMENT POLICY TO FILE GRANULARITY
auteur
Hocine Mahni, Stéphane Rubini, Jalil Boukhobza, Sebastien Gougeaud, Philippe Deniel
article
7th Workshop on Performance and Scalability of Storage Systems (Per3S), May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-04255285/file/Poster_ARC_11.pdf BibTex
titre
Distributed Function Cache for Heterogeneous Serverless Cloud
auteur
Vincent Lannurien, Laurent D’orazio, Olivier Barais, Stephane Paquelet, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France. pp.1-1, 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04303898/file/Per3S_Poster%20%284%29.pdf BibTex
titre
Energy Efficient Any-Time I/O Adaptive K-means
auteur
Hafsa Kara Achira, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-04303902/file/Poster_per3s_hafsa%20%287%29.pdf BibTex
titre
PIGMMES: Partial Incremental Gaussian Mixture Model with Efficient Storage
auteur
Meriem Bouzouad, Yasmine Benhamadi, Camélia Slimani, Mouloud Koudil, Jalil Boukhobza
article
Per3S - Performance and Scalability of Storage Systems, May 2023, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-04303907/file/poster_per3s_GMM_2023%20%283%29.pdf BibTex

2022

Journal articles

titre
Specification of schedulability assumptions to leverage multiprocessor Analysis
auteur
Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, Alain Plantec, Hai Nam Tran, Pierre Dissaux
article
Journal of Systems Architecture, 2022, 133, pp.102761. ⟨10.1016/j.sysarc.2022.102761⟩
Accès au bibtex
BibTex
titre
Modèle multi-agent d’auto-organisation pour le butinage au sein d’une colonie d’abeilles
auteur
Jérémy Rivière, Thomas Alves, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac’h, Frank Singhoff, Vincent Rodin
article
Revue Ouverte d'Intelligence Artificielle, 2022, 3 (5-6), pp.423 - 450. ⟨10.5802/roia.38⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03868878/file/ROIA_2022__3_5-6_423_0.pdf BibTex
titre
Near-Optimal Covering Solution for USV Coastal Monitoring using PAES
auteur
Hand Ouelmokhtar, Yahia Benmoussa, Jean-Philippe Diguet, Djamel Benazzouz, Laurent Lemarchand
article
Journal of Intelligent and Robotic Systems, 2022, 106 (1), pp.24. ⟨10.1007/s10846-022-01717-x⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03780408/file/00JINT_revised_paper.pdf BibTex
titre
Security and Safety Concerns in Air Taxis: A Systematic Literature Review
auteur
Isadora Garcia Ferrão, David Espes, Catherine Dezan, Kalinka Regina Lucas Jaquie Castelo Branco
article
Sensors, 2022, 22 (18), pp.6875. ⟨10.3390/s22186875⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03841221/file/sensors-22-06875_published.pdf BibTex
titre
SLRL: A Simple Least Remaining Lifetime File Eviction policy for HPC multi-tier storage systems
auteur
Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
Operating Systems Review, 2022, 56 (1), pp.70-76. ⟨10.1145/3544497.3544509⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03699021/file/cheops22-final92.pdf BibTex
titre
Energy-based USV maritime monitoring using multi-objective evolutionary algorithms
auteur
Hand Ouelmokhtar, Yahia Benmoussa, Djamel Benazzouz, Mohamed Abdessamed Ait-Chikh, Laurent Lemarchand
article
Ocean Engineering, 2022, 253, pp.111182. ⟨10.1016/j.oceaneng.2022.111182⟩
Accès au bibtex
BibTex

Conference papers

titre
FaST: An Efficient Framework For Visualizing Large-Scale Time Series
auteur
Manele Ait Habouche, Mickaël Kerboeuf, Goulven Guillou, Jean-Philippe Babau
article
2022 IEEE International Conference on Big Data (Big Data), Dec 2022, Osaka, Japan. pp.3745-3754, ⟨10.1109/BigData55660.2022.10020317⟩
Accès au bibtex
BibTex
titre
The Lannion report on Big Data and Security Monitoring Research
auteur
Laurent d'Orazio, Jalil Boukhobza, Omer Rana, Juba Agoun, Le Gruenwald, Hervé Rannou, Elisa Bertino, Mohand-Saïd Hacid, Taofik Saïdi, Georges Bossert, VanLong Nguyen Huu, Dimitri Tombroff, Makoto Onizuka
article
Workshop on Big Data for CyberSecurity (BigCyber-2022), Dec 2022, Osaka, Japan. pp.2960-2969, ⟨10.1109/BigData55660.2022.10020852⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03951141/file/SUPSEC_BigData.pdf BibTex
titre
Design Space Exploration for TSP systems on multicore platforms under schedulability, security and safety constraints
auteur
Laurent Lemarchand, Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran
article
GT Optimisation des Systèmes Intégrés (OSI) - GDR SOC2 & RO, Dec 2022, Paris, France
Accès au bibtex
BibTex
titre
Observing the Impact of Multicore Execution Platform for TSP Systems Under Schedulability, Security and Safety Constraints
auteur
Ill-Ham Atchadam, L Lemarchand, Frank Singhoff, Hai Nam Tran
article
DECSOS, Sep 2022, Munich, Germany. pp.83--96, ⟨10.1007/978-3-031-14862-0_5⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03777799/file/decsos22_final_version.pdf BibTex
titre
Energy-Aware HEVC Software Decoding On Mobile Heterogeneous Multi-Cores Architectures
auteur
Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
article
PARMA-DITAM, Jun 2022, Budapest, Hungary. ⟨10.4230/OASIcs.PARMA-DITAM.2022.4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03695538/file/OASIcs-PARMA-DITAM-2022-4.pdf BibTex
titre
Smart Anomaly Detection and Monitoring of Industry 4.0 by Drones
auteur
William Pensec, David Espes, Catherine Dezan
article
2022 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2022, Dubrovnik, France. pp.705-713, ⟨10.1109/ICUAS54217.2022.9836057⟩
Accès au bibtex
BibTex
titre
Emerged and emerging NVM: technologies & challenges
auteur
Jalil Boukhobza
article
SUPSEC - le deuxième atelier sur la supervision de sécurité 2022, Jun 2022, Lannion, France
Accès au bibtex
BibTex
titre
Memory hierarchy in scheduling simulation: problems, implementation & return of experience
auteur
Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
article
Workshop CAPITAL 2022: sCalable And PrecIse Timing AnaLysis for multicore platforms, Jun 2022, Grenoble, France
Accès au bibtex
BibTex
titre
When IoT Data Meets Streaming in the Fog
auteur
Lydia Ait-Oucheggou, Mohammed Islam Naas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
ICFEC 2022 - IEEE 6th International Conference on Fog and Edge Computing (ICFEC), May 2022, Messina, Italy. pp.50-57, ⟨10.1109/ICFEC54809.2022.00014⟩
Accès au bibtex
BibTex
titre
SLRL: a simple least remaining lifetime file evicition policy for HPC multi-tier storage systems
auteur
Louis-Marie Nicolas, Luis Thomas, Yassine Hadjadj-Aoul, Jalil Boukhobza
article
CHEOPS Workshop on Challenges and Oportunities of Efficient and Performant Storage Systems at EuroSys 2022 - Seventeenth European Conference on Computer Systems, Apr 2022, Rennes, France. pp.33-39, ⟨10.1145/3503646.3524297⟩
Accès au bibtex
BibTex
titre
Real time heading sensors fusion and fault detection
auteur
Pia Mathias, Laurent D. Johann, Pierre Bomel, Hugo Kerhascoet
article
Chesapeake Sailing Yacht Symposium, Mar 2022, Annapolis, United States
Accès au texte intégral et bibtex
https://hal.science/hal-03633619/file/CSYS2022_article_v4.pdf BibTex
titre
PLATO N-DPU on-board software: an ideal candidate for multicore scheduling analysis
auteur
Philippe Plasson, Gabriel Brusq, Frank Singhoff, Hai Nam Tran, Stéphane Rubini, Pierre Dissaux
article
11th European Congress ERTSS Embedded Real Time Software and System, 2022, Toulouse, France
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Contribution à la conception d’accélérateurs matériels pour systèmes autonomes intelligents
auteur
Catherine Dezan
article
Informatique. MATHSTIC UBO, 2022
Accès au texte intégral et bibtex
https://hal.science/tel-03917048/file/Rapport_HDR_CDEZAN_versionDiffusion.pdf BibTex

Special issue

titre
Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications: Part 2
auteur
Yuan-Hao Chang, Jalil Boukhobza, Song Han
article
ACM Transactions on Embedded Computing Systems (TECS), 21 (3), pp.1-2, 2022, ⟨10.1145/3531707⟩
Accès au bibtex
BibTex
titre
Introduction to the Special Issue on Memory and Storage Systems for Embedded and IoT Applications
auteur
Yuan-Hao Chang, Jalil Boukhobza, Song Han
article
ACM Transactions on Embedded Computing Systems (TECS), 21 (1), pp.1-4, 2022, ⟨10.1145/3505283⟩
Accès au bibtex
BibTex

Other publications

titre
Tutorial : Real-time scheduling analysis of SDF graphs: an example with Cheddar
auteur
Hai Nam Tran, Frank Singhoff
article
2022
Accès au bibtex
BibTex

Poster communications

titre
Increasing the autonomy of an underwater ROV
auteur
Marko Vukšić, Tonko Kovacevic, Barbara Džaja, Predrag Đukić, Slaven Šitić, Hai Nam Tran, Vincent Rodin, Laurent Lemarchand, Valérie-Anne Nicolas, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Sea Tech Week, Sep 2022, Brest, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03778490/file/vuksic22.pdf BibTex
titre
Work-in-progress: Ontology-driven Generation of AADL architecture Models
auteur
Perig Dissaux, Catherine Dezan, Frank Singhoff, Jérome Legrand, Pierre Dissaux
article
GDR Soc2, Jun 2022, Strasbourg, France
Accès au bibtex
BibTex
titre
A design space exploration approach to jointly optimize security and schedulability in TSP systems
auteur
Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Laurent Lemarchand
article
Colloque du GDR SOC2, Jun 2022, Strasbourg, France
Accès au bibtex
BibTex
titre
Multi-objective optimization at the EDge for Online and Real-time self-Adaptation of Autonomous vehicles
auteur
Evan Flecheau, Laurent Lemarchand, Catherine Dezan
article
Colloque du GDR SOC2, Jun 2022, Strasbourg, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03718161/file/MEDORAA_GDR.pdf BibTex

Reports

titre
RISCLESS: A Reinforcement Learning Strategy to Exploit Unused Cloud Resources
auteur
Sidahmed Yalles, Mohamed Handaoui, Jean-Emile Dartois, Olivier Barais, Laurent d'Orazio, Jalil Boukhobza
article
[Research Report] ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne. 2022, pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-03652738/file/_ACM__RISCLESS__A_Reinforcement_Learning_Strategy_to_Guarantee_SLA_on_Cloud_Ephemeral_and_Stable_Resources__Copy_%20%281%29.pdf BibTex

2021

Journal articles

titre
Multi-objective Optimization of Data Placement in a Storage-as-a-Service Federated Cloud
auteur
Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
article
Transactions on Storage, 2021, 17 (3), pp.1-32. ⟨10.1145/3452741⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349819/file/Chikhaoui_NoMarks.pdf BibTex
titre
Investigating Machine Learning Algorithms for Modeling SSD I/O Performance for Container-based Virtualization
auteur
Jean-Emile Dartois, Jalil Boukhobza, Anas Knefati, Olivier Barais
article
IEEE Transactions on Cloud Computing, 2021, 9 (3), pp.1103-1116. ⟨10.1109/TCC.2019.2898192⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02013421/file/Investigating_Machine_Learning_Algorithms_for_Modeling_SSD_I_O_Performance_for_Container_based_Virtualization.pdf BibTex
titre
EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
auteur
Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
article
Operating Systems Review, 2021, 55 (1), pp.88-98. ⟨10.1145/3469379.3469391⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03276036/file/cheops_camera_ready.pdf BibTex
titre
HEVC hardware vs software decoding: An objective energy consumption analysis and comparison
auteur
Mohammed Bey Ahmed Khernache, Yahia Benmoussa, Jalil Boukhobza, Daniel Menard
article
Journal of Systems Architecture, 2021, 115, pp.102004. ⟨10.1016/j.sysarc.2021.102004⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-03127340/file/hevc_decoding_performance_and_power_consumption_characterization_final_v....pdf BibTex
titre
Feasibility interval and sustainable scheduling simulation with CRPD on uniprocessor platform
auteur
Hai Nam Tran, Stéphane Rubini, Jalil Boukhobza, Frank Singhoff
article
Journal of Systems Architecture, 2021, 115, pp.102007. ⟨10.1016/j.sysarc.2021.102007⟩
Accès au texte intégral et bibtex
https://ensta-bretagne.hal.science/hal-03152032/file/jsa_final_draft-1.pdf BibTex
titre
DQN as an alternative to Market-based approaches for Multi-Robot processing Task Allocation (MRpTA)
auteur
Paul Gautier, Johann Laurent, Jean-Philippe Diguet
article
International Journal of Robotic Computing, In press, 3 (1)
Accès au texte intégral et bibtex
https://hal.science/hal-03251554/file/main_preprint.pdf BibTex
titre
ECTM: A network-on-chip communication model to combine task and message schedulability analysis
auteur
Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
article
Journal of Systems Architecture, 2021, 114, pp.101931. ⟨10.1016/j.sysarc.2020.101931⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03164501/file/S1383762120301909.pdf BibTex
titre
Embedded Computation Architectures for Autonomy in Unmanned Aircraft Systems (UAS)
auteur
Luis Mejias, Jean-Philippe Diguet, Catherine Dezan, Duncan Campbell, Jonathan Kok, Gilles Coppin
article
Sensors, 2021, 21 (4), pp.1115. ⟨10.3390/s21041115⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03140570/file/sensors-21-01115-v3-1.pdf BibTex
titre
IoT Data Replication and Consistency Management in Fog computing
auteur
Mohammed Islam Naas, Laurent Lemarchand, Philippe Raipin, Jalil Boukhobza
article
Journal of Grid Computing, 2021, 19 (3), pp.33. ⟨10.1007/s10723-021-09571-1⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349814/file/IoT_Data_Naas_Rev1.pdf BibTex
titre
Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
auteur
Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
article
Operating Systems Review, 2021, 55 (1), pp.99-107. ⟨10.1145/3469379.3469392⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03349823/file/CHEOPS_21_paper_6.pdf BibTex

Conference papers

titre
Work-In-Progress: Models and tools to detect Real-Time Scheduling Anomalies
auteur
Blandine Djika, Frank Singhoff, Alain Plantec, Georges Edouard Kouamou
article
Brief presentation at the 42nd IEEE Real-Time Systems Symposium (RTSS), Dec 2021, Dortmund, Germany
Accès au bibtex
BibTex
titre
Timing analysis of TASTE models for reconfigurable software
auteur
Jean-Charles Roger, Pierre Dissaux, Jérôme Legrand, Mourad Dridi, Stéphane Rubini, Frank Singhoff
article
Model-Based Systems and Software Engineering (MBSE 2021) ESA Workshop, Sep 2021, Virtual, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-03329757/file/abstract_mbse_2021.pdf BibTex
titre
CARES, a framework for CPS simulation : application to autonomous underwater vehicle navigation function
auteur
Loic Salmon, Pierre-Yves Pillain, Goulven Guillou, Jean-Philippe Babau
article
2021 Forum on specification & Design Languages (FDL), Sep 2021, Antibes, France. pp.01-08, ⟨10.1109/FDL53530.2021.9568380⟩
Accès au bibtex
BibTex
titre
Anomaly Detection Algorithm for Acoustics Phenomena
auteur
Thomas Barguil, Johann Laurent, Nicolas Bohelay, Dominique Heller
article
The 2021 World Congress in Computer Science, Computer Engineering, & Applied Computing (CSCE'21), Jul 2021, Las Vegas, United States
Accès au texte intégral et bibtex
https://hal.science/hal-03621200/file/Anomaly_Detection_Algorithm_for_Acoustics_Phenomena.pdf BibTex
titre
Accelerating Random Forest on Memory-Constrained Devices through Data Storage Reorganization
auteur
Camélia Slimani, Stéphane Rubini, Chun-Feng Wu, Yuan-Hao Chang, Jalil Boukhobza
article
Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-04290830/file/COMPAS2021_paper_13.pdf BibTex
titre
Comparison of Value Iteration, Policy Iteration and Q-Learning for solving Decision-Making problems
auteur
Mohand Hamadouche, Catherine Dezan, David Espes, Kalinka R L J Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2021, Athens, Greece
Accès au bibtex
BibTex
titre
Gestion d'interaction Humain-Cobot pour l'exécution de tâches en cuisine
auteur
Samia Benferhat, Florent Frizon de Lamotte, Christophe Lohr, Jean-Luc Philippe
article
JETSAN 2021 - Colloque en Télésanté et dispositifs biomédicaux - 8ème édition, Université Toulouse III - Paul Sabatier [UPS], May 2021, Toulouse, Blagnac, France
Accès au texte intégral et bibtex
https://hal.science/hal-03501202/file/Jetsan_der_version_3.pdf BibTex
titre
EZIOTracer: unifying kernel and user space I/O tracing for data-intensive applications
auteur
Mohammed Islam Naas, François Trahay, Alexis Colin, Pierre Olivier, Stéphane Rubini, Frank Singhoff, Jalil Boukhobza
article
CHEOPS 2021: Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Edinburgh (online), United Kingdom. pp.4:1-4:11, ⟨10.1145/3439839.3458731⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03215663/file/eziotracer_cheops_2021.pdf BibTex
titre
Predicting file lifetimes for data placement in multi-tiered storage systems for HPC
auteur
Luis Thomas, Sebastien Gougeaud, Stéphane Rubini, Philippe Deniel, Jalil Boukhobza
article
Workshop on Challenges and Opportunities of Efficient and Performant Storage Systems, Apr 2021, Online Event, United Kingdom. pp.1-9, ⟨10.1145/3439839.3458733⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03217669/file/3439839.3458733%20%281%29.pdf BibTex
titre
RaFIO: a random forest I/O-aware algorithm
auteur
Camélia Slimani, Chun-Feng Wu, Yuan-Hao Chang, Stéphane Rubini, Jalil Boukhobza
article
SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, South Korea. pp.521-528, ⟨10.1145/3412841.3441932⟩
Accès au bibtex
BibTex
titre
StorNIR , a multi-objective replica placement strategy for cloud federations
auteur
Amina Chikhaoui, Laurent Lemarchand, Kamel Boukhalfa, Jalil Boukhobza
article
SAC '21: The 36th ACM/SIGAPP Symposium on Applied Computing, Mar 2021, Virtual Event Republic of Korea, France. pp.50-59, ⟨10.1145/3412841.3441886⟩
Accès au bibtex
BibTex

Other publications

titre
Cheddar tutorial: an example of real-time scheduling analysis with Cheddar
auteur
Frank Singhoff, Hai Nam Tran
article
2021
Accès au bibtex
BibTex

Poster communications

titre
Classifieur embarqué pour la détection d’intrusions dans le contexte des véhicules autonomes
auteur
Mohammed-Amine Hsaini, Mohand Hamadouche, David Espes, Dezan Catherine
article
COMPAS, Jul 2021, Lyon, France
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
Design and Comparison of Reward Functions in Reinforcement Learning for Energy Management of Sensor Nodes
auteur
Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
article
2021
Accès au bibtex
https://arxiv.org/pdf/2106.01114 BibTex

2020

Journal articles

titre
Embedded Bayesian Network Contribution for a Safe Mission Planning of Autonomous Vehicles
auteur
Catherine Dezan, Sara Zermani, Chabha Hireche
article
Algorithms, 2020, 13 (7), pp.155. ⟨10.3390/a13070155⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02889797/file/MDPI_BN_2020_published_corr.pdf BibTex

Conference papers

titre
An Iterative Approach to Automate the Tuning of Continuous Controller Parameters
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
2020 IEEE 18th International Conference on Embedded and Ubiquitous Computing (EUC), Dec 2020, Guangzhou, China. pp.1-8, ⟨10.1109/EUC50751.2020.00008⟩
Accès au bibtex
BibTex
titre
ReLeaSER: A Reinforcement Learning Strategy for Optimizing Utilization Of Ephemeral Cloud Resources
auteur
Mohamed Handaoui, Jean-Emile Dartois, Jalil Boukhobza, Olivier Barais, Laurent d'Orazio
article
CloudCom 2020 - 12th IEEE International Conference on Cloud Computing Technology and Science, Dec 2020, Bangkok, Thailand. pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-02989286/file/2020003050.pdf BibTex
titre
Comparison of Market-based and DQN methods for Multi-Robot processing Task Allocation (MRpTA)
auteur
Paul Gautier, Laurent D. Johann, Jean-Philippe Diguet
article
IEEE International Conference on Robotic Computing (IRC), Nov 2020, Taichung, Taiwan
Accès au texte intégral et bibtex
https://hal.science/hal-02510990/file/IRC-Preprint.pdf BibTex
titre
New Dataset for Industry 4.0 to Address the Change in Threat Landscape
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
article
15th International Conference on Risks and Security of Internet and Systems (CRISIS), Nov 2020, Paris, France. pp.273-288, ⟨10.1007/978-3-030-68887-5_16⟩
Accès au bibtex
BibTex
titre
Salamander: a Holistic Scheduling of MapReduce Jobs on Ephemeral Cloud Resources
auteur
Mohamed Handaoui, Jean-Emile Dartois, Laurent Lemarchand, Jalil Boukhobza
article
CCGRID 2020 - 20th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, Nov 2020, Melbourne, Australia. pp.1-10
Accès au texte intégral et bibtex
https://hal.science/hal-02497029/file/PID6379855.pdf BibTex
titre
An Interruptible Task Allocation Model : Application to a Honey Bee Colony Simulation
auteur
Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
article
PAAMS 2020: 18th International Conference on Practical Applications of Agents and Multi-Agent Systems, Oct 2020, L'aquila, Italy. pp.3-15, ⟨10.1007/978-3-030-49778-1_1⟩
Accès au bibtex
BibTex
titre
When security affects schedulability of TSP systems: trade-offs observed by design space exploration
auteur
Ill-Ham Atchadam, Laurent Lemarchand, Hai Nam Tran, Frank Singhoff, Karim Bigou
article
25th international conference on emerging technologies and factory automaton, ETFA 2020, IEEE Industrial Electronics Society, Sep 2020, Vienna, Austria
Accès au bibtex
BibTex
titre
A seamless DFT/FFT self-adaptive architecture for embedded radar applications
auteur
Julien Mazuet, Michel Narozny, Catherine Dezan, Jean-Philippe Diguet
article
The International Conference on Field-Programmable Logic and Applications (FPL), Aug 2020, Gothenburg (virtual ), Sweden. ⟨10.1109/FPL50879.2020.00029⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03047989/file/FPL2020_camera_ready.pdf BibTex
titre
STUART: ReSilient archiTecture to dynamically manage Unmanned aeriAl vehicle networks undeR atTack
auteur
Isadora Ferrão, Daniel F Pigatto, João Fontes, Natassya Silva, David Espes, Catherine Dezan, Kalinka R L J Castelo Branco
article
8th Workshop on Communications in Critical Embedded Systems (WoCCES), Jul 2020, Rennes, France
Accès au bibtex
BibTex
titre
Reward Tuning for self-adaptive Policy in MDP based Distributed Decision-Making to ensure a Safe Mission Planning
auteur
Mohand Hamadouche, Catherine Dezan, Kalinka R L J Castelo Branco
article
6th International Workshop on Safety and Security Intelligent Vehicle (SSIV), Jun 2020, Valence, Spain
Accès au bibtex
BibTex
titre
Modèle d'Interruption pour la Répartition des Tâches : Application à une simulation de colonie d'abeilles
auteur
Thomas Alves, Jérémy Rivière, Cédric Alaux, Yves Le Conte, Frank Singhoff, Thierry Duval, Vincent Rodin
article
Rencontres des Jeunes Chercheur·ses en Intelligence Artificielle (RJCIA 2020 @ PFIA), Jun 2020, Angers, France
Accès au texte intégral et bibtex
https://hal.science/hal-03211869/file/Alves_RJCIA_Soumission16.pdf BibTex
titre
Underwater exploration by AUV using deep neural network implemented on FPGA
auteur
Tanguy Le Pennec, Maher Jridi, Catherine Dezan, Franck Florin, Ayman Alfalou
article
Pattern Recognition and Tracking XXXI, Apr 2020, Online Only, United States. pp.23, ⟨10.1117/12.2558606⟩
Accès au bibtex
BibTex
titre
Chaining model transformations to develop a system model verification tool : : application to capella state machines and data flows models
auteur
Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
article
SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, Apr 2020, Brno Czech Republic, Czech Republic. pp.1654-1657, ⟨10.1145/3341105.3374093⟩
Accès au bibtex
BibTex
titre
Chaining Model Transformations for System Model Verification : Application to Verify Capella Model with Simulink
auteur
Christophe Duhil, Jean-Philippe Babau, Eric Lépicier, Jean-Luc Voirin, Juan Navas
article
8th International Conference on Model-Driven Engineering and Software Development, Feb 2020, Valletta, Malta. pp.279-286, ⟨10.5220/0008902302790286⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02866122/file/Chaining_Model_Transformations_to_Verify_Capella_Models__modelSward___short__%282%29.pdf BibTex
titre
Combined Real-Time, Safety and Security Model Analysis
auteur
P Dissaux, Frank Singhoff, L Lemarchand, Hai Nam Tran, Ill-Ham Atchadam
article
9th European Congress ERTSS Embedded Real Time Software and System, Feb 2020, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02433963/file/dissaux2020.pdf BibTex

Special issue

titre
Performance Evaluation: Special issue of the 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)
auteur
André-Luc Beylot, Jalil Boukhobza
article
Performance Evaluation, 142, pp.102123, 2020, ⟨10.1016/j.peva.2020.102123⟩
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
A hybrid intrusion detection system in industry 4.0 based on ISA95 standard
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Nana, Florent de Lamotte
article
2020
Accès au texte intégral et bibtex
https://hal.science/hal-02506109/file/Hybrid-IDS-Based-on-ISA95--.pdf BibTex

2019

Journal articles

titre
HyMAD: a hybrid memory-aware DVFS strategy
auteur
Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
article
ACM SIGBED Review, 2019, 16 (3), pp.45-50. ⟨10.1145/3373400.3373407⟩
Accès au bibtex
BibTex
titre
Preserving SSD lifetime in deep learning applications with delta snapshots
auteur
Zhu Wang, Jalil Boukhobza, Zili Shao
article
Journal of Parallel and Distributed Computing, 2019, 133, pp.63-76. ⟨10.1016/j.jpdc.2019.06.011⟩
Accès au bibtex
BibTex
titre
Reinforcement-Learning Approach Guidelines for Energy Management
auteur
Yohann Rioual, Johann Laurent, Jean-Philippe Diguet
article
Journal of Low Power Electronics, In press, 15 (3), pp.283-293. ⟨10.1166/jolpe.2019.1618⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02407321/file/Reinforcement-Learning%20Approach%20Guidelines%20for%20Energy%20Management.pdf BibTex
titre
Optimizing the cost of DBaaS object placement in hybrid storage systems
auteur
Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa, Hamza Ouarnoughi, Laurent Lemarchand
article
Future Generation Computer Systems, 2019, 93, pp.176-187
Accès au bibtex
BibTex
titre
Optimizing the deployment of tree-shaped functional graphs of real-time system on distributed architectures
auteur
Asma Mehiaoui, Ernest Wozniak, Jean-Philippe Babau, Sara Tucci-Piergiovanni, Chokri Mraidha
article
Automated Software Engineering, 2019, 26 (1), pp.1-57. ⟨10.1007/s10515-018-0244-7⟩
Accès au bibtex
BibTex
titre
Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
ACM Journal on Emerging Technologies in Computing Systems, 2019, 15 (1), pp.2:1--2:37. ⟨10.1145/3264818⟩
Accès au bibtex
BibTex
titre
From real-time design model to RTOS-specific models: a model-driven methodology
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
International Journal of Embedded Systems, 2019, 11 (6), pp.738. ⟨10.1504/IJES.2019.103991⟩
Accès au bibtex
BibTex
titre
An Energy Efficient Autopilot Design
auteur
Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, André Rossi, Jean-Philippe Diguet
article
Journal of Sailing Technology, 2019, 5 (1)
Accès au texte intégral et bibtex
https://hal.science/hal-02477316/file/2020-01__Trehin_et_al.pdf BibTex

Conference papers

titre
A Hybrid Intrusion Detection System in Industry 4.0 Based on ISA95 Standard
auteur
Salwa Alem, David Espes, Eric Martin, Laurent Tchamnda Nana, Florent de Lamotte
article
2019 IEEE/ACS 16th International Conference on Computer Systems and Applications (AICCSA), Nov 2019, Abu Dhabi, Saudi Arabia. pp.1-8, ⟨10.1109/AICCSA47632.2019.9035260⟩
Accès au bibtex
BibTex
titre
Integrating Operators' Preferences into Decisions of Unmanned Aerial Vehicles: Multi-layer Decision Engine and Incremental Preference Elicitation
auteur
Arwa Khannoussi, Alexandru Liviu Olteanu, Christophe Labreuche, Pritesh Narayan, Catherine Dezan, Jean-Philippe Diguet, Jacques Petit-Frère, Patrick Meyer
article
6th International Conference, ADT 2019, Oct 2019, Durham, NC, United States. pp.49-63, ⟨10.1007/978-3-030-31489-7_4⟩
Accès au bibtex
BibTex
titre
Leveraging cloud unused resources for Big data application while achieving SLA
auteur
Jean-Emile Dartois, Ivan Meriau, Mohamed Handaoui, Jalil Boukhobza, Olivier Barais
article
MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02362257/file/IEEE_MASCOTS_2019_DEMO.pdf BibTex
titre
Tracking Application Fingerprint in a Trustless Cloud Environment for Sabotage Detection
auteur
Jean-Emile Dartois, Jalil Boukhobza, Vincent Francoise, Olivier Barais
article
MASCOTS 2019 - 27th IEEE International Symposium on the Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Oct 2019, Rennes, France. pp.74-82, ⟨10.1109/MASCOTS.2019.00018⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02303153/file/MASCOTS_2019.pdf BibTex
titre
K -MLIO: Enabling K -Means for Large Data-Sets and Memory Constrained Embedded Systems
auteur
Camelia Slimani, Stéphane Rubini, Jalil Boukhobza
article
2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Oct 2019, Rennes, France. pp.262-268, ⟨10.1109/MASCOTS.2019.00037⟩
Accès au bibtex
BibTex
titre
HyMAD: a Hybrid Memory-Aware DVFS strategy
auteur
Camélia Slimani, Stéphane Rubini, Jalil Boukhobza
article
EWiLi, the Embedded Operating Systems workshop, Oct 2019, Turin, Italy
Accès au bibtex
BibTex
titre
A Model-Driven Approach to Automate Tuning of Continuous Controller Parameters
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
2019 ACM/IEEE 22nd International Conference on Model Driven Engineering Languages and Systems Companion (MODELS-C), Sep 2019, Munich, France. pp.568-576, ⟨10.1109/MODELS-C.2019.00087⟩
Accès au bibtex
BibTex
titre
Parametric exploration for tuning a sailboat control law
auteur
Goulven Guillou, Emilien Lavigne, Jean-Philippe Babau
article
14th National Conference on Software and Hardware Architectures for Robots Control (SHARC'19), Jul 2019, Montpellier, France
Accès au bibtex
BibTex
titre
Cuckoo: Opportunistic MapReduce on Ephemeral and Heterogeneous Cloud Resources
auteur
Jean-Emile Dartois, Heverson B. Ribeiro, Jalil Boukhobza, Olivier Barais
article
CLOUD 2019 - IEEE 12th International Conference on Cloud Computing, Jul 2019, Milan, Italy. pp.1-8, ⟨10.1109/CLOUD.2019.00070⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02179453/file/cuckoo.pdf BibTex
titre
QoS driven dynamic partial reconfiguration: Tracking case study
auteur
Julien Mazuet, Ill-Ham Atchadam, Dominique Heller, Catherine Dezan, Michel Narozny, Jean-Philippe Diguet
article
14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2019), Jul 2019, York, United Kingdom
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-02327185/file/ReCoSoC_2019_paper_3%20%281%29.pdf BibTex
titre
A Case Study of Primary User Arrival Prediction Using the Energy Detector and the Hidden Markov Model in Cognitive Radio Networks
auteur
Guilherme Santana, Rogers Cristo, Jean-Philippe Diguet, Catherine Dezan, Diana Osorio, Kalinka R L J Castelo Branco
article
WoCCES 2019, Jun 2019, Barcelone, Spain
Accès au bibtex
BibTex
titre
A Model-Driven Framework for WPS Development
auteur
Jean-Philippe Babau
article
Computational Methods in Water Resources (CMWR), Jun 2019, Saint-Malo, France
Accès au bibtex
BibTex
titre
Combined security and schedulability analysis for MILS real-time critical architectures
auteur
Ill-Ham Atchadam, Frank Singhoff, Hai Nam Tran, Nora Bouzid, Laurent Lemarchand
article
4th international workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS), Jun 2019, Stuttgart, Germany
Accès au bibtex
BibTex
titre
ECTM: A New Communication Model to Network-On-Chip Schedulability Analysis
auteur
Mourad Dridi, Frank Singhoff, Stéphane Rubini, Jean-Philippe Diguet
article
24th International Conference on Reliable Software Technologies – Ada-Europe 2019, Jun 2019, Varsow, Poland
Accès au bibtex
BibTex
titre
Multi-Objective optimization: Comparison of methods for the p-median problem
auteur
Pascal Rebreyend, Laurent Lemarchand, Damien Massé
article
20TH ANNUAL WORKSHOP ON METAHEURISTICS, May 2019, Oslo, Norway
Accès au bibtex
BibTex
titre
Scheduling analysis of AADL architecture models
auteur
Frank Singhoff, Pierre Dissaux
article
Full day Tutorial présented at the CPS-WEEK conferences, Apr 2019, Montréal, Canada
Accès au bibtex
BibTex
titre
Generation of a Reconfigurable Probabilistic Decision-Making Engine based on Decision Networks: UAV Case Study
auteur
Sara Zermani, Catherine Dezan
article
Workshop on Autonomous Systems Design (ASD/DATE), Mar 2019, Florence, Italy
Accès au bibtex
BibTex
titre
An energy aware autopilot for sailboats
auteur
Mathilde Tréhin, Johann Laurent, Hugo Kerhascoet, Jean-Philippe Diguet
article
The 23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
Accès au bibtex
BibTex
titre
Sailboat Routing with Multiple Objectives for Sailing Races
auteur
Goulven Guillou, Laurent Lemarchand, Jean-Philippe Babau
article
23rd Chesapeake Sailing Yacht Symposium, Mar 2019, Annapolis, United States
Accès au bibtex
BibTex

2018

Journal articles

titre
Context/Resource-Aware Mission Planning Based on BNs and Concurrent MDPs for Autonomous UAVs
auteur
Chabha Hireche, Catherine Dezan, Stéphane Mocanu, Dominique Heller, Jean-Philippe Diguet
article
Sensors, 2018, 18 (4266), pp.1-28. ⟨10.3390/s18124266⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01944680/file/sensors-18-04266_published.pdf BibTex
titre
NORTH: Non-intrusive Observation and RunTime verification of cyber-pHysical systems.
auteur
José Rufino, António Casimiro, Antónia Lopes, Frank Singhoff, Stéphane Rubini, Valérie-Anne Nicolas, Mounir Lallali, Mourad Dridi, Jalil Boukhobza, Lyes Allache
article
Ada User Journal, 2018
Accès au bibtex
BibTex
titre
A Real-Time System Monitoring driven by Scheduling Analysis
auteur
Stéphane Rubini, Valérie-Anne Nicolas, Frank Singhoff, José Rufino
article
Ada User Journal, 2018, 39 (4), pp.282--286
Accès au bibtex
BibTex
titre
Design and Multi-Abstraction Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
ACM Journal on Emerging Technologies in Computing Systems, 2018
Accès au texte intégral et bibtex
https://hal.science/hal-01867673/file/DAS_2017.pdf BibTex
titre
Towards Dynamically Reconfigurable SoCs (DRSoCs) in industrial automation: State of the art, challenges and opportunities
auteur
Gilberto Ochoa-Ruiz, Lina Maria Aguilar-Lobo, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet
article
Microprocessors and Microsystems: Embedded Hardware Design , 2018, 62, pp.20-40. ⟨10.1016/j.micpro.2018.07.002⟩
Accès au bibtex
BibTex
titre
Multiobjective Optimization for Multimode Transportation Problems
auteur
Laurent Lemarchand, Damien Massé, Pascal Rebreyend, Johan Håkansson
article
Advances in Operations Research, 2018, 2018, pp.1 - 13. ⟨10.1155/2018/8720643⟩
Accès au bibtex
BibTex
titre
Joint DVFS and Parallelism for Energy Efficient and Low Latency Software Video Decoding
auteur
Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
article
IEEE Transactions on Parallel and Distributed Systems, 2018, 29 (4), pp.858-872. ⟨10.1109/TPDS.2017.2779812⟩
Accès au bibtex
BibTex
titre
Bandwidth Allocation for Sharing Video in a Closed Domestic Network
auteur
Laurent Lemarchand, Jean-Philippe Babau
article
Journal of Communications and Networks, 2018, 20 (2), pp.168--181
Accès au bibtex
BibTex
titre
A modeling front-end for seamless design and generation of context-aware Dynamically Reconfigurable Systems-on-Chip
auteur
Gilberto Ochoa-Ruiz, Pamela Wattebled, Maamar Touiza, Florent de Lamotte, El-Bay Bourennane, Samy Meftali, Jean-Luc Dekeyser, Jean-Philippe Diguet
article
Journal of Parallel and Distributed Computing, 2018, 112, pp.1-19. ⟨10.1016/j.jpdc.2017.09.011⟩
Accès au bibtex
BibTex
titre
Emerging NVM: A Survey on Architectural Integration and Research Challenges
auteur
Jalil Boukhobza, Stéphane Rubini, Renhai Chen, Zili Shao
article
ACM Transactions on Design Automation of Electronic Systems, 2018, 23 (2), pp.1 - 32. ⟨10.1145/3131848⟩
Accès au bibtex
BibTex
titre
DTFM: a Flexible Model for Schedulability Analysis of Real-Time Applications on NoC-based Architectures
auteur
Mourad Dridi, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
article
ACM SIGBED Review, 2018, 14 (4), pp.53--59. ⟨10.1145/3177803.3177812⟩
Accès au bibtex
BibTex
titre
Multi-Objective Design Exploration Approach for Ravenscar Real-time Systems.
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Béchir Zalila, Mohamed Jmaiel
article
Real-Time Systems, 2018, 54 (2), pp 424-483. ⟨10.1007/s11241-018-9299-6⟩
Accès au bibtex
BibTex
titre
Verification of Scheduling Properties Based on Execution Traces
auteur
Valérie-Anne Nicolas, Mounir Lallali, Stéphane Rubini, Frank Singhoff
article
Ada User Journal, 2018
Accès au bibtex
BibTex

Conference papers

titre
Using Quantile Regression for Reclaiming Unused Cloud Resources while achieving SLA
auteur
Jean-Emile Dartois, Anas Knefati, Jalil Boukhobza, Olivier Barais
article
CloudCom 2018 - 10th IEEE International Conference on Cloud Computing Technology and Science, Dec 2018, Nicosia, Cyprus. pp.89-98, ⟨10.1109/CloudCom2018.2018.00030⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01898438/file/Using_Quantile_Regression_for_Reclaiming_Unused_Cloud_Resources_with_SLA_Guarantees.pdf BibTex
titre
Incremental Learning of Simple Ranking Method Using Reference Profiles Models
auteur
Arwa Khannoussi, Alexandru-Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Christophe Labreuche, Jacques Petit-Frère, Patrick Meyer
article
DA2PL'2018: from Multiple Criteria Decision Aid to Preference Learning, Nov 2018, Poznan, Poland
Accès au bibtex
BibTex
titre
Assisting the Configuration of Intelligent Safety Gateway
auteur
Thomas Toublanc, Romain Bevan, Florent de Lamotte, Pascal Berruet
article
IECON 2018 - 44th Annual Conference of the IEEE Industrial Electronics Society, Oct 2018, Washington, United States. pp.5875-5880
Accès au bibtex
BibTex
titre
Robotic system testing with AMSA framework
auteur
Hamza El Baccouri, Goulven Guillou, Jean-Philippe Babau
article
International Conference on Model Driven Engineering Languages and Systems (MODELS 2018) Workshop MDETOOLS, Oct 2018, Copenhague, Denmark
Accès au bibtex
BibTex
titre
Recrutement et auto-organisation : Vers un modèle multi-agent complet d’une colonie d’abeilles
auteur
Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
article
26èmes Journées Francophones sur les Systèmes Multi-Agents (JFSMA'2018), Oct 2018, Métabief, France
Accès au texte intégral et bibtex
https://hal.science/hal-01898549/file/Recrutement%20et%20auto-organisation%20-%20Vers%20un%20mod%C3%A8le%20multi-agent%20complet%20d%27une%20colonie%20d%27abeilles.pdf BibTex
titre
Reward Function Evaluation in a Reinforcement Learning Approach for Energy Management
auteur
Yohann Rioual, Yannick Le Moullec, Johann Laurent, Muhidul Islam Khan, Jean-Philippe Diguet
article
2018 16th Biennial Baltic Electronics Conference (BEC), Oct 2018, Tallinn, Estonia. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01997659/file/Reward%20Function%20Evaluation%20in%20a%20Reinforcement%20Learning%20Approach%20for%20Energy%20Management.pdf BibTex
titre
Incremental preference elicitation for SRMP models: Application for autonomous drones
auteur
Arwa Khannoussi, Alexandru Liviu Olteanu, Catherine Dezan, Jean-Philippe Diguet, Patrick Meyer
article
88th Meeting of the EURO Working Group Multiple Criteria Decision Aiding, Sep 2018, Lisbonne, Portugal
Accès au bibtex
BibTex
titre
A Cost Model for Hybrid Storage Systems in a Cloud Federations
auteur
Amina Chikhaoui, Kamel Boukhalfa, Jalil Boukhobza
article
2018 Federated Conference on Computer Science and Information Systems, Sep 2018, Poznan, Poland. ⟨10.15439/2018F237⟩
Accès au bibtex
BibTex
titre
MONTRES-NVM: An External Sorting Algorithm for Hybrid Memory
auteur
Mohammed Bey Ahmed Khernache, Arezki Laga, Jalil Boukhobza
article
2018 IEEE 7th Non-Volatile Memory Systems and Applications Symposium (NVMSA), Aug 2018, Hakodate, France. pp.49-54
Accès au bibtex
BibTex
titre
Model-Based Dependability Analysis of Unmanned Aerial Vehicles - A Case Study
auteur
Matheus Franco, Andre de Oliveira, Rosana Braga, Catherine Dezan, Jean-Philippe Diguet, Kalinka R L J Castelo Branco
article
48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (SSIV'18), Jun 2018, Luxembourg, Luxembourg
Accès au bibtex
BibTex
titre
Scheduling analysis of AADL architecture models
auteur
Frank Singhoff, Pierre Dissaux
article
Full day tutorial présented at the 23rd International Conference on Reliable Software Technologies - Ada-Europe, Jun 2018, Lisbon, Portugal
Accès au bibtex
BibTex
titre
Toward a Complete Agent-Based Model of a Honeybee Colony
auteur
Jérémy Rivière, Cédric Alaux, Yves Le Conte, Yves Layec, André Lozac'H, Vincent Rodin, Frank Singhoff
article
Highlights of Practical Applications of Agents, Multi-Agent Systems, and Complexity: The PAAMS Collection, Jun 2018, Toledo, Spain. ⟨10.1007/978-3-319-94779-2_42⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01826153/file/Toward%20a%20Complete%20Agent-Based%20Model%20of%20a%20Honeybee%20Colony.pdf BibTex
titre
ROS code generation from AMSA framework for robotic systems testing
auteur
Hamza El Baccouri, Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
article
13th National Conference on Software and Hardware Architectures for Robots Control (SHARC'18), Jun 2018, Saint Tropez, France
Accès au bibtex
BibTex
titre
Cognitive Radio for UAV communications: Opportunities and future challenges
auteur
Guilherme Santana, Rogers Cristo, Catherine Dezan, Jean-Philippe Diguet, Diana Osorio, Kalinka R L J Castelo Branco
article
International Conference on Unmanned Aircraft Systems (ICUAS'18), Jun 2018, Dallas, United States
Accès au bibtex
BibTex
titre
AVS, a model-based racing sailboat simulator: application to wind integration
auteur
Emilien Lavigne, Goulven Guillou, Jean-Philippe Babau
article
3rd IFAC Conference on Embedded Systems, Computational Intelligence and Telematics in Control CESCIT 2018, Jun 2018, Faro, Portugal. pp.88-94, ⟨10.1016/j.ifacol.2018.06.242⟩
Accès au bibtex
BibTex
titre
About Early Scheduling Verification Of Embedded Real-Time Critical Systems: An Example With AADL
auteur
Frank Singhoff, Stéphane Rubini, Hai Nam Tran, Jalil Boukhobza, Laurent Lemarchand, Pierre Dissaux, Valérie-Anne Nicolas, Alain Plantec, Jérôme Legrand, Mourad Dridi, Jean-Philippe Diguet
article
Invited speaker at SYRCoSE 2018 - 12th Spring/Summer Young Researchers’ Colloquium on Software Engineering, May 2018, Novgorod the Great, Russia
Accès au bibtex
BibTex
titre
QuaRTOS-DSE: A Tool for Design Space Exploration of Embedded Real-Time System
auteur
Briag Le Nabec, Belgacem Ben Hedia, Jean-Philippe Babau
article
2018 IEEE 21st International Symposium on Real-Time Distributed Computing (ISORC), May 2018, Singapore, France. pp.42-50, ⟨10.1109/ISORC.2018.00014⟩
Accès au bibtex
BibTex
titre
BFM: a Scalable and Resource-aware Method for Adaptive Mission Planning of UAVs
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Luis Mejias
article
IEEE International Conference on Robotics and Automation (ICRA), May 2018, Brisbane, Australia
Accès au bibtex
BibTex
titre
An Extension to iFogSim to Enable the Design of Data Placement Strategies
auteur
Mohammed Islam Naas, Jalil Boukhobza, Philippe Raipin Parvedy, Laurent Lemarchand
article
2018 IEEE 2nd International Conference on Fog and Edge Computing (ICFEC), May 2018, Washington DC, France. ⟨10.1109/CFEC.2018.8358724⟩
Accès au bibtex
BibTex
titre
A Graph Partitioning-based Heuristic for Runtime IoT Data Placement Strategies in a Fog infrastructure
auteur
Mohammed Islam Naas, Laurent Lemarchand, Jalil Boukhobza, Philippe Raipin
article
ACM Symposium on Applied Computing (SAC), Apr 2018, Pau, France
Accès au bibtex
BibTex
titre
Apprentissage par projets à l'école primaire avec les filles qui. . .
auteur
Cassandra Balland, Esther Bernard, Morgane Coat, Isabelle Fouqué, Louise Hergoualc 'H, Gwendoline Kervot, Liz Kouassi, Audrey Lidec, Alix Machard, Maela Mingant, Awatef Mraihi, Marine Nuzillat, Maëlla Perrot, Constance Rio, Caroline Rogard, Mélodie Saliou, Andréa Savi, Anaëlle Seithers, Maëlle Sinilo, Marie Traon, Maxime Vallemont, Virginie Abiven, Jessica Benedicto, Emilie Carosin, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Chabha Hireche, Arwa Khannoussi, Vincent Leilde, Nicolas Moal, Cécile Plaud, Fabienne Ricard, Catherine Stefanelli, Carolyn Stein, Yann Ty-Coz, Vincent Ribaud
article
RJC-EIAH 2018 - Atelier "Organisation et suivi des activités d’apprentissage de l’informatique : outils, modèles et expériences", Apr 2018, Besançon, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756178/file/ApprentissageParProjetsEcolePrimaireAvecLesFillesQui.pdf BibTex
titre
Non-Volatile Memories: A New Deal for Operating System Design?
auteur
Stéphane Rubini, Jalil Boukhobza
article
Workshop "Gestion des resources dans le Cloud", Apr 2018, Alger, Algeria
Accès au bibtex
BibTex
titre
IA mission planning for autonomous vehicles : probabilistic models and embedded versions
auteur
Catherine Dezan
article
IX Escola Regional de Informatica SP/Oeste, Mar 2018, San Carlos, Brazil
Accès au bibtex
BibTex
titre
Traceable decisions for autonomous unmanned aerial vehicles
auteur
Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet, Alexandru Liviu Olteanu
article
ROADEF 2018, Feb 2018, Lorient, France
Accès au bibtex
BibTex
titre
Eduquer en montrant l'exemple : les filles qui ...
auteur
Vincent Ribaud, Malou Alleno, Cassandra Balland, Esther Bernard, Néné Satorou Cissé, Morgane Coat, Tinaig Daniel, Isabelle Fouqué, Louise Hergoualc 'H A, Gwendoline Kervot, Liz Kouassi, Éloïse Le Floch, Enora Le Scanf, Mégane Lesne, Audrey Lidec, Alix Machard, Maela Mingant, Maëlla Perrot, Océane Pierry, Lisa Ribaud-Le Cann, Constance Rio, Caroline Rogard, Anaëlle Seithers, Maëlle Sinilo, Sophie Tehet, Maxime Vallemont, Jessica Benedicto, Stéphanie Berthelot, Bénédicte Blineau, Emmanuelle Boguenet, Émilie Carosin, Pascale Cloastre, Françoise Conan, Valérie Dantec, Catherine Dezan, Barbara Dussous, Cyrielle Feron, Claire François, Carine Guillerm, Chabha Hireche, Arwa Khannoussi, Gaëlle Laizet, Catherine Lecru, Hémerance Le Forestier, Laurence Le Gouëz, Éric Loubeau, Éric Quemener, Muriel Vidal
article
Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756177/file/ArticleCourt-Eduquer.pdf BibTex

Poster communications

titre
Planification de Mission de Drone: Implémentation Logicielle/Matérielle
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet, Stéphane Mocanu
article
GDR SoC2, Jun 2018, Paris, France
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
Bayesian Networks for Safety/Security
auteur
Catherine Dezan
article
2018
Accès au bibtex
BibTex

2017

Journal articles

titre
Energy Savings in Networks-on-Chip with Smart Temporal Shielding
auteur
Erwan Moréac, Pierre Bomel, Johann Laurent, André Rossi
article
Journal of Low Power Electronics, 2017, Journal of Low Power Electronics, 13 (3), pp.441-455. ⟨10.1166/jolpe.2017.1500⟩
Accès au bibtex
BibTex
titre
Embedded Context Aware Diagnosis for a UAV SoC platform
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 51, pp.185-197. ⟨10.1016/j.micpro.2017.04.013⟩
Accès au bibtex
BibTex
titre
The integration of expert-defined importance factors to enrich Bayesian Fault Tree Analysis
auteur
Molham Darwish, Shaban Almouahed, Florent de Lamotte
article
Reliability Engineering and System Safety, 2017, 162, pp.81 - 90. ⟨10.1016/j.ress.2017.01.007⟩
Accès au bibtex
BibTex
titre
Sensor Fault Detection and Signal Improvement using Prédictive Filter
auteur
Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
article
The transaction of the Royal Institution of Naval Architects, 2017, International Journal of Small Craft Technology, 159 (Part B1), pp.15. ⟨10.3940/rina.ijsct.2017.b1.186⟩
Accès au bibtex
BibTex
titre
Bit-Accurate Energy Estimation for Networks-on-Chip
auteur
Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
article
Journal of Systems Architecture, 2017, ⟨10.1016/j.sysarc.2017.05.002⟩
Accès au bibtex
BibTex
titre
Simulation of Multi-source Electric Production and Energy Transfers in Sailing
auteur
Mathilde Tréhin, Johann Laurent, Jean-Philippe Diguet
article
Journal of Shipping and Ocean Engineering, 2017, 7 (1), pp.9. ⟨10.17265/2159-5879/2017.01.002⟩
Accès au bibtex
BibTex
titre
Sensor Fault Detection and Signal Improvement using Predictive Filters
auteur
Hugo Kerhascoet, P Merien, Johann Laurent, Eric Senn, Frédéric Hauville
article
International Journal of Small Craft Technology, 2017, 159 (Part B1), pp.186. ⟨10.3940/rina.ijsct.2017.b1.186⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02139491/file/IRENAV_IJSCT_2017_HAUVILLE.pdf BibTex
titre
Scheduling analysis of tasks constrained by TDMA: Application to software radio protocols
auteur
Shuai Li, Frank Singhoff, Stéphane Rubini, Michel Bourdellès
article
Journal of Systems Architecture, 2017, 76, pp.58-75. ⟨10.1016/j.sysarc.2016.11.003⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01685444/file/jsa%20%281%29.pdf BibTex
titre
MONTRES : Merge ON-The-Run External Sorting algorithm for large data volumes on SSD based storage systems
auteur
Arezki Laga, Jalil Boukhobza, Frank Singhoff, Michel Koskas
article
IEEE Transactions on Computers, 2017, 66 (10), pp.1689 - 1702. ⟨10.1109/TC.2017.2706678⟩
Accès au bibtex
BibTex
titre
Real-Time Control System for Improved Precision and Throughput in an Ultrafast Carbon Fiber Placement Robot Using a SoC FPGA Extended Processing Platform
auteur
Gilberto Ochoa-Ruiz, Romain Bevan, Florent de Lamotte, Jean-Philippe Diguet, Cheng-Cong Bao
article
International Journal of Reconfigurable Computing, 2017, 2017, pp.1 - 20. ⟨10.1155/2017/3298734⟩
Accès au bibtex
BibTex

Conference papers

titre
Reinforcement Learning Strategies for Energy Management in Low Power IoT
auteur
Yohann Rioual, Johann Laurent, Eric Senn, Jean-Philippe Diguet
article
CSCI, Dec 2017, Las Vegas, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01654931/file/Regular%20research%20paper%20CSCI-ISOT.pdf BibTex
titre
Démonstrateur ASSecIN: ligne industrielle virtuelle permettant l'évaluation de passerelle intelligente *
auteur
Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet
article
11ème Colloque sur la Modélisation des Systèmes Réactifs (MSR 2017), Nov 2017, Marseille, France
Accès au texte intégral et bibtex
https://hal.science/hal-01961937/file/demonstrator_ASSecIN.pdf BibTex
titre
Girls Who . . . Do Scratch a First Round with the Essence Kernel
auteur
Cassandra Balland, Néné Satorou Cissé, Louise Hergoualc’h, Gwendoline Kervot, Audrey Lidec, Alix Machard, Lisa Ribaud-Le Cann, Constance Rio, Maelle Sinilo, Valérie Dantec, Catherine Dezan, Cyrielle Feron, Claire François, Chabha Hireche, Arwa Khannoussi, Vincent Ribaud
article
2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.48⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01756185/file/PID5031269.pdf BibTex
titre
DAS: An Efficient NoC Router for Mixed-Criticality Real-Time Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Martha Johanna Sepulveda Florez, Frank Singhoff, Jean-Philippe Diguet
article
2017 IEEE 35th International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. ⟨10.1109/ICCD.2017.42⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01713312/file/ICCD2017_preprint.pdf BibTex
titre
Modeling and Validation of a Mixed-Criticality NoC Router Using the IF Language
auteur
Mourad Dridi, Mounir Lallali, Stéphane Rubini, Frank Singhoff, Jean-Philippe Diguet
article
the 10th International Workshop on Network on Chip Architectures, Oct 2017, Boston, United States. ⟨10.1145/3139540.3139543⟩
Accès au bibtex
BibTex
titre
Energy Aware Networks-on-Chip Cortex Inspired Communication
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Maurizio Palesi
article
PATMOS 2017, Sep 2017, Thessaloniki, Greece
Accès au bibtex
BibTex
titre
Integrating human preferences in automated decisions of unmanned aerial vehicles
auteur
Arwa Khannoussi, Patrick Meyer, Catherine Dezan, Jean-Philippe Diguet
article
14th Decision Deck Workshop, Sep 2017, Paris, France
Accès au bibtex
BibTex
titre
Patrons de conception pour l’analyse d’ordonnancement temps réel multiprocesseurs
auteur
Stéphane Rubini, Frank Singhoff, Alain Plantec, Hai-Nam Tran, Jalil Boukhobza, Pierre Dissaux
article
Tutoriel présenté à l’école d'été temps réel (ETR'2017), Aug 2017, Rennes, France
Accès au bibtex
BibTex
titre
Using a Virtual Plant to Support the Development of Intelligent Gateway for Sensors/Actuators Security
auteur
Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet, Vianney Lapotre
article
IFAC World Congress, Jul 2017, Toulouse, France. pp.5837-5842
Accès au bibtex
BibTex
titre
Autonomous Safety System for a Smart Stove for Cognitively Impaired People
auteur
Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean-Luc Philippe
article
International Conference on Practical Applications of Agents and Multi-Agent Systems, Jun 2017, Porto, Portugal. pp.145-156
Accès au bibtex
BibTex
titre
Embedded Decision Making for UAV Missions
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Accès au bibtex
BibTex
titre
Online Diagnosis Updates for Embedded Health Management
auteur
Chabha Hireche, Catherine Dezan, Jean-Philippe Diguet
article
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Accès au bibtex
BibTex
titre
iFogStor: an IoT Data Placement Strategy for Fog Infrastructure
auteur
Mohammed Islam Naas, Philippe Raipin, Jalil Boukhobza, Laurent Lemarchand
article
IEEE 1st International Conference on Fog and Edge Computing, May 2017, Madrid, Spain. ⟨10.1109/ICFEC.2017.15⟩
Accès au bibtex
BibTex
titre
COPS: Cost Based Object Placement Strategies on Hybrid Storage System for DBaaS Cloud
auteur
Djillali Boukhelef, Kamel Boukhalfa, Jalil Boukhobza, Hamza Ouarnoughi, Laurent Lemarchand
article
IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (CCGrid), May 2017, Madrid, Spain. pp.659-664 ⟨10.1109/CCGRID.2017.36⟩
Accès au bibtex
BibTex

Other publications

titre
NoC and Mixed-criticality Systems
auteur
Mourad Dridi, Stéphane Rubini, Mounir Lallali, Frank Singhoff, Jean-Philippe Diguet, Martha Johanna Sepulveda Florez
article
2017
Accès au bibtex
BibTex

Books

titre
Les mémoires flash
auteur
Jalil Boukhobza, Pierre Olivier
article
ISTE éditions. ISTE éditions, 2017, 978-1-78405-281-2
Accès au bibtex
BibTex
titre
Flash Memory Integration
auteur
Jalil Boukhobza, Pierre Olivier
article
ISTE Press - Elsevier, pp.266, 2017, 9781785481246
Accès au bibtex
BibTex

Poster communications

titre
Simulation Approach for Energy Management in Wireless Sensor Networks
auteur
Yohann Rioual, Jean-Philippe Diguet, Johann Laurent, Eric Senn
article
BEE Week 2017, Nov 2017, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01654661/file/Poster_GdR.pdf BibTex
titre
Networks-on-Chip Cortex Inspired Communication To Reduce Energy Consumption
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi, Emmanuel Boutillon, Andrea Mineo, Maurizio Palesi
article
Design Automation Conference (DAC 2017), Jun 2017, Austin, TX, United States. , Design Automation Conference, DAC 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01576029/file/DACPoster.pdf BibTex
titre
A bit-accurate power estimation simulator for NoCs
auteur
Erwan Moréac, Johann Laurent, Pierre Bomel, André Rossi
article
DATE 2017 Design Automation and Test in Europe, Mar 2017, Lausanne, Switzerland. , Design Automation and Test in Europe
Accès au texte intégral et bibtex
https://hal.science/hal-01576044/file/Ubooth_Poster.pdf BibTex

2016

Journal articles

titre
Integrating I/Os in Cloudsim for Performance and Energy Estimation
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
Operating Systems Review, 2016, 50 (3), pp.27-36 ⟨10.1145/3041710.3041715⟩
Accès au bibtex
BibTex
titre
A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Hamza Ouarnoughi
article
ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (4), ⟨10.1145/2903139⟩
Accès au bibtex
BibTex
titre
Cache-aware real-time scheduling simulator: implementation and return of experience
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
ACM SIGBED Review, 2016, Special Issue on the 5th Embedded Operating Systems Workshop (EWiLi 2015), 13 (1), pp.22-28 ⟨10.1145/2907972.2907975⟩
Accès au bibtex
BibTex

Conference papers

titre
An example of early scheduling analysis with AADL
auteur
Stéphane Rubini, Hai-Nam Tran, Mourad Dridi, Vincent Gaudel, Jalil Boukhobza, Alain Plantec, Christian Fotsing, Frank Singhoff, P Dissaux, J Legrand, A Schach
article
Présentation invitée à la Journée AADL du GDR SOC-SIP, Nov 2016, Paris, France
Accès au bibtex
BibTex
titre
Embedded Diagnosis and Mission Planning based on Stochastic Methods
auteur
Catherine Dezan
article
USP ICMC Robotics seminar, Oct 2016, San Carlos, Brazil
Accès au bibtex
BibTex
titre
Framework to Generate and Validate Embedded Decison Trees with Missing Data
auteur
Arwa Khannoussi, Catherine Dezan, Patrick Meyer
article
JRWRTC 2016 : 10th Junior Researcher Workshop on Real-Time Computing, Oct 2016, Brest, France. pp.17 - 20
Accès au bibtex
BibTex
titre
Crosstalk-aware link power model for Networks-on-Chip
auteur
Erwan Moréac, André Rossi, Johann Laurent, Pierre Bomel
article
DASIP, Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853807⟩
Accès au bibtex
BibTex
titre
Application of AADL for Marine Control Systems
auteur
Pierre Dissaux, Sofien Kerkeni, Frank Singhoff
article
Dynamic positioning conference, Oct 2016, Houston, United States
Accès au bibtex
BibTex
titre
Efficient Parallel Multi-Objective Optimization for Real-time Systems Software Design Exploration
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
article
International Symposium on Rapid System Prototyping (RSP'16), Oct 2016, Pittsburgh, United States
Accès au bibtex
BibTex
titre
ModifRoundtrip: A Model-Based tool to reuse legacy transformations
auteur
Paola Vallejo, Jean-Philippe Babau, Mickaël Kerboeuf
article
ACM/IEEE 19th International Conference on Model Driven Engineering Languages and Systems (MoDELS 2016), Oct 2016, Saint Malo, France
Accès au bibtex
BibTex
titre
Green metadata based adaptive DVFS for energy efficient video decoding
auteur
Yahia Benmoussa, Eric Senn, Nicolas Derouineau, Nicolas Tizon, Jalil Boukhobza
article
26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS),, Sep 2016, Bremen, Germany. ⟨10.1109/PATMOS.2016.7833693⟩
Accès au bibtex
BibTex
titre
Modeling legacy code with BIP: How to reduce the gap between formal description and real-time implementation
auteur
B.L. Nabec, B.B. Hedia, Jean-Philippe Babau, M. Jan, H. Guesmi
article
2016 Forum on Specification and Design Languages (FDL), Sep 2016, Bremen, Germany. ⟨10.1109/FDL.2016.7880385⟩
Accès au bibtex
BibTex
titre
A Cost Model for DBaaS Storage
auteur
Djillali Boukhelef, Jalil Boukhobza, Kamel Boukhalfa
article
Database and Expert Systems Applications, Sep 2016, Porto, Portugal. pp.223-239, ⟨10.1007/978-3-319-44403-1_14⟩
Accès au bibtex
BibTex
titre
Speedometer Fault Detection and GNSS Fusion using Kalman Filters
auteur
Hugo Kerhascoet, Johann Laurent, Eric Senn, Frédéric Hauville
article
OCEANS’16 MTS/IEEE, Sep 2016, Monterey, United States. pp.8
Accès au texte intégral et bibtex
https://hal.science/hal-02141279/file/IRENav_OCEAN_2016_HAUVILLE.pdf BibTex
titre
Lynx: A Learning Linux Prefetching Mechanism For SSD Performance Model
auteur
Arezki Laga, Jalil Boukhobza, Michel Koskas, Frank Singhoff
article
The 5th IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA 2016), Aug 2016, Daegu, South Korea. ⟨10.1109/NVMSA.2016.7547186⟩
Accès au bibtex
BibTex
titre
Génération de composant "état de santé" pour monitorer le système embarqué de véhicule autonome
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
Compas , Jul 2016, Lorient, France
Accès au bibtex
BibTex
titre
Modèle de consommation d'énergie des liens sensible au crosstalk pour les réseaux sur puces
auteur
Erwan Moréac, Johann Laurent, André Rossi, Pierre Bomel
article
COMPAS, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01449409/file/Modele_de_conso_d_energie_bit-pres_des_liens_pour_NoCs.pdf BibTex
titre
A Methodology for Estimating Performance and Power Consumption of Embedded Flash File Systems
auteur
Jalil Boukhobza
article
Modélisation de la consommation d’énergie, Jun 2016, Lilles, France
Accès au bibtex
BibTex
titre
Analysis of Memory Performance: Mixed Rank Performance Across Microarchitectures
auteur
Mourad Bouache, John L. Glover, Jalil Boukhobza
article
ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 579-590, ⟨10.1007/978-3-319-46079-6_39⟩
Accès au bibtex
BibTex
titre
Considering I/O Processing in CloudSim for Performance and Energy Evaluation
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini, Erwann Kassis
article
ISC- High Performance Computing, Jun 2016, Francfort, Germany. pp 591-603, ⟨10.1007/978-3-319-46079-6_40⟩
Accès au bibtex
BibTex
titre
Embedded and Probabilistic Health Management for the GPS of Autonomous Vehicles
auteur
Sara Zermani, Catherine Dezan, Chabha Hireche, Reinhardt Euler, Jean-Philippe Diguet
article
5th Mediterranean Conference on Embedded Computing, Jun 2016, Bar, Montenegro
Accès au bibtex
BibTex
titre
Flash and the NVM team to save the data storage world!
auteur
Jalil Boukhobza
article
Journée Scientifiques de l'Université de Nantes, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
A Process for Evaluating Parametric Models for Mechanical Systems Simulation : the Case of a Sailboat
auteur
E. Lavigne, B. Piquemal, Adeline Bourdon, Simon Chesné, Goulven Guillou, Jean-Philippe Babau
article
Sofware and Hardware Architectures for Robots Control, Jun 2016, Brest, France
Accès au bibtex
BibTex
titre
Methodology for optimal wind vane design
auteur
Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Frédéric Hauville, Eric Senn, Coneau Raphael
article
OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. ⟨10.1109/OCEANSAP.2016.7485426⟩
Accès au bibtex
BibTex
titre
Methodology for optimal wind vane design
auteur
Hugo Kerhascoet, Johann Laurent, Audrey Cerqueus, Marc Sevaux, Eric Senn, Frederic Hauville, Raphael Coneau
article
OCEANS 2016 - Shanghai, Apr 2016, Shanghai, China. pp.7
Accès au texte intégral et bibtex
https://hal.science/hal-02141286/file/IRENav_OCEAN2_2016_HAUVILLE.pdf BibTex
titre
Proposal of an adaptive service providing system for a multi-user smart home
auteur
Nicola Kuijpers, Sylvain Giroux, Florent Frizon de Lamotte, Jean Luc Philippe
article
Workshops at the Thirtieth AAAI Conference on Artificial Intelligence, Mar 2016, Phoenix, United States
Accès au bibtex
BibTex
titre
ImocaGen: A Model-based Code Generator for Embedded Systems Tuning
auteur
Goulven Guillou, Jean-Philippe Babau
article
4th International Conference on Model-Driven Engineering and Software Development, Feb 2016, Rome, Italy. pp.390-396, ⟨10.5220/0005804103900396⟩
Accès au bibtex
BibTex
titre
A Cost Model for Virtual Machine Storage in Cloud IaaS Context
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), Feb 2016, Heraklion, Greece. ⟨10.1109/PDP.2016.119⟩
Accès au bibtex
BibTex
titre
Modélisation de la girouette d’un voilier : expérimentation avec LocalSolver
auteur
Audrey Cerqueus, Marc Sevaux, Hugo Kerhascoet, Johann Laurent
article
ROADEF: Recherche Opérationnelle et d'Aide à la Décision, Feb 2016, Compiègne, France
Accès au bibtex
BibTex
titre
Adapting a Fixed Priority Assignment Algorithm to Real-time Embedded Systems with Cache Memory
auteur
Nam Hai Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
Colloque du GDR SoC-SiP, 2016, Rennes, France
Accès au bibtex
BibTex

Book sections

titre
On the Connected Spanning Cubic Subgraph Problem
auteur
Damien Massé, Reinhardt Euler, Laurent Lemarchand
article
K.A. Adiprasito, I. Bárány, C. Vilcu. Proceedings in Mathematics and Statistics, Convexity and Discrete Geometry Including Graph Theory (148), Springer, pp.109-136, 2016, Proceedings in Mathematics and Statistics, ⟨10.1007/978-3-319-28186-5_10⟩
Accès au bibtex
BibTex

Books

titre
SIGBED Review, Volume 13, Number 1, January 2016
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, 13 (1), 2016, 1551-3688
Accès au bibtex
BibTex

Poster communications

titre
SWARMS Project : Self-Adaptive HW/SW Architecture for Unmanned Aerial Vehicles (UAVs)
auteur
Sara Zermani, Hanen Chenini, Catherine Dezan, Reinhardt Euler, Dominique Heller, Jean-Philippe Diguet, Duncan Campbell, Brendan Chen, Gilles Coppin
article
Séminaire des doctorantes et doctorants de la SIF, GDR SoC-SiP, Apr 2016, Paris, Nantes, France
Accès au bibtex
BibTex

2015

Journal articles

titre
A Tracing Toolset for Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
article
EAI endorsed transactions on Internet of Things, 2015, 15 (4), pp.e1. ⟨10.4108/icst.valuetools.2014.258179⟩
Accès au bibtex
BibTex
titre
An MDE Approach for Rapid Prototyping and Implementation of Dynamic Reconfigurable Systems
auteur
Gilberto Ochoa Ruiz, Guillet Sébastien, Florent de Lamotte, Eric Rutten, El-Bay Bourennane, Jean-Philippe Diguet, Guy Gogniat
article
ACM Transactions on Design Automation of Electronic Systems, 2015, Vol. 21 Issue 1, Article No. 8, Nov. 2015 ., 21 (1), pp.Article No. 8
Accès au bibtex
BibTex
titre
Recontextualisation de modèles par graphes de dépendance
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2015
Accès au bibtex
BibTex
titre
MaCACH: An adaptive cache-aware hybrid FTL mapping scheme using feedback control for efficient page-mapped space management
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini, Laurent Lemarchand, Yassine Hadjadj-Aoul, Arezki Laga
article
Journal of Systems Architecture, 2015, 61 (3-4), pp.157-171. ⟨10.1016/j.sysarc.2015.03.001⟩
Accès au bibtex
BibTex
titre
A Methodology for Performance/Energy Consumption Characterization and Modeling of Video Decoding on Heterogeneous SoC and its Applications
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
article
Journal of Systems Architecture, 2015, Volume 61 (Issue 1), pp.Pages 49-70. ⟨10.1016/j.sysarc.2014.11.003⟩
Accès au bibtex
BibTex
titre
A Model-driven Approach for the Generation of Customizable Model Migrations
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
Communications in Computer and Information Science, 2015, Model-Driven Engineering and Software Development: Third International Conference, MODELSWARD 2015, Angers, France, February 9-11, 2015, Revised Selected Papers, 580, pp.67--81. ⟨10.1007/978-3-319-27869-8_4⟩
Accès au bibtex
BibTex
titre
Modeling the geometry and dynamics of the Endoplasmic Reticulum network
auteur
Congping Lin, Laurent Lemarchand, Reinhardt Euler, Imogen Sparkes
article
IEEE/ACM Transactions on Computational Biology and Bioinformatics, 2015, http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7006715&url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel7%2F8857%2F4359833%2F07006715.pdf%3Farnumber%3D7006715. ⟨10.1109/TCBB.2015.2389226⟩
Accès au bibtex
BibTex

Conference papers

titre
Architecture Exploration of Real-time Systems Based on Multi-Objective Optimization
auteur
Rahma Bouaziz, Laurent Lemarchand, Frank Singhoff, Bechir Zalila, Mohamed Jmaiel
article
20th International Conference on Engineering of Complex Computer Systems (ICECCS 2015), Dec 2015, Golden Coast, Australia
Accès au bibtex
BibTex
titre
Embedded Health Management for Autonomous UAV Mission
auteur
Catherine Dezan
article
QUT Robotics seminar , Nov 2015, Brisbane, Australia
Accès au bibtex
BibTex
titre
Testing Algorithm For Large P-median Problems In Heterogenous Road Networks
auteur
Pascal Rebreyend, Laurent Lemarchand
article
INFORMS, Nov 2015, Philadelphia, United States
Accès au bibtex
BibTex
titre
Improving Reuse by means of Asymmetrical Model Migrations: An Application to the Orcc Case Study
auteur
Paola Vallejo, Mickaël Kerboeuf, Kevin Martin, Jean-Philippe Babau
article
2015 ACM/IEEE 18th International Conference on Model Driven Engineering Languages and Systems (MODELS), Sep 2015, Ottawa, Canada
Accès au bibtex
BibTex
titre
Addressing Cache Related Preemption Delay in Fixed Priority Assignment
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
20th IEEE International Conference on Emerging Technologies and Factory Automation, Sep 2015, Luxembourg, France
Accès au bibtex
BibTex
titre
Teaching Real-Time Scheduling Analysis with Cheddar
auteur
Frank Singhoff, Alain Plantec, Stéphane Rubini, Hai-Nam Tran, Vincent Gaudel, Jalil Boukhobza, Laurent Lemarchand, Shuai Li, Etienne Borde, Laurent Pautet, Jerome Hugues, Pierre Dissaux, Jérôme Legrand, Christian Fotsing, Blandine Djika
article
9ème édition de l’Ecole d’Eté « Temps Réel », Aug 2015, Rennes, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01166136/file/etr.pdf BibTex
titre
FFSMark : Un Benchmark pour Systèmes de Fichiers Dédiés aux Mémoires Flash
auteur
Pierre Olivier, Jalil Boukhobza
article
Conférence d’informatique en Parallélisme, Architecture et Système 2015, Jun 2015, Lille, France. pp.10
Accès au texte intégral et bibtex
https://hal.science/hal-01166979/file/papier.pdf BibTex
titre
IMOCA : une architecture à base de modes de fonctionnement pour les systèmes de contrôle processus
auteur
Goulven Guillou, Jean-Philippe Babau
article
10th National Conference of Control Architectures of Robot (CAR'15), Jun 2015, Lyon, France
Accès au bibtex
BibTex
titre
FPGA Implementation of Bayesian Network Inference for an Embedded Diagnosis
auteur
Sara Zermani, Catherine Dezan, Hanen Chenini, Jean-Philippe Diguet, Reinhardt Euler
article
IEEE Conference on Prognostics and Health Management (PHM), Jun 2015, Austin, Texas, United States
Accès au bibtex
BibTex
titre
Bayesian Network-Based Framework for the Design of Reconfigurable Health Management Monitors
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
article
NASA/ESA Conf. on Adaptive Hardware and Systems (AHS), Jun 2015, Montreal, Canada
Accès au bibtex
BibTex
titre
Embedded Real-Time Localization of UAV based on an Hybrid Device
auteur
Hanen Chenini, Dominique Heller, Catherine Dezan, Jean-Philippe Diguet, Duncan Campbell
article
40th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) 2015, IEEE, Apr 2015, Brisbane, Australia
Accès au bibtex
BibTex
titre
A Computational Comparison of Different Algorithms for Very Large p -median Problems
auteur
Pascal Rebreyend, Laurent Lemarchand, Reinhardt Euler
article
EvoCOP 2015 - The 15th European Conference on Evolutionary Computation in Combinatorial Optimisation, Apr 2015, Copenhagen, Denmark
Accès au bibtex
BibTex
titre
Specification of Adaptable Model Migrations
auteur
Paola Vallejo, Mickael Kerboeuf, Jean-Philippe Babau
article
MODELSWARD 2015 - Proceedings of the 3rd International Conference on Model-Driven Engineering and Software Development, Feb 2015, Angers, France
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Contribution au domaine de la conception des Systèmes Embarqués et Pervasifs Faible Consommation
auteur
Johann Laurent
article
Electronique. Université de Bretagne Sud, 2015
Accès au texte intégral et bibtex
https://hal.science/tel-01229253/file/HDR_Johann_Laurent.pdf BibTex

Reports

titre
Formal framework of recontextualization by means of dependency graphs
auteur
Mickaël Kerboeuf, Paola Vallejo, Jean-Philippe Babau
article
[Research Report] Lab-STICC_UBO_CACS_MOCS. 2015
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01140107/file/ModifKeysGraph.pdf BibTex

2014

Journal articles

titre
IMOCA : une architecture à base de modes de fonctionnement pour les systèmes de contrôle de processus
auteur
Goulven Guillou, Jean-Philippe Babau
article
Revue des Nouvelles Technologies de l'Information, 2014, Avancées récentes dans le domaine des Architectures Logicielles, RNTI-L-7, pp.147-164
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102839/file/39.pdf BibTex
titre
RTOS-Aware Refactoring for Portable Real-Time Design Models
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
Journal of Software, 2014, 9 (7), pp.1737-1748. ⟨10.4304/jsw.9.7.1737-1748⟩
Accès au bibtex
BibTex
titre
A Scalable and Highly Configurable Cache-Aware Hybrid Flash Translation Layer
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
Computers, 2014, 3 (1), pp.36-57. ⟨10.3390/computers3010036⟩
Accès au bibtex
BibTex
titre
DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Yassine Hadjadj-Aoul, Djamel Benazzouz
article
ACM SIGBED Review, 2014, Special Interest Group on Embedded Systems, 11 (1), pp.56-61
Accès au bibtex
BibTex
titre
Flashmon V2: monitoring raw NAND flash memory I/O requests on embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
ACM SIGBED Review, 2014, 11 (1), pp.38-43. ⟨10.1145/2597457.2597462⟩
Accès au bibtex
BibTex
titre
Scheduling Analysis from Architectural Models of Embedded Multi-Processor Systems
auteur
Stéphane Rubini, Christian Fotsing, Frank Singhoff, Hai-Nam Tran, Pierre Dissaux
article
ACM SIGBED Review, 2014, 11 (1)
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00983407/file/ewili.pdf BibTex
titre
Composition of Design Pattern : from the modeling of RTOS synchronization tools to schedulability analysis
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
ACM SIGBED Review, 2014, 11 (1), pp.44-49
Accès au bibtex
BibTex
titre
Extending UML/MARTE to support Discrete Controller Synthesis, application to Reconfigurable Systems-on-Chip modeling
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2014, 7 (3), pp.17. ⟨10.1145/2629628⟩
Accès au bibtex
BibTex

Conference papers

titre
A Tracing Toolset for Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn, Mathieu Soula, Michelle Legrand, Ismat Chaib Draa
article
8th International Conference on Performance Evaluation Methodologies and Tools, Dec 2014, Bratislava, Slovakia
Accès au bibtex
BibTex
titre
A Multilevel I/O Tracer for Timing and Performance Analysis of Storage Systems in IaaS Cloud
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Frank Singhoff, Stéphane Rubini
article
3rd IEEE Real-Time and Distributed Computing in Emerging Applications (REACTION ), Dec 2014, Rome, Italy
Accès au bibtex
BibTex
titre
On the Energy Efficiency of Parallel Multi-core vs Hardware Accelerated HD Video Decoding
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
EWiLi, the Embedded Operating Systems Workshop, Nov 2014, Lisbon, Portugal
Accès au bibtex
BibTex
titre
Read-ahead Efficiency for Raw NAND Flash Storage in Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
EWiLi the Embedde operating Systems Workshop, Nov 2014, Lisbon, Portugal
Accès au bibtex
BibTex
titre
What can Emerging Hardware do for your DBMS Buffer ?
auteur
Cheikh Salmi, Nacef Abdelhakim, Ladjel Bellatreche, Jalil Boukhobza
article
Proc. 17th International Workshop on Data Warehousing and OLAP (DOLAP 2014), Nov 2014, Shanghai, China. ⟨10.1145/2666158.2666181⟩
Accès au bibtex
BibTex
titre
Architecture Models Refinement for Fine Grain Timing Analysis of Embedded Systems
auteur
Etienne Borde, Smail Rahmoun, Fabien Cadoret, Laurent Pautet, Frank Singhoff, Pierre Dissaux
article
IEEE International Symposium on Rapid System Prototyping, Oct 2014, New Dehli, India
Accès au bibtex
BibTex
titre
IMOCA: a Model-Based Code Generator for the Development of Multi-Platform Marine Embedded Systems
auteur
Goulven Guillou, Jean-Philippe Babau
article
MOQESM'14. International Conference of Quantitative Monitoring of Underwater Environment, Oct 2014, Brest, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102862/file/stw14.pdf BibTex
titre
AADLv2, An Architecture Description Language for the Analysis and Generation of Embedded Systems
auteur
Jérôme Hugues, Frank Singhoff
article
Half day tutorial presented in the ACM HILT conference, Oct 2014, Portland, United States
Accès au bibtex
BibTex
titre
CdmCL, a Specific Textual Constraint Language for Common Data Model
auteur
Ahmed Ahmed, Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
International Workshop on OCL and Textual Modelling, co-located with 17th International Conference on Model Driven Engineering Languages and Systems (MODELS 2014), Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
Electronic Navigational Chart Generator for a marine mobile augmented reality system
auteur
Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
MTS/IEEE OCEANS 2014, Sep 2014, St Jones, Canada
Accès au texte intégral et bibtex
https://hal.science/hal-01083264/file/Electronic_Navigational_Chart_Generator_for_a_Marine_Mobile_Augmented_Reality_System_OCEANS_2014.pdf BibTex
titre
Integration of cache related preemption delay analysis into a priority assignment algorithm
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
EWiLi'14, The 4th Embedded Operating Systems Workshop., Sep 2014, Lisbon, Portugal
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01166868/file/ewili14-2_pages.pdf https://hal.univ-brest.fr/hal-01166868/file/Poster.pdf BibTex
titre
Open-PEOPLE, A Collaborative Platform for Remote & Accurate Measurement And Evaluation of Embedded Systems Power Consumption
auteur
Yahia Benmoussa, Eric Senn, Jalil Boukhobza, Mickael Lanoe, Djamel Benazzouz
article
IEEE 22nd International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Sep 2014, Paris, France. pp.498-501, ⟨10.1109/MASCOTS.2014.72⟩
Accès au bibtex
BibTex
titre
Modeling Shared-Memory Multiprocessor Systems with AADL
auteur
Stéphane Rubini, Pierre Dissaux, Frank Singhoff
article
1st Architecture Centric Virtual Integration (ACVI) Workshop. In conjonction with the MODELS international conference., Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
AADLv2, a Domain Specific Language for the Modeling, the Analysis and the Generation of Real-Time Embedded Systems
auteur
Frank Singhoff, Jérôme Hugues
article
Half day tutorial presented in the International MODELS conferences, Sep 2014, Valencia, Spain
Accès au bibtex
BibTex
titre
Extending Schedulability Tests of Tree-Shaped Transactions for TDMA Radio Protocols.
auteur
Shuai Li, Frank Singhoff, Stéphane Rubini, Bourdelles Michel
article
19th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA'2014), Sep 2014, Barcelana, Spain
Accès au bibtex
BibTex
titre
Efficient models configuration for an electric vehicle energy management software
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Euromicro Conference series on Software Engineering and Advanced Applications (SEAA), Aug 2014, Verona, Italy. pp.Pages 48-55, ⟨10.1109/SEAA.2014.80⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01001566/file/SEAA2014_-_Efficient_models_configuration_for_an_electric_vehicle_energy_management_software.pdf BibTex
titre
Mobile Augmented Reality System for marine navigation assistance
auteur
Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
12th IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. ⟨10.1109/EUC.2014.49⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01083265/file/Mobile%20Augmented%20Reality%20System%20For%20Marine%20Navigation%20Assistance_ICEUC_2014.pdf BibTex
titre
Dynamic Server Configuration for Multiple Streaming in a Home Network
auteur
Laurent Lemarchand, Isaac Armah-Mensah, Jean-Philippe Babau
article
International Conference on Embedded and Ubiquitous Computing, Aug 2014, Milan, Italy. pp 39--45, ⟨10.1109/EUC.2014.15⟩
Accès au bibtex
BibTex
titre
Instruction cache in hard real-time systems: modeling and integration in scheduling analysis tools with AADL
auteur
Hai-Nam Tran, Frank Singhoff, Stéphane Rubini, Jalil Boukhobza
article
International Conference on Embedded and Ubiquitous Computing (EUC), Aug 2014, Milan, Italy. pp.104-111, ⟨10.1109/EUC.2014.24⟩
Accès au bibtex
BibTex
titre
Scheduling Analysis of TDMA-Constrained Tasks: Illustration with Software Radio Protocols.
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
11th IEEE International Conference on Embedded Software and Systems, Aug 2014, paris, France
Accès au bibtex
BibTex
titre
Synchronization of Models of Rich Languages with Triple Graph Grammars
auteur
Dominique Blouin, Pierre Dissaux, Frank Singhoff, Alain Plantec, Jean-Philippe Diguet
article
International Conference on Model Transformation (ICMT), Jul 2014, York, United Kingdom
Accès au bibtex
BibTex
titre
Stochastic Reliability Evaluation of Sea-of-Tiles Based on Double Gate Controllable-Polarity FETs
auteur
Catherine Dezan, Sara Zermani
article
IEEE/ACM NANOARCH'14, Jul 2014, Paris, France. pp.169-170
Accès au bibtex
BibTex
titre
Modeling the Geometry of the Endoplasmic Reticulum Network
auteur
Laurent Lemarchand, Reinhardt Euler, Congping Lin, Imogen Sparkes
article
1st International Conference on Algorithms for Computational Biology -- AlCob'14, Jul 2014, Tarragona, Spain. pp.132-146
Accès au bibtex
BibTex
titre
Applying Holistic Schedulability Tests to Industrial Systems: Experience and Lessons Learned.
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
5th International Workshop on Analysis Tools and Methodologies for Embedded and Real-time Systems, Jul 2014, Madrid, Spain
Accès au bibtex
BibTex
titre
Modeling the geometry of the Endoplasmic Reticulum network
auteur
Reinhardt Euler, Laurent Lemarchand, Congping Lin, Imogen Sparkes
article
20th Conference of the International Federation of Operational Research Societies IFORS 2014, Jul 2014, Barcelone, Spain
Accès au bibtex
BibTex
titre
Integration of physical models in the ORQA framework for electric vehicle energy management
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Doctoral Symposium on Components and Architecture (WCOP), Jun 2014, Marcq-en-Baroeul, France. pp.7-12, ⟨10.1145/2601328.2601332⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01020135/file/WCOP-CompArch2014_-_Integration_of_Physical_Models_in_the_ORQA_Framework_for_Electric_Vehicle_Energy_Management.pdf BibTex
titre
SRMP: a software pattern for deadlocks prevention inreal-time concurrency models
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
the 10th international ACM Sigsoft conference on Quality of software architectures, QoSA'14, Jun 2014, Lille, France. pp.139-144, ⟨10.1145/2602576.2602591⟩
Accès au bibtex
BibTex
titre
Extending response-time analysis for the automatic synthesis of functional graphs into fixed-priority distributed systems
auteur
Asma Mehiaoui, Sara Tucci Piergiovanni, Chokri Mraidha, Jean-Philippe Babau
article
9th IEEE International Symposium on Industrial Embedded Systems (SIES), 2014, Jun 2014, Pisa, Italy. pp.122-132, ⟨10.1109/SIES.2014.6871196⟩
Accès au bibtex
BibTex
titre
Génération de code multi-plates-formes pour la mise au point de modèles IMOCA
auteur
Goulven Guillou, Jean-Philippe Babau
article
CAL 2014. Conférence francophone sur l'Architecture Logicielle, Jun 2014, Paris, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102851/file/cal14.pdf BibTex
titre
A Task Model for TDMA Communications
auteur
Shuai Li, Stéphane Rubini, Frank Singhoff, Bourdelles Michel
article
9th IEEE International Symposium on Industrial Embedded Systems. WIP Session., Jun 2014, Pisa, Italy
Accès au bibtex
BibTex
titre
Evolutions viables de formes par application de mécanismes cellulaires.
auteur
Abdoulaye Sarr, Alexandra Fronville, Laurent Lemarchand, Pascal Ballet, Vincent Rodin
article
34ème séminaire de la société francophone de biologie théorique (SFBT), May 2014, SFBT, France
Accès au bibtex
BibTex
titre
Flash storage systems: performance and power consumption issues
auteur
Jalil Boukhobza
article
ECOFAC, Ecole thématique COnception FAible Consommation pour les systèmes embarqués temps réels, May 2014, Lorient, France
Accès au bibtex
BibTex
titre
Online Inference for Adaptive Diagnosis via Arithmetic Circuit Compilation of Bayesian Networks
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler, Jean-Philippe Diguet
article
Designing with Uncertainty: Opportunities & Challenges workshop, Mar 2014, York, United Kingdom
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00965533/file/abstract_Online_Inference_17_02_2014.pdf BibTex
titre
The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
auteur
P Dissaux, O Marc, S. Rubini, C Fotsing, V Gaudel, Frank Singhoff, A Plantec, Vương Nguyễn-Hồng, Hải Nam Trần
article
Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02272192/file/ERTS_2014_submission_76.pdf BibTex
titre
Embedded Databases on Flash Memories: Performance and Lifetime Issues, the case of SQLite
auteur
Jalil Boukhobza, Pierre Olivier, Loic Plassart, Hamza Ouarnoughi, Ladjel Bellatreche
article
Embedded Real Time Software and Systems (ERTS2014), Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02272448/file/ERTS_2014_submission_140.pdf BibTex
titre
The SMART Project: Multi-Agent Scheduling Simulation of Real-time Architectures
auteur
Pierre Dissaux, Olivier Marc Marc, Stéphane Rubini, Christian Fotsing, Vincent Gaudel, Frank Singhoff, Alain Plantec, Vuong Nguyen-Hong, Hai-Nam Tran
article
Embedded Real Time Software and Systems, Feb 2014, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00983724/file/SMART_ERTS2014.pdf BibTex

Books

titre
SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 4th Workshop on Embed With Linux (EWiLi 2014)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Pierre Ficheux, Frank Singhoff
article
ACM. ACM, 11 (4), 2014, ISSN: 1551-3688
Accès au bibtex
BibTex
titre
SIGBED Review, Volume 11, Number 1, February 2014 Special Issue the 3rd Workshop on Embed With Linux (EWiLi 2013)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, pp.79, 2014, 1551-3688
Accès au bibtex
BibTex

Reports

titre
On Fault Diagnosis using Bayesian Networks ; A Case Study of Combinational Adders.
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
2014
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00966414/file/Project-Report.pdf BibTex

2013

Journal articles

titre
Performance analysis and modeling of SQLite embedded databases on flash file systems
auteur
Hamza Ouarnoughi, Jalil Boukhobza, Pierre Olivier, Loic Plassart, Ladjel Bellatreche
article
Design Automation for Embedded Systems An International Journal, 2013, Volume 17 (Issue 3-4), pp.507-542. ⟨10.1007/s10617-014-9149-2⟩
Accès au bibtex
BibTex
titre
Un système de cache hiérarchique pour les E/S présentant des motifs séquentiels pour les mémoires flash NAND
auteur
Pierre Olivier, Jalil Boukhobza
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, 32 (2), pp.203-228. ⟨10.3166/tsi.32.203-228⟩
Accès au bibtex
BibTex
titre
Resource Management for Multimedia Applications, Distributed in Open and Heterogeneous Home Networks
auteur
Maxime Louvel, Alain Plantec, Jean-Philippe Babau
article
Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
Accès au bibtex
BibTex
titre
Resource management for multimedia applications, distributed in open and heterogeneous home networks
auteur
Maxime Louvel, Alain Plantec, Jean-Philippe Babau
article
Journal of Systems Architecture, 2013, 59 (3), pp.121-134. ⟨10.1016/j.sysarc.2013.01.003⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01275907/file/jsa_armor.pdf BibTex
titre
Reconciling run-time evolution and resource-constrained embedded systems through a component-based development framework
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
Science of Computer Programming, 2013, 8, pp.1073-1098. ⟨10.1016/j.scico.2012.08.004⟩
Accès au bibtex
BibTex

Conference papers

titre
How to exploit the device diversity and database interaction to propose a generic cost model?
auteur
Ladjel Bellatreche, Cheikh Salmi, Sebastien Bress, Amira Kerkad, Ahcène Boukorca, Jalil Boukhobza
article
International Database Engineering & Applications Symposium IDEAS '13, Oct 2013, Barcelona, Spain. pp.142-147, ⟨10.1145/2513591.2513660⟩
Accès au bibtex
BibTex
titre
Enforcing Software Engineering Tools Interoperability: An Example with AADL Subsets.
auteur
Vincent Gaudel, Alain Plantec, Frank Singhoff, Jérôme Hugues, Pierre Dissaux, Jérôme Legrand
article
24th International Symposium on Rapid System Prototyping (RSP), Oct 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
Specification of a Legacy Tool by Means of a Dependency Graph to Improve its Reusability
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
7th MoDELS workshop on Models and Evolution, Sep 2013, Miami, United States. pp.1
Accès au bibtex
BibTex
titre
Energy Consumption Modeling of H.264/AVC Video Decoding for GPP and DSP
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
16th EUROMICRO conference Series on Digital System Design (DSD), Sep 2013, France. pp.890-897, ⟨10.1109/DSD.2013.100⟩
Accès au bibtex
BibTex
titre
AADLv2, an Architecture Description Language for the Analysis and Generation of Embedded Systems
auteur
Jérôme Hugues, Frank Singhoff
article
Half day tutorial presented in the International EMSOFT/ESWEEK conferences, Sep 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz, Yassine Hadjadj-Aoul
article
EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.8
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00860044/file/main.pdf BibTex
titre
Flashmon V2: Monitoring Raw NAND Flash Memory I/O Requests on Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
EWiLi, the Embedded Operating Systems Workshop, Aug 2013, Toulouse, France. pp.4
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00859316/file/ewili13_submission_4.pdf BibTex
titre
GPP vs DSP : A Performance/Energy Characterization and Evaluation of V ideo Decoding
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Aug 2013, San Francisco, United States. pp.273-282, ⟨10.1109/MASCOTS.2013.35⟩
Accès au bibtex
BibTex
titre
DPMP: A Software Pattern for Real-Time Tasks Merge
auteur
Rania Mzid, Chokri Mraidha, Asma Mehiaoui, Sara Tucci Piergiovanni, Jean-Philippe Babau, Mohamed Abid
article
9th European Conference on Modelling Foundations and Applications, Jul 2013, Montpellier, France. pp.101-117, ⟨10.1007/978-3-642-39013-5_8⟩
Accès au bibtex
BibTex
titre
Coupled open navigation and augmented reality systems for skippers
auteur
Ronan Douguet, Jean-Christophe Morgère, Jean-Philippe Diguet, Johann Laurent
article
International conference on innovation in high performance sailing yachts (Innov'Sail), Jun 2013, Lorient, France
Accès au bibtex
BibTex
titre
TAG SHEPERD: a Low Cost and Non Intrusive Man Overboard Detection System
auteur
Johann Laurent, Nicolas Le Griguer, Jean-Philippe Diguet
article
International Conference On Innovation In Hight Performance Sailing Yatchs, Jun 2013, Lorient, France
Accès au bibtex
BibTex
titre
A two-step optimization technique for functions placement, partitioning, and priority assignment in distributed systems
auteur
Asma Mehiaoui, Ernest Wozniak, Sara Tucci Piergiovanni, Chokri Mraidha, Marco Di Natale, Haibo Zeng, Jean-Philippe Babau, Laurent Lemarchand, Sébastien Gerard
article
SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2013, Jun 2013, Seattle, United States. pp.121-132, ⟨10.1145/2465554.2465572⟩
Accès au bibtex
BibTex
titre
ORQA: Modeling Energy and Quality of Service within AUTOSAR Models
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Quality of Software Architectures (QoSA), Jun 2013, Vancouver, Canada. pp.Pages 3-12, ⟨10.1145/2465478.2465488⟩
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00852862/file/QoSA2013_-_ORQA_-_Modeling_Energy_and_Quality_of_Service_within_AUTOSAR_Models_-_Author_version.pdf BibTex
titre
Evaluation of the Performance/Energy Overhead in DSP Video Decoding and its Implications
auteur
Yahia Benmoussa, Jalil Boukhobza, Eric Senn, Djamel Benazzouz
article
Annual Metting of the GDR SoC SiP, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00846463/file/main.pdf BibTex
titre
Open Data Buoy to Analyze Weather and Sea Conditions for Sailing Regattas
auteur
Ronan Douguet, Jean-Philippe Diguet, Johann Laurent, Yann Riou
article
OCEANS'13 MTS/IEEE Bergen, Jun 2013, Bergen, Norway. Open Data Buoy to Analyze Weather and Sea Conditions for Sailing RegattasGroupama Sailing Team
Accès au bibtex
BibTex
titre
Toward a Unified Performance and Power Consumption NAND Flash Memory Model of Embedded and Solid State Secondary Storage Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
GDR Soc-Sip 2013 Meeting, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00834224/file/Olivier_Boukhobza_Senn_SocSip2013_final.pdf BibTex
titre
Cheddar : about the usability of the real-time scheduling theory
auteur
Frank Singhoff
article
CCT CNES Seminar, Jun 2013, Toulouse, France
Accès au bibtex
BibTex
titre
Deterministic Implementation of Periodic-Delayed Communications and Experimentation in AADL
auteur
Fabien Cadoret, Robert Thomas, Etienne Borde, Laurent Pautet, Frank Singhoff
article
17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2013), Jun 2013, Paderborn, Germany
Accès au bibtex
BibTex
titre
IMOCA : une architecture à base de modes de fonctionnement pour une application de contrôle dans un environnement incertain
auteur
Goulven Guillou, Jean-Philippe Babau
article
CAL 2013. 7ième conférence francophone sur les architectures logicielles., May 2013, Toulouse, France
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-01102659/file/imoca2.pdf BibTex
titre
Modeling Driver Level NAND Flash Memory I/O Performance and Power Consumption for Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
IEEE 11th International Symposium on Programming and Systems, Apr 2013, Algiers, Algeria. pp.155-164, ⟨10.1109/ISPS.2013.6581480⟩
Accès au bibtex
BibTex
titre
A New Real-Time Method for Sailboat Performance estimation based on Leeway Modeling
auteur
Ronan Douguet, Jean-Philippe Diguet, Johann Laurent, Yann Riou
article
The 21st Chesapeake Sailing Yacht Symposium, Mar 2013, Annapolis, United States. pp.A New Real-Time Method for Sailboat Performance estimation based on Leeway Modeling
Accès au bibtex
BibTex
titre
Modif: Automating data migration for the reuse of legacy tools
auteur
Paola Vallejo, Mickaël Kerboeuf, Jean-Philippe Babau
article
2ème Conférence en IngénieriE du Logiciel (CIEL 2013), Mar 2013, Nancy, France
Accès au bibtex
BibTex
titre
CACH-FTL: A Cache-Aware Configurable Hybrid Flash Translation Layer
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
International Euromicro Conference on Parallel, Distributed and Network-based Processing, Feb 2013, Belfast, United Kingdom. pp.94-101, ⟨10.1109/PDP.2013.71⟩
Accès au bibtex
BibTex

Book sections

titre
Flash Based Storage in Embedded Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
Embedded Computing Systems: Applications, Optimization, and Advanced Design, IGI Global, 2013, 9781466639225
Accès au bibtex
BibTex
titre
Flashing in the Cloud: Shedding some Light on NAND Flash Memory Storage Systems
auteur
Jalil Boukhobza
article
Data Intensive Storage Services for Cloud Environments, IGI Global, 2013, 9781466639348
Accès au bibtex
BibTex

Books

titre
Memory Allocation Problems in Embedded Systems: Optimization Methods
auteur
Maria Soto, Marc Sevaux, André Rossi, Johann Laurent
article
Wiley-ISTE, pp.256, 2013, 978-1-84821-428-6
Accès au bibtex
BibTex

Poster communications

titre
Diagnostic de circuits combinatoires par réseaux bayésiens
auteur
Sara Zermani, Catherine Dezan, Reinhardt Euler
article
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Accès au bibtex
BibTex
titre
Du paramétrage de la granularité du calcul et de la localité des données des implémentations sur GPU - Expérimentations OpenCL
auteur
Guevel Emanuel, Anne Jeannin-Girardon, Catherine Dezan
article
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Accès au bibtex
BibTex

Reports

titre
A kernel transformation language for metamodel evolution and reversible model co-evolution
auteur
Mickaël Kerboeuf, Paola Vallejo, Jean-Philippe Babau
article
2013
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00842789/file/microdif.pdf BibTex

2012

Journal articles

titre
Applicability of Real-Time schedulability analysis on a software radio protocol
auteur
Li Shuai, Frank Singhoff, Stéphane Rubini, Michel Bourdellès
article
ACM SIGAda Ada Letters, 2012, 32 (3), p. 61-68
Accès au bibtex
BibTex
titre
On Benchmarking Embedded Linux Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
ACM SIGBED Review, 2012, 9 (2), pp.43-47
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725008/file/papier.pdf BibTex
titre
A Hardware Time Manager Implementation for the Xenomai Real-Time Kernel of Embedded Linux
auteur
Pierre Olivier, Jalil Boukhobza
article
ACM SIGBED Review, 2012, 9 (2), pp.38-42
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725015/file/0_papier.pdf BibTex
titre
MemExplorer: From C code to Memory Allocation
auteur
Johann Laurent, André Rossi, Marc Sevaux
article
Journal of Low Power Electronics, 2012, 8 (4), pp.394-402. ⟨10.1166/jolpe.2012.1201⟩
Accès au bibtex
BibTex

Conference papers

titre
A Hardware/Software CBSE Framework for RTOS Services: the Timing Service Case Study
auteur
Stéphane Rubini, Jean-Philippe Babau, Jalil Boukhobza
article
IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, Dec 2012, Phaphos, Cyprus. pp.570--577
Accès au bibtex
BibTex
titre
Micro-benchmarking Flash Memory File-System Wear leveling and Garbage Collection : a Focus on Initial State Impact
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
The 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, Dec 2012, Paphos, Cyprus. pp.437, ⟨10.1109/ICCSE.2012.67⟩
Accès au bibtex
BibTex
titre
Flashing the Memory Hierarchy: an Overview on Flash Memory Internals
auteur
Jalil Boukhobza, Stéphane Rubini
article
Journée Logiciels Embarqués et Architectures Matérielles du GDR SoC-SiP, Nov 2012, Paris, France
Accès au bibtex
BibTex
titre
Déploiement de service dans un environnement d'aide au handicap
auteur
Cédric Séguin, Florent Frizon de Lamotte, Jean-Luc Philippe
article
MajecSTICC, Oct 2012, Lille, France
Accès au bibtex
BibTex
titre
A two-steps model transformation to extend the scope of an analysis framework to standard modeling languages
auteur
Mickael Kerboeuf, Jean-Philippe Babau, V. Gaudel
article
Conférence : 6th MoDELS workshop on Models and Evolution., Oct 2012, Innsbruck, Austria. pp.P.P1-6
Accès au bibtex
BibTex
titre
Real-Time Design Models to RTOS-Specific Models Refinement Verification
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
5th MoDELS workshop on Model Based Architecting and Construction of Embedded Systems, Sep 2012, Innsbruck, Austria. pp.25-30
Accès au bibtex
BibTex
titre
Service robotics, three case studies
auteur
Yvon Autret, Valérie-Anne Nicolas, David Espes, Philippe Le Parc
article
Ryhti workshop, Sep 2012, Finland
Accès au bibtex
BibTex
titre
Generation of Multiplatform Control for Transitic Systems using a Component-Based Approach
auteur
Romain Bevan, Michael Adam, Pascal Berruet, Florent Frizon de Lamotte, Olivier Cardin, Pierre Castagna
article
IEEE International Conference on Emerging Technologies and Factory Automation, Sep 2012, France
Accès au bibtex
BibTex
titre
System services partitioning in ambient assisted living environment
auteur
Cédric Séguin, Florent Frizon de Lamotte, Jean-Luc Philippe
article
International Workshop on Adaptable Service Delivery in Smart Environment, Sep 2012, United States
Accès au bibtex
BibTex
titre
VBR Video Abstraction for Home-Network Reservation
auteur
Laurent Lemarchand, Maxime Louvel, Jean-Philippe Babau
article
7th International Conference on Embedded and Multimedia Computing (EMC-12), Sep 2012, Gwangu, South Korea. pp.113-122, ⟨10.1007/978-94-007-5076-0_13⟩
Accès au bibtex
BibTex
titre
A MDD Approach for RTOS Integration on Valid Real-Time Design Model
auteur
Rania Mzid, Chokri Mraidha, Jean-Philippe Babau, Mohamed Abid
article
38th Euromicro Conference on Software Engineering and Advanced Applications, Sep 2012, Cesme, Turkey. pp.9-16
Accès au bibtex
BibTex
titre
Scheduling analysis principles and tool for time and space partitioned systems
auteur
J. Craveiro, J.L. Souza, J. Rufino, Vincent Gaudel, Laurent Lemarchand, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Simposio de informatica, Sep 2012, Lisbone, Portugal. pp.582-585
Accès au bibtex
BibTex
titre
Modeling and Synthesis of a Dynamic and Partial Reconfiguration Controller
auteur
Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Jean-Philippe Diguet, Guy Gogniat
article
International Conference on Field Programmable Logic and Applications (FPL), Aug 2012, Oslo, Norway
Accès au bibtex
BibTex
titre
Optimizing the Deployment of Distributed Real-Time Embedded Applications
auteur
Asma Mehiaoui, Sara Tucci Piergiovanni, Jean-Philippe Babau, Laurent Lemarchand
article
IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Aug 2012, Séoul, South Korea. pp.400-403
Accès au bibtex
BibTex
titre
From Specifications towards Hardware
auteur
Catherine Dezan
article
Invited seminar, ARCAA, Jul 2012, Brisbane, Australia
Accès au bibtex
BibTex
titre
About architecture description languages and scheduling analysis
auteur
Frank Singhoff
article
Schloss Dagstuhl Seminar number 12272, Driven semantic analysis of embedded systems, Jul 2012, Dagstuhl, Germany
Accès au bibtex
BibTex
titre
Modèles et transformations de modèles pour l'introduction de la consommation énergétique dans le standard AUTOSAR
auteur
Borjan Tchakaloff, Sébastien Saudrais, Jean-Philippe Babau
article
Conférence en IngénieriE du Logiciel (CIEL), Jun 2012, Rennes, France. papier n°20
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00734226/file/CIEL2012-Tchakaloff-paper20.pdf BibTex
titre
Behavioral System Level Power Consumption Modeling of Mobile Video Streaming applications
auteur
Yahia Benmoussa, Jalil Boukhobza, Yassine Hadjadj-Aoul, Loïc Lagadec, Djamel Benazzouz
article
Colloque du GDR SoC SiP, Jun 2012, Paris, France. pp.39
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00724988/file/GDR_Benmoussa_Boukhobza_Benazzouz_Lagadec.pdf BibTex
titre
Performance Evaluation of Flash File Systems
auteur
Pierre Olivier, Jalil Boukhobza, Eric Senn
article
Colloque du GDR SoC-SiP, Jun 2012, Paris, France. pp.10
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00725003/file/olivier_boukhobza_senn_socsip2012_v7.pdf BibTex
titre
Allocation de mémoire dynamique dans les systèmes embarqués
auteur
Maria Soto, André Rossi, Marc Sevaux, Johann Laurent
article
ROADEF, 13ème congrès de la Société Française de Recherche Opérationnelle et d'Aide à la Décision,, Apr 2012, Angers, France
Accès au bibtex
BibTex

Books

titre
SIGBED Review, Volume 9, Number 2, June 2012 Special Issue the 2nd Workshop on Embed With Linux (EWiLi 2012)
auteur
Jalil Boukhobza, Jean-Philippe Diguet, Frank Singhoff
article
ACM, pp.47, 2012, 1551-3688
Accès au bibtex
BibTex

Reports

titre
A Mixed Integer Linear Programming formulations for optimizing timing performance during the deployment phase in real-time systems design
auteur
Asma Mehiaoui, Tucci-Piergiovanni Sara, Jean-Philippe Babau
article
2012
Accès au texte intégral et bibtex
https://cea.hal.science/cea-00811359/file/TechnicalReport120307AM.pdf BibTex

2011

Journal articles

titre
An Efficient Hierarchical Dual Cache System for NAND Flash Memories
auteur
Jalil Boukhobza, Pierre Olivier
article
International journal of digital information and wireless communications (IJDIWC), 2011, 1 (1), pp.175-194
Accès au bibtex
BibTex
titre
An ada design pattern recognition tool for AADL performance analysis
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Stéphane Rubini, Pierre Dissaux, J. Legrand
article
ACM SIGAda Ada Letters, 2011, 31 (3), pp.61-68
Accès au bibtex
BibTex
titre
Architecture, Mechanisms and Scheduling analysis tool for multicore time-and space-partitioned systems
auteur
J. Craveiro, J. Rufino, Frank Singhoff
article
ACM SIGBED Review, 2011, 8 (3), pp.23-27
Accès au bibtex
BibTex

Conference papers

titre
Organizational Configurations in Executable Enterprise Architecture Models
auteur
Marie Ludwig, Nicolas Farcet, Jean-Philippe Babau, Joël Champeau
article
poster session of Complex Systems Design and Management 2011, Dec 2011, Paris, France
Accès au bibtex
BibTex
titre
Forward engineering and early model validation with Smalltalk
auteur
Alain Plantec, Frank Singhoff, V Gaudel, Vincent Ribaud
article
5th Argentine Smalltalk Conference., Nov 2011, Buenos Aires., Argentina
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00664435/file/smalltalk-final-2011.pdf BibTex
titre
Building embedded real-time applications
auteur
J. Mccormick, Frank Singhoff
article
ACM SIGAda conférence, Nov 2011, Denver, Colorado, United States
Accès au bibtex
BibTex
titre
Ensuring QoS of Multimedia Applications in Heterogeneous Home Networks: The CPU Use Case
auteur
Maxime Louvel, Julien Tous, Jean-Philippe Babau, Alain Plantec
article
Embedded and Ubiquitous Computing, EUC 2011, Oct 2011, Melbourne, Australia. pp.19-26
Accès au bibtex
BibTex
titre
A DSML for reversible transformations
auteur
Mickael Kerboeuf, Jean-Philippe Babau
article
11th OOPSLA Workshop on Domain-Specific Modeling, Oct 2011, Portland, United States. pp.1-6
Accès au bibtex
BibTex
titre
Domain Specific Language Modeling Facilities
auteur
Jean-Philippe Babau, Mickael Kerboeuf
article
5th MoDELS workshop on Models and Evolution, Oct 2011, Wellington, Nouvelle-Zélande. pp.1-6
Accès au bibtex
BibTex
titre
AADL real -time design-pattern automatic recognition
auteur
Pierre Dissaux, J. Legrand, Vincent Gaudel, Alain Plantec, Stéphane Rubini, Frank Singhoff
article
Aerotech congress, Oct 2011, Toulouse, France. pp.0-0
Accès au bibtex
BibTex
titre
Characterization of OLTP I/O Workloads for Dimensioning Embedded Write Cache for Flash Memories: A Case Study
auteur
Jalil Boukhobza, Ilyes Khetib, Pierre Olivier
article
International Conference on Model and Data Engineering, Sep 2011, Obidos, Portugal. pp.97
Accès au bibtex
BibTex
titre
Implémentation Matérielle des Services d'un RTOS sur Circuit Reconfigurable
auteur
Pierre Olivier, Jalil Boukhobza, Jean-Philippe Babau, Damien Picard, Stéphane Rubini
article
Ecole d'été du temps réel, Aug 2011, Brest, France. pp.199
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00620070/file/ETR2011_camera.pdf BibTex
titre
Sélection automatique de tests de faisabilité à l'aide de patrons de conception
auteur
Vincent Gaudel, Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
Session doctorants de l'école temps réel 2011, Aug 2011, Brest, France. pp.185-188
Accès au bibtex
BibTex
titre
A Cache Management Strategy to Replace Wear Leveling Techniques for Embedded Flash Memory
auteur
Jalil Boukhobza, Pierre Olivier, Stéphane Rubini
article
International Symposium on Performance Evaluation of Computer & Telecommunication Systems, Jun 2011, La Haye, Netherlands. paper_45
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607350/file/Boukhobza_Olivier_Rubini_SPECTS_camera_ready.pdf BibTex
titre
C-lash: a Cache System for Optimizing NAND Flash Memory Performance and Lifetime
auteur
Jalil Boukhobza, Pierre Olivier
article
Digital Information and Communication Technologyand Its Applications (DICTAP), Jun 2011, Dijon, France. page 599
Accès au bibtex
BibTex
titre
Hiérarchie mémoire avec un focus sur les mémoires non volatiles de type Flash
auteur
Jalil Boukhobza
article
Ecole thématique ARCHI'11, Jun 2011, Mont-Louis, France
Accès au bibtex
BibTex
titre
Regular 2D Nasic-based Architecture and Design Space Exploration
auteur
Ciprian Teodorov, Pritish Narayanan, Loic Lagadec, Catherine Dezan
article
NANOARCH 2011, Jun 2011, San Diego, United States. pp.70-77
Accès au bibtex
BibTex
titre
Integrating Design and Runtime Variability Support into a System ADL
auteur
M. Ludwig, Nicolas Farcet, Jean-Philippe Babau, Joël Champeau
article
7th European Conference Modelling Foundations and Applications ECMFA 2011, Jun 2011, Birmingham, United Kingdom. pp.270-281, ⟨10.1007/978-3-642-21470-7_19⟩
Accès au bibtex
BibTex
titre
An experiment of a MDE approach for the design of reusable DSL tools
auteur
Mickael Kerboeuf, Alain Plantec, Jean-Philippe Babau
article
journées sur l'Ingénierie Dirigée par les Modèles (IDM), Jun 2011, Lille, France. pp.25-30
Accès au bibtex
BibTex
titre
Alert Management based on Home Automation service activations in an Ambient Assisted Living Environment
auteur
Florent Frizon de Lamotte
article
pHealth 2011, Jun 2011, Lyon, France
Accès au bibtex
BibTex
titre
Un mécanisme de cache pour les E/S séquentielles en mémoires flash
auteur
Pierre Olivier, Jalil Boukhobza
article
Symposium d'Architecture nouvelles des machines (SympA), May 2011, Saint Malo, France. paper_16
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607339/file/Papier.pdf BibTex
titre
Flashmon : un outil de trace pour les accès à la mémoire flash NAND
auteur
Jalil Boukhobza, Ilyes Khetib, Pierre Olivier
article
Embed With Linux (EWiLi), May 2011, France. pp.2
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607089/file/Papier.pdf BibTex
titre
Modélisation et contrôle de la reconfiguration dynamique et partielle
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Guy Gogniat, Jean-Philippe Diguet
article
SympA 2011, May 2011, France
Accès au bibtex
BibTex
titre
Modeling and verification of memory architectures with AADL and REAL
auteur
Stéphane Rubini, Frank Singhoff, J. Hugues
article
In the proceedings of the 16th IEEE international conference on engineering of complex computer systems, Apr 2011, Las Vegas, United States. pp.338-343
Accès au bibtex
BibTex
titre
A Network Resource Management Framework for Multimedia Applications Distributed in Heterogeneous Home Networks
auteur
Maxime Louvel, P. Bonhomme, Jean-Philippe Babau, Alain Plantec
article
Advanced Information Networking and Applications, AINA 2011, Mar 2011, Singapour, Singapore. pp.724-731
Accès au bibtex
BibTex
titre
Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Sébastien Guillet
article
Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2011, Grenoble, France. ⟨10.1109/DATE.2011.5763315⟩
Accès au bibtex
BibTex
titre
Ambient Assisted Living with Linux
auteur
Willy Allègre, Cédric Séguin, Thomas Burger, Florent de Lamotte, Pascal Berruet, Jean-Luc Philippe, Jean-Philippe Diguet
article
Embed With Linux (EWiLi) workshop, 2011, Saint-Malo, France
Accès au texte intégral et bibtex
https://hal.science/hal-00599666/file/misc_com_7.pdf BibTex
titre
Perturbations of the Laplace-Beltrami Operator
auteur
Nicolas Courty, Thomas Burger, Johann Laurent
article
ECML-PKDD, 2011, Greece. pp.359-374
Accès au bibtex
BibTex

Books

titre
Building Parallel, Embedded, and Real-Time applications with Ada.
auteur
J.W Mccormick, Frank Singhoff, J. Hugues
article
Cambridge university press UK, 365 p., 2011, 13:9780521197168
Accès au bibtex
BibTex

Reports

titre
Contrôle de capteurs bio sourcés par liaison RFID
auteur
Johann Laurent, Hugues Nono Wouafo
article
2011
Accès au texte intégral et bibtex
https://hal.science/hal-00662690/file/Rapport_final_ECO_I_PACK.pdf BibTex

2010

Journal articles

titre
Spatial Switching data coding technique analysis and improvements for interconnect power consumption optimization
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys
article
Journal of Low Power Electronics, 2010, 6 (1 (2010))
Accès au bibtex
BibTex

Conference papers

titre
An Optimized Run-time Evolution Infrastructure for Component-Based Embedded Systems
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
BENEVOL, Dec 2010, Lille, France
Accès au bibtex
BibTex
titre
RT-simex: retro-analysis of execution traces
auteur
Julien Deantoni, Frédéric Mallet, Frédéric Thomas, Gonzague Reydet, Jean-Philippe Babau, Chokri Mraidha, Ludovic Gauthier, Laurent Rioux, Nicolas Sordon
article
SIGSOFT FSE, Nov 2010, Santa Fe, United States. pp.377-378, ⟨10.1145/1882291.1882357⟩
Accès au bibtex
BibTex
titre
A Component-based Run-time Evolution Infrastructure for Resource-Constrained Embedded Systems
auteur
Juan Navas, Jean-Philippe Babau, Jacques Pulou
article
Generative Programming and Component Engineering, Oct 2010, Eindhoven, Netherlands. pp.73-82
Accès au bibtex
BibTex
titre
On Introducing Built-In Test for Software Components in AADL Models
auteur
Valérie-Anne Nicolas
article
IEEE EAST-WEST DESIGN & TEST SYMPOSIUM EWDTS'10, Sep 2010, St. Petersburg, Russia. p.179
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607247/file/paper_nicolasEWDTS10.pdf BibTex
titre
Quantity of Resource aggregation for heterogeneous resource reservation for multimedia applications
auteur
Maxime Louvel, Jacques Pulou, Alain Plantec, Jean-Philippe Babau
article
WIP session, Real-time and networked embedded systems track, Sep 2010, Bilbao, Spain
Accès au bibtex
BibTex
titre
Alert Management for Home Healthcare Based on Home Automation Analysis
auteur
Thi Bich Thanh Truong, Florent de Lamotte, Jean-Philippe Diguet, Farida Saïd-Hocine
article
32nd Int. Conf. of the IEEE Engineering in Medicine and Biology Society (EMBC'10), Sep 2010, Buenos Aires, Argentina, France
Accès au bibtex
BibTex
titre
Self-reconfigurable embedded systems: from modeling to implementation
auteur
Guy Gogniat, Jorgiano Vidal, Linfeng Ye, Jeremie Crenne, Sébastien Guillet, Florent de Lamotte, Jean-Philippe Diguet, Pierre Bomel
article
Engineering of Reconfigurable Systems and Algorithms, Jul 2010, Las Vegas, Nevada, United States
Accès au bibtex
BibTex
titre
AADL Design-Patterns and Tools for Modelling and Performance Analysis of Real-Time systems
auteur
Pierre Dissaux, Jérôme Legrand, Alain Plantec, Mickael Kerboeuf, Frank Singhoff
article
ERTS2 2010, Embedded Real Time Software & Systems, May 2010, Toulouse, France
Accès au texte intégral et bibtex
https://hal.science/hal-02264385/file/2C-1.pdf BibTex
titre
AADL design patterns and tools for modelling and performance analysis of real-time systems.
auteur
P. Disseaux, Alain Plantec, Mickael Kerboeuf, Frank Singhoff
article
5th european congress ERTSS Embedded Real-Time Software and System., May 2010, France
Accès au bibtex
BibTex
titre
Comparison of six ways to extend the scope of Cheddar to AADL v2 with Osate
auteur
Mickael Kerboeuf, Alain Plantec, Frank Singhoff, A. Schach, Pierre Dissaux
article
5th international workshop on AADL and UML, Mar 2010, United Kingdom. pp.367-372
Accès au bibtex
BibTex
titre
Modeling and formal control of partial dynamic reconfiguration
auteur
Sébastien Guillet, Florent Frizon de Lamotte, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
article
Reconfig, 2010, Cancun, Mexico
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable embedded systems
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
Design Automation and Test in Europe, 2010, Dresden, Germany. pp.1195
Accès au bibtex
BibTex
titre
UML design for dynamically reconfigurable multiprocessor embedded systems,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Philippe Soulard
article
Design, Automation & Test in Europe Conference & Exhibition, 2010, Germany. pp.1195 - 1200
Accès au bibtex
BibTex

Book sections

titre
Model integration for formal qualification of timing-aware software data acquisition components
auteur
Jean-Philippe Babau, Philippe Dhaussy, Pierre-Yves Pillain
article
Model Driven Engineering for Distributed Real-Times and Embedded Systems, ISTE, pp.167-200, 2010
Accès au bibtex
BibTex

Other publications

titre
Retour d'expérience sur un projet de recherche appliquée dans le domaine de l'automatisation de la génération de données de test
auteur
Valérie-Anne Nicolas
article
2010
Accès au bibtex
BibTex

Patents

titre
Système de surveillance
auteur
Johann Laurent, Jean-Philippe Diguet, Yvan Eustache
article
France, N° de brevet: BFF 10P0276. 2010, pp.9
Accès au bibtex
BibTex

2009

Journal articles

titre
Energy and power consumption estimation for embedded applications and operating systems
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Dominique Blouin, Johann Laurent
article
Journal of Low Power Electronics, 2009, 5 (3)
Accès au bibtex
BibTex
titre
Validate, Simulate and Implement ARINC653 Systems using the AADL
auteur
Julien Delange, Laurent Pautet, Alain Plantec, Mickael Kerboeuf, Frank Singhoff, Fabrice Kordon
article
ACM SIGAda Ada Letters, 2009, 29 (3), pp.31-44. ⟨10.1145/1653616.1647435⟩
Accès au bibtex
BibTex
titre
Investigating the usability of real-time scheduling theory with the Cheddar project
auteur
Frank Singhoff, Alain Plantec, Pierre Dissaux, Jérôme Legrand
article
Journal of Real Time Systems. Volume 3. Number 43. Springer Verlag. ISSN:0922-6443, 2009, pp.259-295
Accès au bibtex
BibTex
titre
Qinna: a component-based framework for runtime safe resource adaptation of embedded systems
auteur
Laure Gonnord, Jean-Philippe Babau
article
Scalable Computing : Practice and Experience, 2009, 10 (3), pp.253-264
Accès au bibtex
BibTex
titre
Towards a Framework for Designing Applications onto hybrid nano/CMOS fabrics
auteur
Catherine Dezan, Ciprian Teodorov, Loïc Lagadec, Michael Leuchtenburg, Teng Wang, Pritish Narayanan, Csaba Andras Moritz
article
Microelectronics Journal, 2009, 40 (4-5), pp.656-664. ⟨10.1016/j.mejo.2008.07.072⟩
Accès au bibtex
BibTex

Conference papers

titre
Modelling and estimating the energy consumption of embedded applications and operating systems
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Johann Laurent
article
IEEE 12th International Symposium on Integrated Circuits (ISIC 2009), Dec 2009, Singapore. pp.1
Accès au bibtex
BibTex
titre
A Sequential Workload Performance Study of Embedded NAND Flash Memories
auteur
Jalil Boukhobza
article
3rd International Conference on Electrical Engineering, Dec 2009, Boumerdès, Algeria. pp.track2_esc007
Accès au bibtex
BibTex
titre
Assisted Living Service Identification Based on Activity Patterns
auteur
Thi Bich Thanh Truong, Florent de Lamotte, Jean-Philippe Diguet, Farida Saïd-Hocine
article
The 4th International Conference on Pervasive Computing and Applications, Dec 2009, Taipei, Taiwan. pp.1-6
Accès au bibtex
BibTex
titre
IP reuse in an MDA MPSoPC co-design approach
auteur
Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
article
International Conference on Microelectronics (ICM'09), Dec 2009, Morocco. pp.0
Accès au bibtex
BibTex
titre
Kohonen approach for assisted living services construction
auteur
Thi Bich Thanh Truong, Farida Saïd-Hocine, Florent Frizon de Lamotte, Jean-Philippe Diguet
article
XVI rencontres de la société Francophone de classification, Oct 2009, France
Accès au bibtex
BibTex
titre
Proactive remote healthcare based on multimedia and home automation services
auteur
Thi Bich Thanh Truong, Florent Frizon de Lamotte, Jean-Philippe Diguet
article
IEEE international Conference on Automation Science and Engineering, Aug 2009, Brangalore, India. pp.385-390
Accès au bibtex
BibTex
titre
Efficient and Adapted Component-Based Strategies for Embedded Software Device Drivers Development
auteur
Juan Navas Mantilla, Jean-Philippe Babau
article
EPS'09, Aug 2009, Canada. pp.514-519
Accès au bibtex
BibTex
titre
Minimal yet Effective Reconfiguration Infrastructures in Component-Based Embedded Systems
auteur
Juan Navas Mantilla, Jean-Philippe Babau, O. Lobry
article
SINTER'09, Aug 2009, Netherlands. pp.43-48
Accès au bibtex
BibTex
titre
Interconnect Explorer: A High-level Power Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
User Track of the Design Automation Conference, DAC 2009, Jul 2009, San Francisco, United States. pp.1
Accès au bibtex
BibTex
titre
Optimizing Component-Based Embedded Software
auteur
Olivier Lobry, Juan Navas, Jean-Philippe Babau
article
2nd IEEE International Workshop on Component-Based Design of Resource-Constrained Systems(CORCS09), Jul 2009, Seattle, United States. pp.491-496
Accès au bibtex
BibTex
titre
Networked Self-Adaptive Systems: An Opportunity for Configuring in the Large
auteur
Jean-Philippe Diguet, Linfeng Ye, Yvan Eustache, Jeremy Crennes, Pierre Bomel, Guy Gogniat, Jorgiano Vidal, Florent de Lamotte
article
Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA), Jul 2009, Las Vegas, USA, France
Accès au bibtex
BibTex
titre
Modelling and estimating the energy consumption of embedded applications and operating systems
auteur
Eric Senn, Saadia Douhib, Jean-Philippe Diguet, Johann Laurent
article
IEEE 12th International Symposium on Integrated Circuits, Jul 2009, Singapore
Accès au bibtex
BibTex
titre
Model Driven High-level Power Estimation of Embedded Operating Systems Communication Services
auteur
Saadia Dhouib, Eric Senn, Jean-Philippe Diguet, Johann Laurent, Dominique Blouin
article
IEEE 2009 International Conferences on Embedded Software and Systems, May 2009, Hangzhu, China. pp.ISBN: 978-0-7695-3678-1, ⟨10.1109/ICESS.2009.94⟩
Accès au bibtex
BibTex
titre
A Convolutional Code for On-chip Interconnect Crosstalk Reduction
auteur
Antoine Courtay, Emmanuel Boutillon, Johann Laurent
article
IEEE International Symposium on Circuits and Systems, ISCAS 2009, May 2009, Taipei, Taiwan. pp.1
Accès au bibtex
BibTex
titre
Quantity of Resource Properties Expression and Runtime Assurance for Embedded Systems
auteur
Laure Gonnord, Jean-Philippe Babau
article
AICCSA - The seventh ACS/IEEE International Conference on Computer Systems and Applications, May 2009, Rabat, Morocco
Accès au texte intégral et bibtex
https://inria.hal.science/inria-00349918/file/aiccsa2009_gonnord_babau_with_copyright.pdf BibTex
titre
Optimizing Memory Access Latencies on a Reconfigurable Multimedia Accelerator: A Case of a Turbo Product Codes Decoder
auteur
Samar Yazdani, Thierry Goubier, Bernard Pottier, Catherine Dezan
article
ARC 2009, the 5th International Workshop on Applied Reconfigurable Computing, Mar 2009, Germany. pp.287-292, ⟨10.1007/978-3-642-00641-8_30⟩
Accès au bibtex
BibTex
titre
A co-design approach for embedded system modeling and code generation with UML and MARTE,
auteur
Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Philippe Soulard, Jean-Philippe Diguet
article
Design, Automation & Test in Europe, 2009, France
Accès au bibtex
BibTex

Book sections

titre
Power and energy consumption estimations in model based design
auteur
Eric Senn, Saadia Dhouib, Dominique Blouin, Johann Laurent, Skander Turki, Jean-Philippe Diguet
article
Languages for Embedded Systems and their Applications, Springer, 2009
Accès au bibtex
BibTex
titre
Power and energy consumption estimations in model based design
auteur
Eric Senn, Jean-Philippe Diguet, Johann Laurent, Saadia Douhib, Skander Turki, Dominique Blouin
article
Martin Radetzki. Languages for Embedded Systems and their Applications, Springer, pp.1, 2009, Lecture Notes in Electrical Engineering series
Accès au bibtex
BibTex

Other publications

titre
On-chip interconnects energy consumption: High-level estimation and architectural optimizations
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2009
Accès au bibtex
BibTex

2008

Journal articles

titre
High-Level Interconnect Delay and Power Estimation
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
Journal of Low Power Electronics, 2008, 4 (1), pp.1-13. ⟨10.1166/jolpe.2008.152⟩
Accès au bibtex
BibTex

Conference papers

titre
Validation of a Mixed-Signal Board ATPG Method
auteur
Valérie-Anne Nicolas, Bertrand Gilles, Laurent Tchamnda Nana
article
6th IEEE EAST-WEST DESIGN & TEST SYMPOSIUM EWDTS'08, Oct 2008, Lviv, Ukraine
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00783154/file/EWDTS08.pdf BibTex
titre
Multi-Level power consumption modelling in the AADL design flow for DSP, GPP, and FPGA
auteur
Eric Senn, Johann Laurent, Jean-Philippe Diguet
article
International Workshop on Model Based Architecting and Construction of Embedded Systems (ACESMB 2008, in conjunction with MODELS 2008), Sep 2008, Toulouse, France. pp.1
Accès au bibtex
BibTex
titre
Refining power consumption estimations in the component based AADL design flow
auteur
Eric Senn, Johann Laurent, Jean-Philippe Diguet
article
ECSI Forum on specification & Design Languages FDL08, Sep 2008, Stuttgart, Germany. pp.1
Accès au bibtex
BibTex
titre
Novel Cross-Transition Elimination Technique Improving Delay and Power Consumption for On-Chip Buses
auteur
Antoine Courtay, Johann Laurent, Olivier Sentieys, Nathalie Julien
article
International Workshop on Power and Timing Modeling, Optimization and Simulation. PATMOS 2008, Sep 2008, Lisbonne, Portugal. pp.359-368
Accès au bibtex
BibTex
titre
Fine Grain Parallel Decoding of Turbo Product Codes: Algorithm and Architecture
auteur
Thierry Goubier, Catherine Dezan, Bernard Pottier, Christophe Jégo
article
5th international symposium on turbo codes and related topics, Sep 2008, Lausanne, Switzerland. pp.90-95
Accès au bibtex
BibTex
titre
Energy models of real time operating systems on FPGA
auteur
Saadia Dhouib, Jean-Philippe Diguet, Eric Senn, Johann Laurent
article
Euromicro 4th Int. Work. on Operating Systems Platforms for Embedded Real-Time Applications (OSPERT), Jul 2008, Prague, Czech Republic
Accès au bibtex
BibTex
titre
Control/Command code generation using Model Engineering applied on an electric train
auteur
Florent de Lamotte, Pascal Berruet, André Rossi, Jean Luc Philippe
article
17th IFAC World Congress 2008, Jun 2008, Seoul, South Korea. pp.8327-8332
Accès au bibtex
BibTex
titre
On the Way to Design Computing Architectures with Emerging Nanoscale Technologies
auteur
Ciprian Teodorov, Catherine Dezan, Loïc Lagadec
article
Colloque annuel GDR-SOC-Sip, Jun 2008, Paris, France
Accès au bibtex
BibTex
titre
About Real Time Scheduling Analysis of Ada Applications
auteur
Frank Singhoff
article
Tutorial presented in the 13th International Conference on Reliable Software Technologies, Ada-Europe, Jun 2008, Italy. pp.x-y
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00504346/file/rts.pdf BibTex
titre
Can we increase the usability of real time scheduling theory ? The Cheddar project.
auteur
Frank Singhoff, Alain Plantec, Pierre Dissaux
article
Invited paper in the 13th International Conference on Reliable Software technologies, Ada-Europe, LNCS/Lecture notes on computer science, Springer-Verlag editor, Jun 2008, France
Accès au bibtex
BibTex
titre
New Directions in Interconnect Performance Optimization
auteur
Antoine Courtay, Johann Laurent, Nathalie Julien, Olivier Sentieys
article
3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008. DTIS 2008., Mar 2008, Tozeur, Tunisia. pp.6, ⟨10.1109/DTIS.2008.4540228⟩
Accès au bibtex
BibTex
titre
Stood and Cheddar: AADL as a Pivot Language for Analysing Performances of Real Time Architectures
auteur
Pierre Dissaux, Frank Singhoff
article
4th European Congress ERTS 2008, Jan 2008, Toulouse, France, France
Accès au texte intégral et bibtex
https://hal.science/hal-02269760/file/ERTS2008_0008_paper.pdf BibTex
titre
Chaîne de programmation pour architecture hétérogène reconfigurable
auteur
Loïc Lagadec, Jalil Boukhobza, Alain Plantec
article
SYMPosium en Architectures nouvelles de machines, 2008, Fribourgh, Suisse
Accès au bibtex
BibTex

Other publications

titre
Rapport et bilan pour le projet VALMADEO pour l'étape 3
auteur
Catherine Dezan, Thierry Goubier, Ciprian Teodorov, Samar Yazdani, Loïc Lagadec, Erwan Fabiani, Loïc Le Dréau, Loïc Gueguen, Christophe Jego, Bernard Pottier
article
2008
Accès au bibtex
BibTex
titre
Interconnect Explorer: a High-Level Estimation Tool for On-Chip Interconnects
auteur
Antoine Courtay, Olivier Sentieys, Johann Laurent, Nathalie Julien
article
2008
Accès au bibtex
BibTex
titre
Validation d'une méthode de génération de données de test pour des cartes hybrides
auteur
Bertrand Gilles, Valérie-Anne Nicolas, Laurent Tchamnda Nana
article
2008
Accès au bibtex
BibTex

Patents

titre
Spatial Switching
auteur
Johann Laurent, Antoine Courtay, Olivier Sentieys, Nathalie Julien
article
France, N° de brevet: 0851672. 2008, pp.19
Accès au bibtex
BibTex

Reports

titre
Validation of a Mixed-Signal Board ATPG Method: The TCB case study
auteur
Valérie-Anne Nicolas, Bertrand Gilles, Laurent Tchamnda Nana
article
2008
Accès au texte intégral et bibtex
https://hal.univ-brest.fr/hal-00607290/file/techreport_juin08.pdf BibTex