Publications HAL

2024

Conference papers

titre
On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
auteur
Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapotre, Pascal Cotret, Gogniat Guy, Tim Guneysu
article
19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore
Accès au bibtex
BibTex
titre
RISC-V Embedded AI for IDS Applications
auteur
Pierre Garreau, Pascal Cotret, Julien Francq, Jean-Christophe Cexus, Loïc Lagadec
article
RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France
Accès au texte intégral et bibtex
https://hal.science/hal-04498047/file/bare_conf.pdf BibTex
titre
Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
Cyber On Board, Mar 2024, ile des Embiez, France
Accès au bibtex
BibTex
titre
Cache locking against cache-based side-channel attacks
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
Accès au bibtex
BibTex

2023

Conference papers

titre
Gigue: A JIT Code Binary Generator for Hardware Testing
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec
article
VMIL, Oct 2023, Cascais, Portugal. ⟨10.1145/3623507.3623553⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04469651/file/Gigue%20a%20JIT%20Code%20Binary%20Generator%20for%20Hardware%20Testing.pdf BibTex
titre
Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
auteur
Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
article
2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
Accès au texte intégral et bibtex
https://hal.science/hal-04155139/file/silm2023-cache-protection.pdf BibTex
titre
JIT Compiler Security through Low-Cost RISC-V Extension
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec
article
30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States
Accès au texte intégral et bibtex
https://hal.science/hal-04031296/file/main.pdf BibTex

2022

Conference papers

titre
Porting a JIT Compiler to RISC-V: Challenges and Opportunities
auteur
Quentin Ducasse, Guillermo Polito, Pablo Tesone, Pascal Cotret, Loïc Lagadec
article
Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium
Accès au texte intégral et bibtex
https://hal.science/hal-03725841/file/default.pdf BibTex

Reports

titre
Remarkable Challenges of High-Performance Language Virtual Machines
auteur
Guillermo Polito, Stéphane Ducasse, Pablo Tesone, Luc Fabresse, G Thomas, M Bacou, Loïc Lagadec, Pascal Cotret
article
[Research Report] Inria Lille - Nord Europe. 2022
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03770065/file/main.pdf BibTex

2021

Conference papers

titre
Benchmarking Quantized Neural Networks on FPGAs with FINN
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec, Rob Stewart
article
DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-03085342/file/article_IEEE.pdf BibTex

Poster communications

titre
Securing a High-Level Language Virtual Machine Through its ISA: Pharo as a Case Study
auteur
Quentin Ducasse, Pascal Cotret, Loïc Lagadec
article
GDR SOC², Jun 2021, Rennes, France
Accès au texte intégral et bibtex
https://hal.science/hal-04542157/file/poster.pdf BibTex

2019

Journal articles

titre
Dis, c'est quoi là haut dans le ciel ? C'est un Linux, mon petit
auteur
Valérie Viet Triem Tong, Benoît Fournier, Guillaume Fournier, Léopold Ouairy, Pascal Cotret
article
MISC - Le journal de la sécurité informatique, 2019
Accès au bibtex
BibTex

2018

Conference papers

titre
A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
article
AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911621/file/asianhost-preprint.pdf BibTex
titre
A small and adaptive coprocessor for information flow tracking in ARM SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
article
ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911619/file/main.pdf BibTex

2017

Conference papers

titre
ARMHEx: A hardware extension for DIFT on ARM-based SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01558473/file/bare_conf.pdf BibTex
titre
DroneJack: Kiss your drones goodbye!
auteur
Guillaume Fournier, Paul Audren de Kerdrel, Pascal Cotret, Valérie Viet Triem Tong
article
SSTIC 2017 - Symposium sur la sécurité des technologies de l'information et des communications, Jun 2017, Rennes, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01635125/file/SSTIC2017-Article-dronejack-fournier_cotret_audren-de-kerdrel_viet-triem-tong.pdf BibTex
titre
ARMHEx: embedded security through hardware-enhanced information flow tracking
auteur
Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
article
RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
Accès au texte intégral et bibtex
https://hal.science/hal-01558155/file/bare_conf.pdf BibTex

Poster communications

titre
ARMHEx: a framework for efficient DIFT in real-world SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01558475/file/bare_conf.pdf BibTex

2016

Journal articles

titre
Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
auteur
Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
article
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
Accès au bibtex
BibTex

Conference papers

titre
Hit the KeyJack: stealing data from your daily wireless devices incognito
auteur
Guillaume Fournier, Pierre Matoussowsky, Pascal Cotret
article
Journées C&ESAR, Nov 2016, Rennes, France
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01383008/file/cesar16_fournier-et-al.pdf BibTex
titre
Multi-standard ofdm transceiver for heterogeneous system-on-chips
auteur
Pascal Cotret, Christophe Moy, Vipin Kizheppatt
article
WinnComm Europe, Oct 2016, Gennevilliers, France
Accès au bibtex
BibTex
titre
HardBlare: Hardware Design for Tag-Based Security Monitors
auteur
Pascal Cotret
article
Cybersecurity France/Japan, Sep 2016, Rennes, France
Accès au bibtex
BibTex
titre
Towards a hardware-assisted information flow tracking ecosystem for ARM processors
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337579/file/fpl2016.pdf BibTex
titre
A hardware coprocessor for Zynq-based Dynamic Information Flow Tracking
auteur
Muhammad Abdul Wahab, Christophe Moy, Pascal Cotret
article
14th International Workshop on Cryptographic architectures embedded in logic devices (CryptArchi), Jun 2016, La Grande Motte, France
Accès au bibtex
BibTex
titre
A portable approach for SoC-based Dynamic Information Flow Tracking implementations
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311045/file/2016_socsip_wahab.pdf BibTex

Poster communications

titre
HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
auteur
Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
article
Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311032/file/2016_sif_nasrallah.pdf BibTex
titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat
article
HiPEAC, Jan 2016, Prague, Czech Republic. 2016
Accès au bibtex
BibTex

2015

Conference papers

titre
Reconnaissance faciale basée sur les ondelettes robuste et optimisée pour les systèmes embarqués
auteur
Pascal Cotret, Stéphane Chevobbe, Mehdi Darouich
article
GRETSI, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01171943/file/mainfile.pdf BibTex

Poster communications

titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
article
CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01252597/file/ches_abstract.pdf BibTex

2014

Journal articles

titre
Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
auteur
Pascal Cotret, Guy Gogniat
article
Techniques de l'Ingénieur, 2014, Référence IN175 - 10 p
Accès au bibtex
BibTex

2012

Conference papers

titre
Lightweight reconfiguration security services for AXI-based MPSoCs
auteur
Pascal Cotret, Guy Gogniat, Jean-Philippe Diguet, Jérémie Crenne
article
FPL 2012 (22nd International Conference on Field Programmable Logic and Applications), Aug 2012, Oslo, Norway. pp.655-658, ⟨10.1109/FPL.2012.6339233⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00750332/file/fpl2012_cotret.pdf BibTex
titre
Security enhancements for FPGA-based MPSoCs: a boot-to-runtime protection flow for an embedded Linux-based system
auteur
Pascal Cotret, Florian Devic, Guy Gogniat, Benoit Badrignans, Lionel Torres
article
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, York, United Kingdom. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-00750339/file/recosoc2012_cotret.pdf BibTex
titre
Bus-based MPSoC security through communication protection: A latency-efficient alternative
auteur
Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet
article
FCCM 2012 (20th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines), Apr 2012, Toronto, Canada. pp.200-207
Accès au texte intégral et bibtex
https://hal.science/hal-00750343/file/fccm2012_cotret.pdf BibTex

Theses

titre
Protection des architectures hétérogènes multiprocesseurs dans les systèmes embarqués : Une approche décentralisée basée sur des pare-feux matériels
auteur
Pascal Cotret
article
Electronique. Université de Bretagne Sud, 2012. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-00789541/file/manuscrit_cotret_fixed.pdf BibTex

2010

Conference papers

titre
HCrypt: a novel concept of crypto-processor with secured key management
auteur
Lubos Gaspar, Viktor Fischer, Florent Bernard, Lilian Bossuet, Pascal Cotret
article
ReConFig 2010 (2010 International Conference on ReConFigurable Computing and FPGAs), Dec 2010, Cancùn, Mexico. pp.280-285, ⟨10.1109/FPT.2011.6132722⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00750348/file/reconfig2010_gaspar.pdf BibTex