2024
Journal articles
- titre
- Efficient Adaptive Multi-Level Privilege Partitioning With RTrustSoC
- auteur
- Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier, Théotime Bollengier
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2024, pp.1-13. ⟨10.1109/TCSI.2024.3413364⟩
- Accès au texte intégral et bibtex
-
- titre
- Environmental Constraints for Intelligent Internet of Deep-Sea/Underwater Things Relying on Enterprise Architecture Approach
- auteur
- Charbel Geryes Aoun, Noura Mansour, Fadi Dornaika, Loïc Lagadec
- article
- Sensors, 2024, 24 (8), pp.2433. ⟨10.3390/s24082433⟩
- Accès au bibtex
-
- titre
- Scintillation in Liquid Xenon for Gamma-Ray Medical Imaging: From Single Time-over-Threshold to Multi-Time-over-Threshold PMT Signal Measurements
- auteur
- Quentin Lainé, Nicolas Beaupere, Dingbang Cai, Eric Morteau, Fabrice Seguin, Dominique Thers, Cyril Lahuec
- article
- Sensors, 2024, 24 (17), pp.5826. ⟨10.3390/s24175826⟩
- Accès au texte intégral et bibtex
-
- titre
- CREPE: Concurrent Reverse-Modulo-Scheduling and Placement for CGRAs
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- IEEE Transactions on Parallel and Distributed Systems, 2024, 35 (7), pp.1293 - 1306. ⟨10.1109/tpds.2024.3402098⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Communication Architecture Under Siege: An In-depth Analysis of Fault Attack Vulnerabilities and Countermeasures
- auteur
- Hongwei Zhao, Vianney Lapotre, Gogniat Guy
- article
- IEEE CSR Workshop on Hardware Cybersecurity Systems (HACS), Sep 2024, London, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Exploring Fault Injection Attacks on CVA6 PMP Configuration Flow
- auteur
- Kévin Quénéhervé, William Pensec, Tanguy Philippe, Rachid Dafali, Vianney Lapotre
- article
- 27th Euromicro Conference Series on Digital System Design (DSD), Sorbonne University, Aug 2024, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Scripting the Unpredictable: Automate Fault Injection in RTL Simulation for Vulnerability Assessment
- auteur
- William Pensec, Vianney Lapotre, Gogniat Guy
- article
- 27th Euromicro Conference Series on Digital System Design (DSD), Sorbonne University, Aug 2024, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- Exécution autonome de boucles sur CGRAs pour l'accélération des applications de traitement du signal
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Compas, Jul 2024, Nantes, France
- Accès au bibtex
-
- titre
- Defending the Citadel: Fault Injection Attacks against Dynamic Information Flow Tracking and Related Countermeasures
- auteur
- William Pensec, Francesco Regazzoni, Vianney Lapotre, Gogniat Guy
- article
- 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Jul 2024, Knoxville, United States
- Accès au texte intégral et bibtex
-
- titre
- On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
- auteur
- Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapôtre, Pascal Cotret, Guy Gogniat, Tim Güneysu
- article
- 19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore. pp.483-497, ⟨10.1145/3634737.3637677⟩
- Accès au bibtex
-
- titre
- A Fine-Grained Dynamic Partitioning Against Cache-Based Timing Attacks via Cache Locking
- auteur
- Nicolas Gaudin, Pascal Cotret, Gogniat Guy, Vianney Lapotre
- article
- 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2024), Jul 2024, Knoxville, TN, United States
- Accès au texte intégral et bibtex
-
- titre
- Error Correction Codes, from Communication to Cryptography... Two sides of one chip
- auteur
- Cyrille Chavet, Bertrand Le Gal, Philippe Coussy, Mael Tourres, Syed Fahimuddin Alavi
- article
- Conférence annuelle GdR Sécurité Informatique, GdR SI, Jun 2024, Rennes - IRISA, France
- Accès au bibtex
-
- titre
- RISC-V Embedded AI for IDS Applications
- auteur
- Pierre Garreau, Pascal Cotret, Julien Francq, Jean-Christophe Cexus, Loïc Lagadec
- article
- RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France
- Accès au texte intégral et bibtex
-
- titre
- Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
- auteur
- Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
- article
- Cyber On Board, Mar 2024, ile des Embiez, France
- Accès au bibtex
-
- titre
- Cache locking against cache-based side-channel attacks
- auteur
- Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
- article
- École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
- Accès au bibtex
-
- titre
- Scratchy : A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming Applications
- auteur
- Joseph W Faye, Naouel Haggui, Florent Kermarrec, Kevin J M Martin, Shuvra Bhattacharyya, Jean-François Nezan, Maxime Pelcat
- article
- DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich (Allemagne), Germany
- Accès au texte intégral et bibtex
-
- titre
- Standalone Nested Loop Acceleration on CGRAs for Signal Processing Applications
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- DASIP 2024: Workshop on Design and Architectures for Signal and Image Processing, Jan 2024, Munich, Germany
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Exploring Fault Injection Attacks on CVA6 PMP Configuration Flow
- auteur
- Kévin Quénéhervé, William Pensec, Tanguy Philippe, Rachid Dafali, Vianney Lapotre
- article
- Journée thématique sur les attaques par injection de fautes (JAIF), Oct 2024, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Efficient Application Execution Framework for CGRAs
- auteur
- Christie Sajitha Sajan, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Colloque du GDR SOC2, Jun 2024, Toulouse (FRANCE), France
- Accès au texte intégral et bibtex
-
- titre
- Characterizing Clock Glitching Attacks on CVA6 PMP Configuration Flow
- auteur
- Kévin Quénéhervé, William Pensec, Tanguy Philippe, Vianney Lapotre
- article
- CYBERUS Spring School 2024, Apr 2024, Lorient, France
- Accès au texte intégral et bibtex
-
2023
Journal articles
- titre
- Stretchable piezoresistive textile yarn strain transducer for low deformation detection
- auteur
- Hankai Wu, Fabrice Seguin, Vladan Koncar, Cédric Cochrane, Laurent Dupont, Cyril Lahuec, Alexandre Khaldi
- article
- Sensors and Actuators A: Physical , 2023, 363, ⟨10.1016/j.sna.2023.114755⟩
- Accès au texte intégral et bibtex
-
- titre
- Detection of AIS messages falsifications and spoofing by checking messages compliance with TDMA protocol
- auteur
- Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
- article
- Digital Signal Processing, 2023, 136, pp.103983. ⟨10.1016/j.dsp.2023.103983⟩
- Accès au bibtex
-
- titre
- A Dominating Tree Based Leader Election Algorithm for Smart Cities IoT Infrastructure
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Madani Bezoui, Mohamed Essaid Khanouche, Reinhardt Euler, Mohammad Hammoudeh, Loïc Lagadec, Sohail Jabbar, Fadi Al-Turjman
- article
- Mobile Networks and Applications, 2023, 28, pp.718-731. ⟨10.1007/s11036-020-01599-z⟩
- Accès au bibtex
-
Conference papers
- titre
- TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design
- auteur
- Raphaële Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino, Brice Colombier
- article
- 2023 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), Dec 2023, Tianjin, China. pp.1-6, ⟨10.1109/AsianHOST59942.2023.10409311⟩
- Accès au texte intégral et bibtex
-
- titre
- Protecting a RISC-V embedded processor against physical and software attacks
- auteur
- Vianney Lapotre, William Pensec, Gogniat Guy
- article
- BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France
- Accès au bibtex
-
- titre
- Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses
- auteur
- William Pensec, Vianney Lapôtre, Guy Gogniat
- article
- SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩
- Accès au bibtex
-
- titre
- Gigue: A JIT Code Binary Generator for Hardware Testing
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- VMIL, Oct 2023, Cascais, Portugal. ⟨10.1145/3623507.3623553⟩
- Accès au texte intégral et bibtex
-
- titre
- Temporal Breakpoints for Multiverse Debugging
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
- article
- Software Language Engineering 2023, Oct 2023, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Debugging Paxos in the UML Multiverse
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
- article
- MODELS-C/MoDeVVa, Oct 2023, Västerås, Sweden
- Accès au bibtex
-
- titre
- Secured-by-design systems-on-chip: a MBSE Approach
- auteur
- Raphaële Milan, Loïc Lagadec, Théotime Bollengier, Lilian Bossuet, Ciprian Teodorov
- article
- Rapid System Prototyping, Sep 2023, Hambourg, Germany. ⟨10.1145/3625223.3649277⟩
- Accès au texte intégral et bibtex
-
- titre
- Using Hierarchical Approach to Speed-up RNS Base Extensions in Homomorphic Encryption Context
- auteur
- Morgane Vollmer, Karim Bigou, Arnaud Tisserand
- article
- ARITH: 2023 IEEE 30th International Symposium on Computer Arithmetic, Sep 2023, Portland, Oregon, United States
- Accès au texte intégral et bibtex
-
- titre
- A RISC-V Instruction Set Extension for Flexible Hardware/Software Protection of Cryptosystems Masked at High Orders
- auteur
- Fabrice Lozachmeur, Arnaud Tisserand
- article
- 66th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2023) "Reinventing Microelectronics", Aug 2023, Phoenix, AZ, United States
- Accès au texte intégral et bibtex
-
- titre
- TrustSoC : Architecture SoC hétérogène légère et efficace sécurisée par conception
- auteur
- Raphaele Milan, Lilian Bossuet, Loïc Lagadec, Carlos Andres Lara-Nino
- article
- Conférence francophone d’informatique en Parallélisme, Architecture et Système (COMPAS), LISTIC - Laboratoire d’Informatique, Systèmes, Traitement de l’Information et de la Connaissance, Jul 2023, Annecy, France
- Accès au texte intégral et bibtex
-
- titre
- Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
- auteur
- Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
- article
- 2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
- Accès au texte intégral et bibtex
-
- titre
- Acceleration of contractor algebra on RISCV in the context of mobile robotic
- auteur
- Pierre Filiol, Luc Jaulin, Jean-Christophe Le Lann, Théotime Bollengier
- article
- Summer Workshop on Interval Methods, Jun 2023, Angers, France
- Accès au bibtex
-
- titre
- Arithmetic for Crypto in FPGA: HDL or HLS?
- auteur
- Arnaud Tisserand
- article
- WRACH : Workshop on Randomness and Arithmetics for Cryptographic Hardware, Jun 2023, Roscoff, France
- Accès au bibtex
-
- titre
- When in-core DIFT faces fault injection attacks
- auteur
- Vianney Lapotre, William Pensec, Gogniat Guy
- article
- CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain
- Accès au bibtex
-
- titre
- Débogage Multivers de Modèles UML
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Loïc Lagadec
- article
- AFADL, Jun 2023, Rennes, France
- Accès au bibtex
-
- titre
- JIT Compiler Security through Low-Cost RISC-V Extension
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- 30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States
- Accès au texte intégral et bibtex
-
- titre
- A Lightweight Intrusion Detection System against IoT Memory Corruption Attacks
- auteur
- Mohamed El Bouazzati, Russell Tessier, Philippe Tanguy, Guy Gogniat
- article
- 26th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), May 2023, Tallinn, Estonia. pp.118-123, ⟨10.1109/DDECS57882.2023.10139718⟩
- Accès au texte intégral et bibtex
-
Habilitation à diriger des recherches
- titre
- Contributions to the security of embedded systems against logical and physical attacks
- auteur
- Vianney Lapotre
- article
- Architectures Matérielles [cs.AR]. Université Bretagne Sud, 2023
- Accès au texte intégral et bibtex
-
- titre
- Mapping parallel applications on parallel architectures
- auteur
- Kevin J M Martin
- article
- Hardware Architecture [cs.AR]. Université Bretagne Sud, 2023
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Unveiling the Invisible Threads: Dynamic Information Flow Tracking and the Intriguing World of Fault Injection Attacks
- auteur
- William Pensec, Vianney Lapôtre, Guy Gogniat
- article
- Journée thématique sur les Attaques par Injection de Fautes (JAIF), Sep 2023, Gardanne, France.
- Accès au texte intégral et bibtex
-
- titre
- When in-core DIFT faces fault injection attacks
- auteur
- William Pensec, Vianney Lapotre, Guy Gogniat
- article
- RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023
- Accès au texte intégral et bibtex
-
- titre
- Toward a CAD Tool for SYCL programming
- auteur
- Erwan Fabiani, Loic Lagadec, Alexandre Skrzyniarz, Chiara Relevat, Erell Cottour, Paul Allaire
- article
- IWOCL '23: International Workshop on OpenCL, Apr 2023, Cambridge, United Kingdom. ACM, pp.1-2, ⟨10.1145/3585341.3585358⟩
- Accès au bibtex
-
2022
Journal articles
- titre
- Run-time remapping algorithm of dataflow actors on NoC-based heterogeneous MPSoCs
- auteur
- Mostafa Rizk, Kevin J. M. Martin, Jean-Philippe Diguet
- article
- IEEE Transactions on Parallel and Distributed Systems, 2022, 33 (12), ⟨10.1109/TPDS.2022.3177957⟩
- Accès au texte intégral et bibtex
-
- titre
- An efficient and flexible stochastic CGRA mapping approach
- auteur
- Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2022, 22 (1), Article No.: 8, pp 1-24. ⟨10.1145/3550071⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of a Multimodal Oculometric Sensor Contact Lens
- auteur
- Jean-Louis de Bougrenet de la Tocnaye, Vincent Nourrit, Cyril Lahuec
- article
- Sensors, 2022, 22 (18), pp.6731. ⟨10.3390/s22186731⟩
- Accès au texte intégral et bibtex
-
- titre
- The Impact of Cache and Dynamic Memory Management in Static Dataflow Applications
- auteur
- Alemeh Ghasemi, Marcelo Ruaro, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
- article
- Journal of Signal Processing Systems, 2022, 94 (7), pp.721-738. ⟨10.1007/s11265-021-01730-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Finding the polygon hull of a network without conditions on the starting vertex
- auteur
- Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Reinhardt Euler
- article
- Transactions on emerging telecommunications technologies, 2022, 33 (3), pp.e3696. ⟨10.1002/ett.3696⟩
- Accès au texte intégral et bibtex
-
- titre
- The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection
- auteur
- Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy
- article
- Annals of Telecommunications - annales des télécommunications, 2022, 77, pp.731-747. ⟨10.1007/s12243-021-00906-3⟩
- Accès au texte intégral et bibtex
-
- titre
- Multipurpose Bio-Monitored Integrated Circuit in a Contact Lens Eye-Tracker
- auteur
- Loïc Massin, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, Jean-Louis de Bougrenet de La Tocnaye
- article
- Sensors, 2022, 22 (2), pp.595. ⟨10.3390/s22020595⟩
- Accès au bibtex
-
- titre
- Energy Efficient Hardware Loop Based Optimization for CGRAs
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin J M Martin, Philippe Coussy
- article
- Journal of Signal Processing Systems, In press, ⟨10.1007/s11265-022-01760-9⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- Token-based Authentication and Access Delegation for HW-Accelerated telco Cloud Solution
- auteur
- Semih Ince, David Espes, Gogniat Guy, Renaud Santoro, Julien Lallet
- article
- IEEE 11th International Conference on Cloud Networking (CloudNet), Nov 2022, Paris, France
- Accès au bibtex
-
- titre
- Sensitive stretchable textile transducer based on Lycra1880/PEGDA/PEDOT:PSS
- auteur
- Hankai Wu, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Alexandre Khaldi
- article
- 2022 IEEE Sensors, Oct 2022, Dallas (Texas), United States. pp.1-4, ⟨10.1109/SENSORS52175.2022.9967155⟩
- Accès au bibtex
-
- titre
- Practical multiverse debugging through user-defined reductions
- auteur
- Matthias Pasquier, Ciprian Teodorov, Frédéric Jouault, Matthias Brun, Luka Le Roux, Loïc Lagadec
- article
- MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal Quebec Canada, Canada. pp.87-97, ⟨10.1145/3550355.3552447⟩
- Accès au bibtex
-
- titre
- Porting a JIT Compiler to RISC-V: Challenges and Opportunities
- auteur
- Quentin Ducasse, Guillermo Polito, Pablo Tesone, Pascal Cotret, Loïc Lagadec
- article
- Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium
- Accès au texte intégral et bibtex
-
- titre
- Stratégie de détection des Falsifications des Positions des Messages AIS Basée sur l'Application du Filtre IMM
- auteur
- Maelic Louart, Jean-Jacques Szkolnik, Abdel-Ouahab Boudraa, Jean-Christophe Le Lann, Frédéric Le Roy
- article
- Gretsi'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Émulation de Systèmes Cyber-Physiques sur FPGA
- auteur
- Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
- article
- GRETSI'22 XXVIIIème Colloque Francophone de Traitement du Signal et des Images, Sep 2022, Nancy, France. pp.481-484
- Accès au texte intégral et bibtex
-
- titre
- Data Extraction and Deep Learning Method for Predictive Maintenance in Vessel’s Engine Room
- auteur
- Cédric Seguin, Yohann Rioual, Jean-Philippe Diguet, Guy Gogniat
- article
- 32nd European Safety and Reliability Conference (ESREL 2022)., Aug 2022, Dublin, Ireland. pp.1983-1990
- Accès au texte intégral et bibtex
-
- titre
- A new interval arithmetic to generate the complementary of contractors
- auteur
- Pierre Filiol, Théotime Bollengier, Luc Jaulin, Jean-Christophe Le Lann
- article
- Summer Workshop on Interval Methods, Jul 2022, Hannover, Germany
- Accès au texte intégral et bibtex
-
- titre
- HLS-based Accelerated Simulation of Large Scale Cyber-Physical Systems on FPGAs
- auteur
- Maelic Louart, Jean-Christophe Le Lann, Frédéric Le Roy, Abdel Boudraa, Jean-Jacques Szkolnik
- article
- IEEE International NEWCAS Conference, Jul 2022, Montreal, Canada. ⟨10.1109/NEWCAS52662.2022.9842250⟩
- Accès au texte intégral et bibtex
-
- titre
- High-Level Synthesis for Hardware Implementation of Cryptography: Experience Feedback
- auteur
- Arnaud Tisserand
- article
- Journées nationales du GDR Sécurité Informatique, Jun 2022, Paris, France
- Accès au bibtex
-
- titre
- ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy Profiling
- auteur
- Marcelo Ruaro, Kevin J. M. Martin
- article
- DroneSE and RAPIDO '22: System Engineering for constrained embedded systems, Jun 2022, Budapest, Hungary. pp.39-46, ⟨10.1145/3522784.3522791⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-low Power Computing with CGRAs an architecture, compilation, and application triptych
- auteur
- Kevin J M Martin, Philippe Coussy
- article
- Workshop on Reconfigurable Computing (WRC), Jun 2022, Budapest, France
- Accès au bibtex
-
- titre
- Twenty Years of Automated Methods for Mapping Applications on CGRA
- auteur
- Kevin J M Martin
- article
- 2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), May 2022, Lyon, France. ⟨10.1109/IPDPSW55747.2022.00118⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- Workshop CryptArchi 2022, May 2022, Porquerolles, France
- Accès au bibtex
-
- titre
- A 0.35-μm subthreshold CMOS ASIC for a Smart Contact Lens Eye-Tracker
- auteur
- Loïc Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Camilla Kärnfelt, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
- article
- IEEE International Symposium on Circuits and Systems (ISCAS 2022), May 2022, Austin, TX, United States. pp.1140-1144, ⟨10.1109/ISCAS48785.2022.9937413⟩
- Accès au texte intégral et bibtex
-
- titre
- An Extended Modeling Approach for Marine/Deep-Sea Observatory
- auteur
- Charbel Geryes Aoun, Loïc Lagadec, Mohammad Habes
- article
- The 8th International Conference on Advanced Machine Learning and Technologies and Applications (AMLTA2022), May 2022, The Caire, Egypt. pp.502-514, ⟨10.1007/978-3-031-03918-8_42⟩
- Accès au bibtex
-
- titre
- Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks
- auteur
- Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand
- article
- DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic
- Accès au texte intégral et bibtex
-
- titre
- Dolmen: FPGA Swarm for Safety and Liveness Verification
- auteur
- Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2022, Antwerp, Belgium. pp.1425-1430, ⟨10.23919/DATE54114.2022.9774528⟩
- Accès au bibtex
-
- titre
- Mitigating Transceiver and Token Controller Permanent Faults in Wireless Network-on-Chip
- auteur
- Navonil Chatterjee, Marcelo Ruaro, Kevin Martin, Jean-Philippe Diguet
- article
- Euromicro International Conference on Parallel, Distributed and Network-based Processing, Mar 2022, Valladolid, Spain
- Accès au texte intégral et bibtex
-
Book sections
- titre
- Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud
- auteur
- Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy
- article
- Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩
- Accès au bibtex
-
Lectures
- titre
- On-chip memories at the edge
- auteur
- Kevin J. M. Martin
- article
- Doctoral. France. 2022
- Accès au texte intégral et bibtex
-
Other publications
- titre
- High-performance language virtual machines: an analysis and challenges
- auteur
- Stéphane Ducasse, Guillermo Polito, Pablo Tesone, Gaël Thomas, Loïc Lagadec
- article
- 2022
- Accès au texte intégral et bibtex
-
Patents
- titre
- Procédé de configuration d'un circuit logique programmable, circuit logique programmable et dispositif pour implémenter le procédé
- auteur
- Loïc Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Théotime Bollengier
- article
- France, N° de brevet: FR3115134. 2022
- Accès au bibtex
-
Poster communications
- titre
- Protection of a processor with DIFT against physical attacks
- auteur
- William Pensec, Vianney Lapotre, Guy Gogniat
- article
- International Winter School on Microarchitectural Security, Dec 2022, Paris, France. 2022
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- RISC-V Spring Week 2022, May 2022, Paris, France
- Accès au texte intégral et bibtex
-
Proceedings
- titre
- IWST 2022: International Workshop on Smalltalk Technologies 2022
- auteur
- Loïc Lagadec, Vincent Aranega
- article
- 3325, 2022
- Accès au bibtex
-
Reports
- titre
- Remarkable Challenges of High-Performance Language Virtual Machines
- auteur
- Guillermo Polito, Stéphane Ducasse, Pablo Tesone, Luc Fabresse, G Thomas, M Bacou, Loïc Lagadec, Pascal Cotret
- article
- [Research Report] Inria Lille - Nord Europe. 2022
- Accès au texte intégral et bibtex
-
2021
Journal articles
- titre
- Lattice-based Cryptosystems on FPGA: Parallelization and Comparison using HLS
- auteur
- Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- IEEE Transactions on Computers, 2021, ⟨10.1109/TC.2021.3112052⟩
- Accès au texte intégral et bibtex
-
- titre
- Attacks toward Wireless Network-on-Chip and Countermeasures
- auteur
- Arnab Kumar Biswas, Navonil Chatterjee, Hemanta Kumar Mondal, Guy Gogniat, Jean-Philippe Diguet
- article
- IEEE Transactions on Emerging Topics in Computing, 2021, 9 (2), ⟨10.1109/TETC.2020.2973427⟩
- Accès au texte intégral et bibtex
-
- titre
- Floating Point CGRA based Ultra-Low Power DSP Accelerator
- auteur
- Rohit Prasad, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Journal of Signal Processing Systems, 2021, ⟨10.1007/s11265-020-01630-2⟩
- Accès au bibtex
-
- titre
- Smart Contact Lens Applied to Gaze Tracking
- auteur
- Loic Massin, Fabrice Seguin, Vincent Nourrit, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec
- article
- IEEE Sensors Journal, 2021, 21 (1), pp.455-463. ⟨10.1109/JSEN.2020.3012710⟩
- Accès au bibtex
-
- titre
- Subutai: Speeding Up Legacy Parallel Applications Through Data Synchronization
- auteur
- Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Jarbas Silveira, Gustavo Sanchez, Johanna Sepulveda, Cesar Marcon, Jean-Phillipe Diguet
- article
- IEEE Transactions on Parallel and Distributed Systems, 2021, 32 (5), pp.1102-1116. ⟨10.1109/TPDS.2020.3040066⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- OAuth 2.0-based authentication solution for FPGA-enabled cloud computing
- auteur
- Semih Ince, David Espes, Guy Gogniat, Julien Lallet, Renaud Santoro
- article
- 14th IEEE/ACM International Conference on Utility and Cloud Computing Companion, Dec 2021, Leicester, United Kingdom. ⟨10.1145/3492323.3495635⟩
- Accès au texte intégral et bibtex
-
- titre
- Experience Feedback on HLS Implementation of LWE PQC on FPGA
- auteur
- Arnaud Tisserand
- article
- Sécurité et intégrité des systèmes (GDR Sécu & SoC2), Nov 2021, Rennes, France
- Accès au bibtex
-
- titre
- Prototyping FPGA through overlays
- auteur
- Theotime Bollengier, Loïc Lagadec, Ciprian Teodorov
- article
- 2021 IEEE International Workshop on Rapid System Prototyping (RSP), Oct 2021, Paris, France. pp.15-21, ⟨10.1109/RSP53691.2021.9806222⟩
- Accès au bibtex
-
- titre
- Carnac: Algorithm Variability for Fast Swarm Verification on FPGA
- auteur
- Emilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Aug 2021, Dresden, Germany. pp.185-189, ⟨10.1109/FPL53798.2021.00038⟩
- Accès au bibtex
-
- titre
- Efficient Leader Election in Wireless Sensor and IoT Networks
- auteur
- Reinhardt Euler, Ahcène Bounceur, Madani Bezoui, Mohammad Hammoudeh, Loïc Lagadec, Abdelkader Laouid
- article
- 31st European Conference on Operational Research (EURO 2021), Jul 2021, Athens, Greece
- Accès au bibtex
-
- titre
- Architecture matérielle programmable optimisée pour les systèmes de communications numériques
- auteur
- Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
- article
- Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2021, Lyon, France
- Accès au bibtex
-
- titre
- Hardware Based Loop Optimization for CGRA Architectures
- auteur
- Chilankamol Sunny, Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Applied Reconfigurable Computing. Architectures, Tools, and Applications, Jun 2021, Rennes, France. pp.65-80, ⟨10.1007/978-3-030-79025-7_5⟩
- Accès au texte intégral et bibtex
-
- titre
- Benchmarking Quantized Neural Networks on FPGAs with FINN
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec, Rob Stewart
- article
- DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Opportunistic IP Birthmarking using Side Effects of Code Transformations on High-Level Synthesis
- auteur
- Hannah Badier, Christian Pilato, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
- article
- DATE'21 Design Automation and Test in Europe, Feb 2021, Grenoble (virtuel), France
- Accès au texte intégral et bibtex
-
- titre
- On Cache Limits for Dataflow Applications and Related Efficient Memory Management Strategies
- auteur
- Alemeh Ghasemi, Rodrigo Cataldo, Jean-Philippe Diguet, Kevin Martin
- article
- DASIP 2021: Workshop on Design and Architectures for Signal and Image Processing, Jan 2021, Budapest -Online, Hungary. ⟨10.1145/3441110.3441573⟩
- Accès au texte intégral et bibtex
-
Patents
- titre
- Device for sampling gases exhaled by a patient
- auteur
- Jean-Luc Wojkiewicz, Nathalie Redon, Cyril Lahuec, Paul Le Maout
- article
- France, N° de brevet: WO2021250261. DI-TBN-20-002. 2021
- Accès au bibtex
-
Poster communications
- titre
- Software-Defined Networking for Many-cores
- auteur
- Marcelo Ruaro, Kevin Martin, Fernando G Moraes
- article
- Colloque du GdR SOC2, Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Securing a High-Level Language Virtual Machine Through its ISA: Pharo as a Case Study
- auteur
- Quentin Ducasse, Pascal Cotret, Loïc Lagadec
- article
- GDR SOC², Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Towards Low-Power and Low Data-Rate Software-Defined Radio Baseband with RISC-V Processor for Flexibility and Security
- auteur
- Mohamed El-Bouazzati, Philippe Tanguy, Guy Gogniat
- article
- 15ème Colloque National du GDR SOC2, Jun 2021, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Architecture programmable pour les systèmes de communications numériques
- auteur
- Mael Tourres, Bertrand Le Gal, Jérémie Crenne, Cyrille Chavet, P Coussy
- article
- GDR SoC2, Jun 2021, Rennes, France
- Accès au bibtex
-
2020
Journal articles
- titre
- A laser emitting contact lens for eye tracking
- auteur
- Alexandre Khaldi, Emmanuel Daniel, Loïc Massin, Camilla Kärnfelt, Francesco Ferranti, Cyril Lahuec, Fabrice Seguin, Vincent Nourrit, J.-L. de Bougrenet de La Tocnaye
- article
- Scientific Reports, 2020, 10 (1), ⟨10.1038/s41598-020-71233-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Advances in Smalltalk technologies
- auteur
- Loïc Lagadec, Anne Etien, Jannik Laval
- article
- Science of Computer Programming, 2020, 199, pp.102518. ⟨10.1016/j.scico.2020.102518⟩
- Accès au bibtex
-
- titre
- Winter is here! A decade of cache-based side-channel attacks, detection & mitigation for RSA
- auteur
- Maria Mushtaq, Muhammad Asim Mukhtar, Vianney Lapotre, Muhammad Khurram Bhatti, Guy Gogniat
- article
- Information Systems, 2020, 92, pp.#101524. ⟨10.1016/j.is.2020.101524⟩
- Accès au texte intégral et bibtex
-
- titre
- WHISPER A Tool for Run-time Detection of Side-Channel Attacks
- auteur
- Maria Mushtaq, Jeremy Bricq, Muhammad Khurram Bhatti, Ayaz Akram, Vianney Lapotre, Guy Gogniat, Pascal Benoit
- article
- IEEE Access, 2020, 8, pp.83871-83900. ⟨10.1109/ACCESS.2020.2988370⟩
- Accès au texte intégral et bibtex
-
- titre
- Meet the Sherlock Holmes’ of Side Channel Leakage: A Survey of Cache SCA Detection Techniques
- auteur
- Ayaz Akram, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- IEEE Access, 2020, 8, pp.70836-70860. ⟨10.1109/ACCESS.2020.2980522⟩
- Accès au texte intégral et bibtex
-
- titre
- Automated Exploration of Homomorphic Encryption Scheme Input Parameters
- auteur
- Cyrielle Feron, Loïc Lagadec, Vianney Lapotre
- article
- Journal of Information Security and Applications, 2020, 55, pp.102627. ⟨10.1016/j.jisa.2020.102627⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of a new scleral contact lens with encapsulated photodetectors for eye tracking
- auteur
- Loïc Massin, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Laure Adam, Emmanuel Daniel, Jean-Louis de Bougrenet de La Tocnaye
- article
- Optics Express, 2020, 28 (19), pp.28635. ⟨10.1364/oe.399823⟩
- Accès au bibtex
-
- titre
- FLUSH + PREFETCH: A Countermeasure Against Access-driven Cache-based Side-Channel Attacks
- auteur
- M Asim Mukhtar, Maria Mushtaq, M Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- Journal of Systems Architecture, 2020, 104, pp.101698. ⟨10.1016/j.sysarc.2019.101698⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- A novel Network-on-Chip security algorithm for tolerating Byzantine faults
- auteur
- Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Jean-Michel Dricot, Guy Gogniat
- article
- 2020 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2020, Frascati, Italy. pp.1-6, ⟨10.1109/DFT50435.2020.9250906⟩
- Accès au texte intégral et bibtex
-
- titre
- Toward Secured IoT Devices: a Shuffled 8-Bit AES Hardware Implementation
- auteur
- Ghita Harcha, Vianney Lapotre, Cyrille Chavet, Philippe Coussy
- article
- IEEE International Symposium on Circuits and Systems (ISCAS), Oct 2020, Seville, Spain. ⟨10.1109/ISCAS45731.2020.9180599⟩
- Accès au texte intégral et bibtex
-
- titre
- When security affects schedulability of TSP systems: trade-offs observed by design space exploration
- auteur
- Ill-Ham Atchadam, Laurent Lemarchand, Hai Nam Tran, Frank Singhoff, Karim Bigou
- article
- 25th international conference on emerging technologies and factory automaton, ETFA 2020, IEEE Industrial Electronics Society, Sep 2020, Vienna, Austria
- Accès au bibtex
-
- titre
- Menhir: Generic High-Speed FPGA Model-Checker
- auteur
- Émilien Fournier, Ciprian Teodorov, Loïc Lagadec
- article
- 2020 23rd Euromicro Conference on Digital System Design (DSD), Aug 2020, Kranj, Slovenia. pp.65-72, ⟨10.1109/DSD51259.2020.00022⟩
- Accès au bibtex
-
- titre
- On the Performance Evaluation of LoRaWAN with Re-transmissions under Jamming
- auteur
- I. Martinez, F. Nouvel, S. Lahoud, Tanguy Philippe, M.E. Helou
- article
- 2020 IEEE Symposium on Computers and Communications, ISCC 2020, Jul 2020, Rennes, France. pp.9219644, ⟨10.1109/ISCC50000.2020.9219644⟩
- Accès au bibtex
-
- titre
- Energy Efficient Acceleration of Floating Point Applications onto CGRA
- auteur
- Satyajit Das, Rohit Prasad, Kevin Martin, Philippe Coussy
- article
- ICASSP, May 2020, Barcelona, Spain
- Accès au texte intégral et bibtex
-
- titre
- TRANSPIRE: An energy-efficient TRANSprecision floating-point Programmable archItectuRE
- auteur
- Rohit Prasad, Satyajit Das, Kevin Martin, Giuseppe Tagliavini, Philippe Coussy, Luca Benini, Davide Rossi
- article
- Design, Automation and Test in Europe Conference (DATE), Mar 2020, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Towards a Hardware DSL Ecosystem: RubyRTL and Friends
- auteur
- Jean-Christophe Le Lann, Hannah Badier, Florent Kermarrec
- article
- OSDA'2020 Open Source Hardware Design, colocated with DATE'20, Mar 2020, Grenoble, France
- Accès au texte intégral et bibtex
-
Patents
- titre
- Contact lens for automatically aiming in the direction of an eye of a person, associated detection system
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Vincent Nourrit, Cyril Lahuec, Fabrice Seguin, Francesco Ferranti, Thierry Djenizian
- article
- France, N° de brevet: WO2020212394. DI-TBN-19-001. 2020
- Accès au bibtex
-
2019
Journal articles
- titre
- Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
- Accès au texte intégral et bibtex
-
- titre
- An Energy-Efficient Integrated Programmable Array Accelerator and Compilation flow for Near-Sensor Ultra-low Power Processing
- auteur
- Satyajit Das, Kevin Martin, Davide Rossi, Philippe Coussy, Luca Benini
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (6), pp.1095-1108. ⟨10.1109/TCAD.2018.2834397⟩
- Accès au texte intégral et bibtex
-
- titre
- Clone-Based Encoded Neural Networks to Design Efficient Associative Memories
- auteur
- Hugues Wouafo, Cyrille Chavet, Philippe Coussy
- article
- IEEE Transactions on Neural Networks and Learning Systems, 2019, 30 (10), pp.1-14. ⟨10.1109/TNNLS.2018.2890658⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- FPGA Implementation and Comparison of Protections against SCAs for RLWE
- auteur
- Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- 20th International Conference on Cryptology in India, Dec 2019, Hyderabad, India
- Accès au texte intégral et bibtex
-
- titre
- Hardware-Software Co-Design for Security: ECC Processor Example
- auteur
- Arnaud Tisserand
- article
- Workshop on the Security of Software / Hardware Interfaces, Nov 2019, Rennes, France
- Accès au bibtex
-
- titre
- Designing a combined personal communicator and data entry terminal for disaster relief & remote operations
- auteur
- Paul Gardner-Stephen, Angus Wallace, Lucas Moss, Loïc Lagadec, Matthew Lloyd
- article
- IEEE Global Humanitarian Technology Conference (GHTC), Oct 2019, Seattle, WA, United States
- Accès au bibtex
-
- titre
- Soufflez, c’est dépisté : systèmes multi-capteurs pour le diagnostic et le suivi personnalisé de patients par analyse d’haleine
- auteur
- Caroline Duc, Paul Le Maout, Nathalie Redon, Cyril Lahuec, Fabrice Seguin, Laurent Dupont, Jean-Luc Wojkiewicz
- article
- Colloque IMT Healthcare 4.0, IMT, Oct 2019, Saint-Etienne, France
- Accès au bibtex
-
- titre
- On the performance evaluation of LoRaWAN under Jamming
- auteur
- Ivan Marino Martinez Bolivar, Fabienne Nouvel, Tanguy Philippe
- article
- 2019 12th Wireless and Mobile Networking Conference (WMNC), Sep 2019, Paris, France
- Accès au texte intégral et bibtex
-
- titre
- MicroLET: A new SDNoC-based communication protocol for chipLET-based systems
- auteur
- Soultana Ellinidou, Gaurav Sharma, Olivier Markowitch, Sotirios Kontogiannis, Jean-Michel Dricot, Guy Gogniat
- article
- 22nd Euromicro Conference on Digital System Design (DSD), Aug 2019, Kallithea, Greece. ⟨10.1109/DSD.2019.00019⟩
- Accès au texte intégral et bibtex
-
- titre
- Energy-Efficient Reconfigurable Accelerators for Ultra-low Power Processing
- auteur
- Philippe Coussy
- article
- International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2019, Lille, France
- Accès au bibtex
-
- titre
- A New Leader Election Algorithm based on the WBS Algorithm Dedicated to Smart-cities
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Loïc Lagadec, Reinhardt Euler, Madani Bezoui
- article
- 3rd International Conference on Future Networks and Distributed Systems (ICFNDS '19), Jul 2019, Paris, France. pp.1-5, ⟨10.1145/3341325.3342014⟩
- Accès au texte intégral et bibtex
-
- titre
- Temperature-based models of batteries for the simulation of Wireless Sensor Networks
- auteur
- Nabil Kadjouh, Ahcène Bounceur, Abdelkamel Tari, Reinhardt Euler, Loïc Lagadec, Abdelkader Laouid
- article
- 3rd International Conferenceon on Future Networks and Distributed Systems, Jul 2019, Paris, France. pp.1-6, ⟨10.1145/3341325.3342013⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparaison d'algorithmes de réduction modulaire en HLS sur FPGA
- auteur
- Libey Djath, Timo Zijlstra, Karim Bigou, Arnaud Tisserand
- article
- Compas: Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France
- Accès au texte intégral et bibtex
-
- titre
- Towards accurate camera-less eye tracker using instrumented contact lens
- auteur
- Loïc Massin, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Jean-Louis de Bougrenet
- article
- 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS), Jun 2019, Munich, France. pp.1-4, ⟨10.1109/NEWCAS44328.2019.8961304⟩
- Accès au bibtex
-
- titre
- Sherlock Holmes of Cache Side-Channel Attacks in Intel's x86 Architecture
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Usman Ali, Vianney Lapotre, Guy Gogniat
- article
- IEEE-Communications and Network Security, Jun 2019, Washington DC, United States
- Accès au texte intégral et bibtex
-
- titre
- Hierarchical Approach in RNS Base Extension for Asymmetric Cryptography
- auteur
- Libey Djath, Karim Bigou, Arnaud Tisserand
- article
- ARITH: 2019 IEEE 26th Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan
- Accès au texte intégral et bibtex
-
- titre
- SOLVING MEMORY ACCESS CONFLICTS IN LTE-4G STANDARD
- auteur
- Cyrille Chavet, F Lozachmeur, T Barguil, A S Hussein, P Coussy
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2019, Brighton, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Variable Bit-Width Units in a RISC-V Processor for Approximate Computing
- auteur
- Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
- article
- CF'19: Proceedings of the 16th ACM International Conference on Computing Frontiers, Apr 2019, Alghero, Sardinia, Italy. ⟨10.1145/3310273.3323159⟩
- Accès au texte intégral et bibtex
-
- titre
- Context-memory Aware Mapping for Energy Efficient Acceleration with CGRAs
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy
- article
- Design, Automation and Test in Europe Conference (DATE), Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- Transient Key-based Obfuscation for HLS in an Untrusted Cloud Environment
- auteur
- Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Guy Gogniat
- article
- 2019 Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- LiteX: an open-source SoC builder and library based on Migen Python DSL
- auteur
- Florent Kermarrec, Sébastien Bourdeauducq, Hannah Badier, Jean-Christophe Le Lann
- article
- OSDA 2019, colocated with DATE 2019 Design Automation and Test in Europe, Mar 2019, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- GAUT: an open-source HLS tool
- auteur
- Philippe Coussy
- article
- Free Silicon Conference (FSiC), Mar 2019, Paris, France
- Accès au bibtex
-
- titre
- Architectures for Security: A comparative analysis of hardware security features in Intel SGX and ARM TrustZone
- auteur
- Muhammad Asim Mukhtar, Muhammad Khurram Bhatti, Guy Gogniat
- article
- C-CODE 2019 - 2nd International Conference on Communication, Computing and Digital systems, Mar 2019, Islamabad, Pakistan. pp.299-304, ⟨10.1109/C-CODE.2019.8680982⟩
- Accès au bibtex
-
- titre
- A hardware/software co-design approach for security analysis of application behavior
- auteur
- Vianney Lapotre
- article
- Journée "Nouvelles Avancées en Sécurité des Systèmes d'Information, INSA de Toulouse; LAAS-CNRS, Jan 2019, Toulouse, France
- Accès au bibtex
-
Book sections
- titre
- DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
- article
- Renault É., Boumerdassi S., Bouzefrane S. (eds). Mobile, Secure, and Programmable Networking. MSPN 2018, 11005, Springer, Cham, pp.42-53, 2019, Lecture Notes in Computer Science, 978-3-030-03100-8. ⟨10.1007/978-3-030-03101-5_5⟩
- Accès au texte intégral et bibtex
-
Lectures
- titre
- On-chip memories: architecture and organisation
- auteur
- Kevin Martin
- article
- Doctorat. France. 2019
- Accès au bibtex
-
Other publications
- titre
- Introduction to Computer Arithmetic for Efficient Hardware Implementations
- auteur
- Arnaud Tisserand
- article
- 2019, http://iramis.cea.fr/spec/Phocea/Vie_des_labos/Seminaires/index.php?type=10&y=2019
- Accès au bibtex
-
- titre
- Logiciel libre : des principes à la pratique
- auteur
- Arnaud Tisserand
- article
- 2019
- Accès au bibtex
-
- titre
- Cybersécurité et puces électroniques
- auteur
- Arnaud Tisserand
- article
- 2019
- Accès au bibtex
-
- titre
- Prise en compte de la contrainte de mémoire de programme dans un flot de compilation pour CGRA
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy
- article
- 2019
- Accès au bibtex
-
- titre
- Compiling for notifying memories: issues and challenges
- auteur
- Kevin Martin
- article
- 2019
- Accès au bibtex
-
Patents
- titre
- Optical system for detecting and tracking eye movements, associated external frame and associated connected contact lens
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin, Francesco Ferranti
- article
- France, N° de brevet: WO2019193028. DI-TBN-17-006. 2019
- Accès au bibtex
-
2018
Journal articles
- titre
- Computation of 2D 8x8 DCT Based on the Loeffler Factorization Using Algebraic Integer Encoding
- auteur
- Diego F. G. Coelho, Sushmabhargavi Nimmalapalli, Vassil Dimitrov, Arjuna Madanayake, Renato J. Cintra, Arnaud Tisserand
- article
- IEEE Transactions on Computers, In press, 67 (12), pp.1692-1702. ⟨10.1109/TC.2018.2837755⟩
- Accès au texte intégral et bibtex
-
- titre
- Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
- Accès au texte intégral et bibtex
-
- titre
- Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
- Accès au bibtex
-
Conference papers
- titre
- A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
- article
- AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
- Accès au texte intégral et bibtex
-
- titre
- Machine Learning For Security: The Case of Side-Channel Attack Detection at Run-time
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Muneeb Yousaf, Umer Farooq, Vianney Lapotre, Guy Gogniat
- article
- ICECS-2018, Dec 2018, Bordeaux, France
- Accès au texte intégral et bibtex
-
- titre
- A functionalized carbon nanotube based electronic nose for the detection of nerve agents
- auteur
- P.S. Laquintinie, A. Sachan, J.F. Feller, Cyril Lahuec, M. Castro, Fabrice Seguin, Laurent Dupont
- article
- ICECS 2018: 25th IEEE International Conference on Electronics, Circuits and Systems, Dec 2018, Bordeaux, France. pp.705-708, ⟨10.1109/ICECS.2018.8617932⟩
- Accès au bibtex
-
- titre
- A small and adaptive coprocessor for information flow tracking in ARM SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
- article
- ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
- Accès au texte intégral et bibtex
-
- titre
- Protection of Arithmetic Circuits against Physical Attacks
- auteur
- Arnaud Tisserand
- article
- 30 ans du LIP, Nov 2018, Lyon, France. https://30anslip.sciencesconf.org/
- Accès au bibtex
-
- titre
- Introduction to Physical Attacks
- auteur
- Arnaud Tisserand
- article
- Journée Captronic: La sécurité de l’objet connecté, Oct 2018, Nantes, France
- Accès au bibtex
-
- titre
- Run-time Detection of Prime+Probe Side-Channel Attack on AES Encryption Algorithm
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Naveed Bin Raees Rao, Vianney Lapotre, Guy Gogniat
- article
- Global Information Infrastructure and Networking Symposium (GIIS), Oct 2018, Thessaloniki, Greece
- Accès au texte intégral et bibtex
-
- titre
- Broadcast- and Power-Aware Wireless NoC for Barrier Synchronization in Parallel Computing
- auteur
- Hemanta Kumar Mondal, Rodrigo Cataldo, Cesar Augusto Missio Marcon, Kevin Martin, Sujay Deb, Jean-Philippe Diguet
- article
- 2018 31st IEEE International System-on-Chip Conference (SOCC), Sep 2018, Arlington, United States. pp.1-6
- Accès au texte intégral et bibtex
-
- titre
- Microcontroller Implementation of Simultaneous Protections Against Observation and Perturbation Attacks for ECC
- auteur
- Audrey Lucas, Arnaud Tisserand
- article
- SECRYPT: 15th International Conference on Security and Cryptography, Jul 2018, Porto, Portugal. pp.1-8, ⟨10.5220/0006884605700577⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Approximate Operators Case Study: Sobel Filter Application Executed on an Approximate RISC-V Platform
- auteur
- Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
- article
- SAMOS: 18th International Conference on Embedded Computer Systems: Architectures, Modeling and Simlation, Jul 2018, Pythagorion, Greece. pp.146-149
- Accès au texte intégral et bibtex
-
- titre
- An Integrated Toolchain for Overlay-centric System-on-chip
- auteur
- Jean-Christophe Le Lann, Théotime Bollengier, Mohamad Najem, Loïc Lagadec
- article
- 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip 2018 (ReCoSoC 2018), Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449388⟩
- Accès au bibtex
-
- titre
- Detecting Gaps and Voids in WSNs and IoT Networks: the Minimum x-Coordinate based Method
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mahamadou Traore, Mounir Lallali
- article
- International Conference on Future Networks and Distr buted Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231090⟩
- Accès au texte intégral et bibtex
-
- titre
- Detecting Gaps and Voids in WSNs and IoT Networks: the Angle-based Method
- auteur
- Madani Bezoui, Ahcène Bounceur, Loïc Lagadec, Reinhardt Euler, Hammoudeh Mohammad, Abdelkader Laouid, Abdelkamel Tari
- article
- International Conference on Future Networks and Distributed Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231089⟩
- Accès au texte intégral et bibtex
-
- titre
- Subutai: Distributed Synchronization Primitives in NoC Interfaces for Legacy Parallel-Applications
- auteur
- Rodrigo Cataldo, Ramon Fernandes, Kevin Martin, Johanna Sepúlveda, Altamiro Susin, Cesar Marcon, Jean-Philippe Diguet
- article
- the 55th Annual Design Automation Conference, Jun 2018, San Francisco, United States. pp.83:1--83:6, ⟨10.1145/3195970.3196124⟩
- Accès au texte intégral et bibtex
-
- titre
- DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks
- auteur
- Ahcène Bounceur, Madani Bezoui, Loïc Lagadec, Reinhardt Euler, Abdelkader Laouid, Mohammad Hammoudeh
- article
- 4th International Conference on Mobile, Secure and Programmable Networking (MSPN 2018), Jun 2018, Paris, France. pp.42-53
- Accès au texte intégral et bibtex
-
- titre
- Cache-Based Side-Channel Intrusion Detection using Hardware Performance Counters
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
- article
- CryptArchi 2018 - 16th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- NIGHTs-WATCH: A Cache-based Side-channel Intrusion Detector Using Hardware Performance Counters
- auteur
- Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Vianney Lapotre, Guy Gogniat
- article
- 7th International Workshop on Hardware and Architectural Support for Security and Privacy, Jun 2018, Los Angeles, United States. ⟨10.1145/3214292.3214293⟩
- Accès au texte intégral et bibtex
-
- titre
- A Heterogeneous Cluster with Reconfigurable Accelerator for Energy Efficient Near-Sensor Data Analytics
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi
- article
- International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy
- Accès au texte intégral et bibtex
-
- titre
- 40 Gop/S/mm² Fixed-Point Operators for Brain Computer Interface in 65nm CMOS
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- 2018 IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy. ⟨10.1109/ISCAS.2018.8351028⟩
- Accès au texte intégral et bibtex
-
- titre
- A fully flexible circuit implementation of clique-based neural networks in 65-nm CMOS
- auteur
- Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- ISCAS 2018 : IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Firenze, Italy. ⟨10.1109/ISCAS.2018.8350954⟩
- Accès au bibtex
-
- titre
- Fast Evaluation of Homomorphic Encryption Schemes Based on Ring-LWE
- auteur
- Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
- article
- 2018 9th IFIP International Conference on New Technologies, Mobility and Security (NTMS), Feb 2018, Paris, France. ⟨10.1109/NTMS.2018.8328693⟩
- Accès au texte intégral et bibtex
-
- titre
- Une approche basée sur la programmation par contraintes pour résoudre le problème d'affectation de binômes
- auteur
- Kevin Martin, Alban Derrien
- article
- ROADEF, Feb 2018, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- Embedded Runtime for Reconfigurable Dataflow Graphs on Manycore Architectures
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- PARMA-DITAM, Jan 2018, Manchester, United Kingdom. ⟨10.1145/3183767.3183780⟩
- Accès au texte intégral et bibtex
-
- titre
- CupCarbon-Lab: An IoT Emulator
- auteur
- Ahcène Bounceur, Olivier Marc Marc, Massinissa Lounis, Julien Soler, Laurent Clavier, Pierre Combeau, Rodolphe Vauzelle, Loïc Lagadec, Reinhardt Euler, Madani Bezoui, Pietro Manzoni
- article
- IEEE Consumer Communications & Networking Conference, Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319313⟩
- Accès au texte intégral et bibtex
-
Poster communications
- titre
- Subutai: Implantation de primitives de synchronisation au sein d'interfaces NoCs sans modification du code source
- auteur
- Rodrigo Cataldo, Kevin Martin, Jean-Philippe Diguet
- article
- Colloque du GdR SOC2, Jun 2018, Paris, France
- Accès au texte intégral et bibtex
-
Reports
- titre
- Prospective Report of the scientific council of the Information Sciences and their interactions Institute of CNRS
- auteur
- Christian Barillot, Inbar Fijalkow, Isabelle Queinnec, Fabrice Theoleyre, Hélène Touzet, Michel Beaudouin-Lafon, Marie-Paule Cani, François Chaumette, Gérald Conreur, Véronique Cortier, Cyril Gavoille, Guy Gogniat, Julien Gossa, Andreas Herzig, Jean Krivine, Philippe Lamarre, Françoise Lamnabhi-Lagarrigue, Anne-Catherine Letournel, Lionel Seinturier, Isabelle Tellier, Serge Torres, Charlotte Truchet, Alexandros Tsoukias, Michel Verleysen
- article
- [0] CNRS. 2018, pp.1-87
- Accès au texte intégral et bibtex
-
2017
Journal articles
- titre
- Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
- auteur
- Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
- article
- EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
- Accès au texte intégral et bibtex
-
- titre
- A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
- auteur
- Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
- Accès au texte intégral et bibtex
-
- titre
- Plug and play your robot into your smart home: Illustration of a new framework
- auteur
- Sao Mai Nguyen, Christophe Lohr, Philippe Tanguy, Yiqiao Chen
- article
- KI - Künstliche Intelligenz, 2017, 31 (3), pp.283 - 289. ⟨10.1007/s13218-017-0494-8⟩
- Accès au texte intégral et bibtex
-
- titre
- A Scaling-Less Newton-Raphson Pipelined Implementation for a Fixed-Point Reciprocal Operator
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- IEEE Signal Processing Letters, 2017, 24 (6), pp.789 - 793. ⟨10.1109/LSP.2017.2694225⟩
- Accès au bibtex
-
- titre
- A Unified Design Flow to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (3), pp.384-397. ⟨10.1109/TCAD.2016.2587278⟩
- Accès au bibtex
-
- titre
- A Transparent home Sensors/Actuators layer for Health &Well-being services
- auteur
- Philippe Tanguy, Mathieu Simonnet, Christophe Lohr, Jérôme Kerdreux
- article
- EAI endorsed transactions on pervasive health and technology, 2017, 3 (11), pp.1 - 7. ⟨10.4108/eai.18-7-2017.152900⟩
- Accès au texte intégral et bibtex
-
- titre
- Extended overlay architectures for heterogeneous FPGA cluster management
- auteur
- Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
- article
- Journal of Systems Architecture, 2017, 78, pp.1-14. ⟨10.1016/j.sysarc.2017.06.001⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- A High Abstraction Level Constraint for Object Localization in Marine Observatories
- auteur
- Joël Champeau, Loïc Lagadec, Charbel Geryes Aoun, Jad Moussa, Elio Hanna
- article
- CSCI 2017, Dec 2017, Las Vegas, United States
- Accès au bibtex
-
- titre
- Architecture level Optimizations for Kummer based HECC on FPGAs
- auteur
- Gabriel Gallin, Turku Ozlum Celik, Arnaud Tisserand
- article
- IndoCrypt 2017 - 18th International Conference on Cryptology in India, Dec 2017, Chennai, India. pp.44-64, ⟨10.1007/978-3-319-71667-1_3⟩
- Accès au texte intégral et bibtex
-
- titre
- Power Consumption Analysis and Hardware Security
- auteur
- Arnaud Tisserand
- article
- "Risques, Société et Sécurité" (R2S). "Journée Thématique Consommation d'énergie dans les systèmes reconfigurables', Dec 2017, Cergy, France
- Accès au bibtex
-
- titre
- A wireless contact lens eye tracking system (example of a smart sensors development platform)
- auteur
- Jean-Louis de Bougrenet de La Tocnaye, Laurent Dupont, Francesco Ferranti, Cyril Lahuec, Vincent Nourrit, Fabrice Seguin
- article
- SENSO2017: 5th international conference sensors, energy harvesting, wireless network and smart objects workshop, Nov 2017, Gardanne, France
- Accès au bibtex
-
- titre
- Hyper-Threaded Multiplier for HECC
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Asilomar Conference on Signals, Systems, and Computers, Oct 2017, Pacific Grove, CA, United States
- Accès au texte intégral et bibtex
-
- titre
- Efficient Scalable Hardware Architecture for Highly Performant Encoded Neural Networks
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Robin Danilo, Philippe Coussy
- article
- IEEE International Workshop on Signal Processing Systems 2017, Oct 2017, Lorient, France
- Accès au bibtex
-
- titre
- An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy
- auteur
- Imran Wali, Emmanuel Casseau, Arnaud Tisserand
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
- Accès au bibtex
-
- titre
- Hardware Support for Physical Security
- auteur
- Arnaud Tisserand
- article
- CRiSIS: 12th International Conference on Risks and Security of Internet and Systems, Sep 2017, Dinard, France
- Accès au bibtex
-
- titre
- Improving Confidentiality Against Cache-based SCAs
- auteur
- Maria Mushtaq, Vianney Lapotre, Guy Gogniat, M Asim Mukhtar, Muhammad Khurram Bhatti
- article
- ACM WomENcourage, Sep 2017, barcelona, France
- Accès au texte intégral et bibtex
-
- titre
- Implantation en virgule fixe d'un opérateur de calcul d'inverse à base de Newton-Raphson, sans normalisation et sans bloc mémoire
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- GRETSI 2017 : 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2017, Juan-Les-Pins, France
- Accès au bibtex
-
- titre
- ARMHEx: A hardware extension for DIFT on ARM-based SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
- Accès au texte intégral et bibtex
-
- titre
- PAnTHErS: A Prototyping and Analysis Tool for Homomorphic Encryption Schemes
- auteur
- Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
- article
- SECRYPT: 14th International Conference on Security and Cryptography, Jul 2017, Madrid, Spain
- Accès au texte intégral et bibtex
-
- titre
- A Sub-nJ CMOS ECG Classifier for Wireless Smart Sensor
- auteur
- Paul Chollet, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- EMBC 2017 : 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Jul 2017, Jeju, South Korea. pp.3840 - 3843, ⟨10.1109/EMBC.2017.8037694⟩
- Accès au bibtex
-
- titre
- Using a Virtual Plant to Support the Development of Intelligent Gateway for Sensors/Actuators Security
- auteur
- Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet, Vianney Lapotre
- article
- IFAC World Congress, Jul 2017, Toulouse, France. pp.5837-5842
- Accès au bibtex
-
- titre
- Asserting causal properties in High Level Synthesis
- auteur
- Erwan Fabiani, Loïc Lagadec, Mohamed Ben Hammouda, Ciprian Teodorov
- article
- 2017 IEEE 2nd International Verification and Security Workshop (IVSW), Jul 2017, Thessaloniki, Greece
- Accès au bibtex
-
- titre
- A scaling-less Newton-Raphson pipelined implementation for a fixed-point inverse square root operator
- auteur
- Erwan Libessart, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. ⟨10.1109/NEWCAS.2017.8010129⟩
- Accès au texte intégral et bibtex
-
- titre
- An ultra-low power iterative clique-based neural network integrated in 65-nm CMOS
- auteur
- Paul Chollet, Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.5 - 8, ⟨10.1109/NEWCAS.2017.8010091⟩
- Accès au bibtex
-
- titre
- ECC Protections against both Observation and Pertubation Attacks
- auteur
- Audrey Lucas, Arnaud Tisserand
- article
- CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
- Accès au bibtex
-
- titre
- Hardware Architectures for HECC
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
- Accès au texte intégral et bibtex
-
- titre
- Embedding Crypto in SoCs: Threats and Protections
- auteur
- Arnaud Tisserand
- article
- XIIème Colloque du GDR SoC-SiP, Jun 2017, Bordeaux, France. http://www.colloque2017-gdrsoc2.org/
- Accès au bibtex
-
- titre
- Hardware Architectures Exploration for Hyper-Elliptic Curve Cryptography
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Crypto'Puces 2017- 6ème rencontre Crypto'Puces, du composant au système communicant embarqué, May 2017, Porquerolles, France. pp.31
- Accès au texte intégral et bibtex
-
- titre
- A 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architecture
- auteur
- Benoit Larras, Paul Chollet, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- ISCAS 2017 : IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States. pp.1 - 4, ⟨10.1109/ISCAS.2017.8050658⟩
- Accès au bibtex
-
- titre
- A 142MOPS/mW Integrated Programmable Array accelerator for Smart Visual Processing
- auteur
- Satyajit Das, Davide Rossi, Kevin Martin, Philippe Coussy, Luca Benini
- article
- IEEE International Symposium on Circuits & Systems, May 2017, Baltimore, United States
- Accès au texte intégral et bibtex
-
- titre
- ARMHEx: embedded security through hardware-enhanced information flow tracking
- auteur
- Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
- article
- RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
- Accès au texte intégral et bibtex
-
- titre
- A Cost-effective Approach for Efficient Time-sharing of Reconfigurable Architectures
- auteur
- Mohamad Najem, Théotime Bollengier, Jean-Christophe Le Lann, Loïc Lagadec
- article
- FPGA4GPC'2017, May 2017, Hambourg, Germany. ⟨10.1109/FPGA4GPC.2017.8008959⟩
- Accès au bibtex
-
- titre
- Somewhat/Fully Homomorphic Encryption: Implementation Progresses and Challenges
- auteur
- Guillaume Bonnoron, Caroline Fontaine, Guy Gogniat, Vincent Herbert, Vianney Lapotre, Vincent Migliore, Adeline Roux-Langlois
- article
- C2SI 2017 : 2nd International Conference on Codes, Cryptology and Information Security, Apr 2017, Rabat, Morocco. pp.68 - 82, ⟨10.1007/978-3-319-55589-8_5⟩
- Accès au bibtex
-
- titre
- Soft timing closure for soft programmable logic cores: The ARGen approach
- auteur
- Théotime Bollengier, Loïc Lagadec, Mohamad Najem, Jean-Christophe Le Lann, Pierre Guilloux
- article
- ARC 2017 - 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
- Accès au texte intégral et bibtex
-
- titre
- Efficient Mapping of CDFG onto Coarse-Grained Reconfigurable Array Architectures
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Davide Rossi, Luca Benini
- article
- ASP-DAC, Jan 2017, Tokyo, Japan
- Accès au texte intégral et bibtex
-
Special issue
- titre
- Introduction to the Special Section on Computer Arithmetic
- auteur
- Javier Hormigo, Jean-Michel Muller, Stuart Obermann, Nathalie Revol, Arnaud Tisserand, Julio Villalba-Moreno
- article
- IEEE Transactions on Computers, 66 (12), pp.1991-1993, 2017, ⟨10.1109/TC.2017.2761278⟩
- Accès au bibtex
-
Other publications
- titre
- Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
- auteur
- Kevin Martin, Thanh Dinh Ngo, Jean-Philippe Diguet
- article
- 2017
- Accès au bibtex
-
- titre
- Cybersécurité, attaques et protections des puces électroniques
- auteur
- Arnaud Tisserand
- article
- 2017, https://armorscience.wordpress.com/conferences-et-cafes-science/annee-2016-2017/la-cybersecurite/
- Accès au bibtex
-
Poster communications
- titre
- Demonstrating the SPIDER Runtime for Reconfigurable Dataflow Graphs Execution onto a DMA-based Manycore Processor
- auteur
- Hugo Miomandre, Julien Hascoët, Karol Desnos, Kevin Martin, Benoît Dupont de Dinechin, Jean-François Nezan
- article
- IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France. 2017
- Accès au texte intégral et bibtex
-
- titre
- ARMHEx: a framework for efficient DIFT in real-world SoCs
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
- Accès au texte intégral et bibtex
-
- titre
- Flot de projection d'applications sur architecture reconfigurable à gros grains
- auteur
- Philippe Coussy
- article
- journée du GT Optimisation des Systèmes Intégrés (OSI) du GdR RO, Jun 2017, Paris, France
- Accès au bibtex
-
- titre
- Finite Field Multiplier Architectures for Hyper-Elliptic Curve Cryptography
- auteur
- Gabriel Gallin, Arnaud Tisserand
- article
- Colloque National du GDR SOC2, Jun 2017, Bordeaux, France.
- Accès au bibtex
-
- titre
- Accélération matérielle pour l'imagerie cérébrale par EEG
- auteur
- Erwan Libessart, Adrien Merlini, Matthieu Arzel, Cyril Lahuec, Francesco Andriulli
- article
- 13ème Colloque du GDR SoC/SiP, Jun 2017, Bordeaux, France
- Accès au texte intégral et bibtex
-
2016
Journal articles
- titre
- Protection of heterogeneous architectures on FPGAs: An approach based on hardware firewalls
- auteur
- Pascal Cotret, Guy Gogniat, Martha Johanna Sepulveda Florez
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2016, 42, pp.127-141. ⟨10.1016/j.micpro.2016.01.013⟩
- Accès au bibtex
-
- titre
- Energy Efficient Associative Memory Based on Neural Cliques
- auteur
- Bartosz Boguslawski, Frédéric Heitzmann, Benoit Larras, Fabrice Seguin
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, 63 (4), pp.376 - 380. ⟨10.1109/TCSII.2015.2504946⟩
- Accès au bibtex
-
- titre
- TBES: Template-Based Exploration and Synthesis of Heterogeneous Multiprocessor Architectures on FPGA
- auteur
- Youenn Corre, Jean-Philippe Diguet, Dominique Heller, Dominique Blouin, Loïc Lagadec
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (1), pp.9
- Accès au texte intégral et bibtex
-
- titre
- A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
- article
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
- Accès au texte intégral et bibtex
-
- titre
- Twin Neurons for Efficient Real-World Data Distribution in Networks of Neural Cliques. Applications in Power Management in Electronic Circuits
- auteur
- Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
- article
- IEEE Transactions on Neural Networks and Learning Systems, 2016, 27 (2), pp.375 - 387. ⟨10.1109/TNNLS.2015.2480545⟩
- Accès au bibtex
-
- titre
- Ultra-Low-Energy Mixed-Signal IC Implementing Encoded Neural Networks
- auteur
- Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2016, 63 (11), pp.1974 - 1985. ⟨10.1109/TCSI.2016.2600663⟩
- Accès au bibtex
-
Conference papers
- titre
- CaRDIN: An Agile Environment for EdgeComputing on Reconfigurable Sensor Networks
- auteur
- Xuan Sang Le, Jean-Christophe Le Lann, Loïc Lagadec, Luc Fabresse, Noury Bouraqadi, Jannik Laval
- article
- 3rd IEEE International Conference on Computational Science and Computational Intelligence (CSCI 2016), Dec 2016, Las Vegas, United States
- Accès au bibtex
-
- titre
- Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
- auteur
- Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
- Accès au texte intégral et bibtex
-
- titre
- A humanoid robot for coaching patients for physical rehabilitation exercises
- auteur
- Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris, André Thépaut
- article
- ACCAS 2016 : 12th Asian Conference on Computer Aided Surgery, Oct 2016, Daejeon, South Korea
- Accès au texte intégral et bibtex
-
- titre
- Overlay Architectures for Heterogeneous FPGA Cluster Management.
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- DASIP 2016, Oct 2016, Rennes, France
- Accès au texte intégral et bibtex
-
- titre
- Associative memory based on clustered neural networks: improved model and architecture for oriented edge detection
- auteur
- Robin Danilo, Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Conference on Design & Architectures for Signal & Image Processing, Oct 2016, Rennes, France
- Accès au bibtex
-
- titre
- A Dynamically Reconfigurable ECC Decoder Architecture for the next generation communication standards (5G, SDR and behond)
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- WInnComm Europe, Oct 2016, Paris, France
- Accès au bibtex
-
- titre
- Network Contention-Aware Method to Evaluate Data Coherency Protocols within a Compilation Toolchain
- auteur
- Loïc Cudennec, Safae Dahmani, Guy Gogniat, Cédric Maignan, Martha Johanna Sepulveda
- article
- 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSOC 2016, Sep 2016, Lyon, France. pp.249-256, ⟨10.1109/MCSoC.2016.54⟩
- Accès au bibtex
-
- titre
- Towards a hardware-assisted information flow tracking ecosystem for ARM processors
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
- Accès au texte intégral et bibtex
-
- titre
- Computational Architecture of a Robot Coach for Physical Exercises in Kinesthetic Rehabilitation
- auteur
- Sao Mai Nguyen, Philippe Tanguy, Olivier Rémy-Néris
- article
- RO-MAN 2016 : IEEE International Symposium on Human and Robot Interactive Communication , Aug 2016, New York, United States. pp.1 - 6, ⟨10.1109/ROMAN.2016.7745251⟩
- Accès au texte intégral et bibtex
-
- titre
- Choosing security elements for the xAAL home automation system
- auteur
- Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
- article
- ATC 2016 : 13th IEEE International Conference on Advanced and Trusted Computing, Jul 2016, Toulouse, France. pp.534 - 541, ⟨10.1109/uic-atc-scalcom-cbdcom-iop-smartworld.2016.0093⟩
- Accès au texte intégral et bibtex
-
- titre
- MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
- article
- 4rd Workshop on Virtual Prototyping of Parallel and Embedded Systems (ViPES) as part of the International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Jul 2016, Samos, Greece
- Accès au texte intégral et bibtex
-
- titre
- A Scalable Design Approach to Efficiently Map Applications on CGRAs
- auteur
- Satyajit Das, Kevin Martin, Philippe Coussy, Thomas Peyret, Gwenolé Corre, Mathieu Thevenin
- article
- IEEE Computer Society Annual Symposium on VLSI, Jul 2016, Pittsburgh, United States. pp.7560275, ⟨10.1109/ISVLSI.2016.54⟩
- Accès au texte intégral et bibtex
-
- titre
- ZeFF : Une plateforme pour l’intégration d’architectures overlay dans le Cloud
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- COMPAS 2016, Jul 2016, Lorient, France
- Accès au bibtex
-
- titre
- Introduction d'aléas dans le processus de projection d'applications sur CGRA
- auteur
- Satyajit Das, Kevin Martin, Thomas Peyret, Philippe Coussy
- article
- Conférence d’informatique en Parallélisme, Architecture et Système (COMPAS 2016), Lab-STICC (UMR 6285), Jul 2016, Lorient, France
- Accès au texte intégral et bibtex
-
- titre
- Speeding Up Robot Control Software Through Seamless Integration With FPGA
- auteur
- Xuan Sang Le, Luc Fabresse, Jannik Laval, Jean-Christophe Le Lann, Loïc Lagadec
- article
- SHARC'16, Jun 2016, Brest, France
- Accès au bibtex
-
- titre
- Towards risk aware NoCs for data protection in MPSoCs
- auteur
- Martha Johanna Sepulveda, Flórez Daniel, Ramon Fernandes, César A. M. Marcon, Guy Gogniat, Georg Sigl
- article
- 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2016, Jun 2016, Tallinn, Estonia
- Accès au bibtex
-
- titre
- Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
- auteur
- Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
- article
- 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
- Accès au texte intégral et bibtex
-
- titre
- Toward sub-pJ per classification in Body Area Sensor Networks
- auteur
- Paul Chollet, Kevin Colombier, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- NEWCAS 2016 : 14th IEEE International on New Circuits and Systems, Jun 2016, Vancouver, Canada. pp.1 - 4, ⟨10.1109/NEWCAS.2016.7604764⟩
- Accès au bibtex
-
- titre
- A Transparent home Sensors/Actuators layer for Health & Well-being services
- auteur
- Philippe Tanguy, Christophe Lohr, Jérôme Kerdreux
- article
- IoTCare 2016 : EAI (European Alliance for Innovation) International Conference on IoT and Big Data Technologies for HealthCare, Jun 2016, Budapest, Hungary. pp.29 - 35, ⟨10.1007/978-3-319-49655-9_5⟩
- Accès au texte intégral et bibtex
-
- titre
- A portable approach for SoC-based Dynamic Information Flow Tracking implementations
- auteur
- Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
- article
- 11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Overlay Architectures For FPGA Resource Virtualization
- auteur
- Théotime Bollengier, Mohamad Najem, Jean-Christophe Le Lann, Loïc Lagadec
- article
- GDR SOC SIP, Jun 2016, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Amélioration des performances des mémoires associatives par les réseaux à clones
- auteur
- Hugues Nono, Cyrille Chavet, Philippe Coussy
- article
- Colloque national du GdR SoC-SiP, Jun 2016, Nantes, France
- Accès au bibtex
-
- titre
- Notifying Memories: a case-study on Data-Flow Applications with NoC Interfaces Implementation
- auteur
- Kevin Martin, Mostafa Rizk, Martha Johanna Sepulveda Florez, Jean-Philippe Diguet
- article
- Design Automation Conference, Jun 2016, Austin, United States. ⟨10.1145/2897937.2898051⟩
- Accès au bibtex
-
- titre
- Side Channel Attacks on networks on Chip
- auteur
- Johanna Sepúlveda, Lilian Bossuet, Guy Gogniat, Reindbrecht Cezar, Sigl Georg
- article
- International CryptArchi Workshop , Jun 2016, La Grande-Motte, France
- Accès au bibtex
-
- titre
- A Dynamically Reconfigurable ECC Decoder Architecture
- auteur
- Cyrille Chavet, Philippe Coussy, Sani Awais Hussein
- article
- Design Automation and Test in Europe (DATE 2016), Mar 2016, Dresden, Germany
- Accès au bibtex
-
- titre
- ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
- auteur
- Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
- article
- 24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
- Accès au bibtex
-
- titre
- Dynamic NoC buffer allocation for MPSoC timing side channel attack protection
- auteur
- Martha Johanna Sepulveda, Daniel Florez, Mathias Soeken, Jean-Philippe Diguet, Guy Gogniat
- article
- IEEE 7th Latin American Symposium on Circuits & Systems, LASCAS 2016, Jan 2016, Florianopolis, Brazil
- Accès au bibtex
-
Poster communications
- titre
- HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
- auteur
- Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
- article
- Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
- Accès au texte intégral et bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat
- article
- HiPEAC, Jan 2016, Prague, Czech Republic. 2016
- Accès au bibtex
-
2015
Journal articles
- titre
- Move Based Algorithm for Runtime Mapping of Dataflow Actors on Heterogeneous MPSoCs
- auteur
- Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
- article
- Journal of Signal Processing Systems, 2015
- Accès au bibtex
-
- titre
- An MDE Approach for Rapid Prototyping and Implementation of Dynamic Reconfigurable Systems
- auteur
- Gilberto Ochoa Ruiz, Guillet Sébastien, Florent de Lamotte, Eric Rutten, El-Bay Bourennane, Jean-Philippe Diguet, Guy Gogniat
- article
- ACM Transactions on Design Automation of Electronic Systems, 2015, Vol. 21 Issue 1, Article No. 8, Nov. 2015 ., 21 (1), pp.Article No. 8
- Accès au bibtex
-
- titre
- Disposable configuration of remotely reconfigurable systems
- auteur
- Lilian Bossuet, Viktor Fischer, Lubos Gaspar, Lionel Torres, Guy Gogniat
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39 (6), pp.382-392. ⟨10.1016/j.micpro.2015.05.007⟩
- Accès au bibtex
-
- titre
- A Multi-Band Stacked RF Energy Harvester With RF-to-DC Efficiency Up to 84%
- auteur
- Véronique Kuhn, Christian Person, Fabrice Seguin, Cyril Lahuec
- article
- IEEE Transactions on Microwave Theory and Techniques, 2015, 63 (5), pp.1 - 11. ⟨10.1109/TMTT.2015.2416233⟩
- Accès au bibtex
-
- titre
- Fully Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
- auteur
- Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Laura Conde-Canencia
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-23. ⟨10.1145/2629510⟩
- Accès au bibtex
-
- titre
- Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible Models
- auteur
- Jeffrey Krichmar, Philippe Coussy, Nikil Dutt
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2015, 11 (4), pp.1-18. ⟨10.1145/2629509⟩
- Accès au bibtex
-
- titre
- NoC-Based Protection for SoC Time-Driven Attacks
- auteur
- Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
- article
- IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
- Accès au bibtex
-
- titre
- xAAL: A Distributed Infrastructure for Heterogeneous Ambient Devices
- auteur
- Christophe Lohr, Philippe Tanguy, Jérôme Kerdreux
- article
- Journal of intelligent systems, 2015, 24 (3), pp.321 - 331. ⟨10.1515/jisys-2014-0144⟩
- Accès au texte intégral et bibtex
-
- titre
- NoC-based Protection for SoC Time-Driven Attacks
- auteur
- Martha Johanna Sepulveda, Jean-Philippe Diguet, Guy Gogniat, Marius Strum
- article
- IEEE Embedded Systems Letters, 2015, 7 (1), pp.DOI:10.1109/LES.2014.2384744
- Accès au bibtex
-
Conference papers
- titre
- Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
- auteur
- Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
- article
- International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
- Accès au texte intégral et bibtex
-
- titre
- Design Space Exploration and High Level Synthesis
- auteur
- Philippe Coussy
- article
- International Workshop on HW/SW co-development, Nov 2015, Toulouse, France
- Accès au bibtex
-
- titre
- Relevance of impedance spectroscopy for the monitoring of implant-induced fibrosis: A preliminary study
- auteur
- Noëlle Lewis, Cyril Lahuec, S Renaud, Eric Mcadams, Paco Bogonez-Franco, Claire Lethias, Sabrina Kellouche, F Carreiras, Andrea Pinna, Aymeric Histace, Michel Boissière, Emmanuel Pauthe, I Lagroye, Fabien Soulier, Serge Bernard, S Binczak, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Olivier Romain
- article
- BIOCAS: Biomedical Circuits and Systems, Oct 2015, Atlanta, United States. ⟨10.1109/BioCAS.2015.7348399⟩
- Accès au texte intégral et bibtex
-
- titre
- Compact Interconnect Approach for Networks of Neural Cliques Using 3D Technology
- auteur
- Bartosz Boguslawski, Sarhan Hossam, Fabrice Seguin, Frédéric Heitzmann, Sebastien Thuries, Olivier Billoint, Fabien Clermidy
- article
- VLSI-Soc 2015 : IFIP/IEEE International Conference on Very Large Scale Integration, Oct 2015, Daejeon, Corée du Sud. pp.116 - 121, ⟨10.1109/VLSI-SoC.2015.7314402⟩
- Accès au bibtex
-
- titre
- Improving Reuse by means of Asymmetrical Model Migrations: An Application to the Orcc Case Study
- auteur
- Paola Vallejo, Mickaël Kerboeuf, Kevin Martin, Jean-Philippe Babau
- article
- 2015 ACM/IEEE 18th International Conference on Model Driven Engineering Languages and Systems (MODELS), Sep 2015, Ottawa, Canada
- Accès au bibtex
-
- titre
- Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Colloque National du GRETSI, Lyon, France, september 2015, Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Modèle et Architecture de Réseaux de Neurones Récurrents à Clones
- auteur
- Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
- article
- Colloque National du GRETSI, Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Réseaux de Clusters de Neurones Restreints
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde Canencia
- article
- Colloque sur le Traitement du Signal et de l'Image (GRETSI), Sep 2015, Lyon, France
- Accès au bibtex
-
- titre
- Matching network improvement for RF energy harvesters in Body Sensor Area Network context
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- EuMC 2015 : 45th European Microwave Conference, Sep 2015, Paris, France. pp.303 - 306, ⟨10.1109/EuMC.2015.7345760⟩
- Accès au bibtex
-
- titre
- A Meta Model Supporting both Hardware and Smalltalk-based Execution of FPGA Circuits
- auteur
- Xuan Sang Le, Loic Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
- article
- IWST 2015, ESUG, Jul 2015, Bressia, Italy. ⟨10.1145/2811237.2811296⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of analog subthreshold encoded neural network circuit in sub-100nm CMOS
- auteur
- Benoit Larras, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel
- article
- IJCNN 2015 : IEEE International Joint Conference on Neural Networks, Jul 2015, Killarney, Ireland. pp.1 - 7, ⟨10.1109/IJCNN.2015.7280672⟩
- Accès au bibtex
-
- titre
- Communication-aware Parallelization Strategies for High Performance Applications.
- auteur
- Imran Ashraf, Nader Khammassi, Koen Bertels, Jean-Christophe Le Lann
- article
- ISVLSI'15 - IEEE Computer Society Annual Symposium on VLSI, Jul 2015, Montpellier, France
- Accès au bibtex
-
- titre
- Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
- article
- Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
- Accès au bibtex
-
- titre
- COMPA backend : Runtime dynamique pour l’exécution de programmes flot de données sur plates-formes multiprocesseurs
- auteur
- Yaset Oliva, Emmanuel Casseau, Kevin Martin, Jean-Philippe Diguet, Thanh Dinh Ngo, Yvan Eustache
- article
- COMPAS 2015 : - Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France. pp.1-9
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable Security Architecture for disrupted protection zones in NoC−Based MPSoCs
- auteur
- Guy Gogniat, Martha Johanna Sepulveda, Flórez Daniel
- article
- 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2015), Jun 2015, Bremen, Germany
- Accès au bibtex
-
- titre
- Role Framework to Support Collaborative Virtual Prototyping of System of Systems
- auteur
- Jean-Philippe Schneider, Joël Champeau, Loïc Lagadec, Eric Senn
- article
- WETICE 2015, IEEE, Jun 2015, Larnaca, Cyprus
- Accès au bibtex
-
- titre
- Des capteurs autonomes grâce à la récupération d'énergie RF large-bande
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- JNM 2015 : Journées Nationales Micro-Ondes, Jun 2015, Bordeaux, France. pp.1 - 4
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Recurrent Neural Networks: Clones Based Model and Architecture
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- IEEE Int'l Symposium on Circuits & Systems (ISCAS), May 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Recurrent Neural Networks: Clone-Based Model and Architecture
- auteur
- Cyrille Chavet, Hugues Nono Wouafo, Philippe Coussy
- article
- IEEE International Symposium on Circuits and Systems (ISCAS) 2015, May 2015, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Hooman Jarollahi, Vincent Gripon, P Coussy, Laura Conde-Canencia, Warren J. Gross
- article
- 2015 International Symposium on Circuits and Systems (ISCAS 2015), May 2015, Lisbonne, Portugal. pp.2501 - 2504, ⟨10.1109/ISCAS.2015.7169193⟩
- Accès au texte intégral et bibtex
-
- titre
- Improving storage of patterns in recurrent neural networks: Clone-based model and architecture
- auteur
- Hugues Wouafo, Cyrille Chavet, Philippe Coussy
- article
- 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.577-580, ⟨10.1109/ISCAS.2015.7168699⟩
- Accès au bibtex
-
- titre
- Algorithm and implementation of an associative memory for oriented edge detection using improved clustered neural networks
- auteur
- Robin Danilo, Hooman Jarollahi, Vincent Gripon, Philippe Coussy, Laura Conde-Canencia, Warren Gross
- article
- 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015, Lisbon, France. pp.2501-2504, ⟨10.1109/ISCAS.2015.7169193⟩
- Accès au bibtex
-
- titre
- Restricted Clustered Neural Network for Storing Real Data
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde-Canencia, Vincent Gripon, Warren Gross
- article
- the 25th edition, May 2015, Pittsburgh, France. pp.205-210, ⟨10.1145/2742060.2743767⟩
- Accès au bibtex
-
- titre
- A Role Language to Interpret Multi-Formalism System of Systems Models
- auteur
- Jean-Philippe Schneider, Joël Champeau, Ciprian Teodorov, Eric Senn, Loic Lagadec
- article
- Syscon 2015, IEEE, Apr 2015, Vancouver, Canada
- Accès au bibtex
-
- titre
- Improving Storage of Patterns in Binary Cluster-Based Neural Networks: Clone-based Model and Architecture
- auteur
- Hugues Nono Wouafo, Cyrille Chavet, Philippe Coussy
- article
- Internationnal workshop on Neural Coding, co-located with DATE Conference 2015, Mar 2015, Grenoble, France
- Accès au bibtex
-
- titre
- In-place memory mapping approach for optimized parallel hardware interleaver architectures
- auteur
- Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- Design Automation and Test in Europe (DATE 2015), Mar 2015, Grenoble, France
- Accès au bibtex
-
- titre
- In-Place Memory Mapping Approach for Optimized Parallel Hardware Interleaver Architectures
- auteur
- Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy, Awais Sani
- article
- Design, Automation and Test in Europe, Mar 2015, Grenoble, France. pp.896-899, ⟨10.7873/DATE.2015.1055⟩
- Accès au bibtex
-
- titre
- Cycle-based model to evaluate consistency protocols within a multi-protocol compilation tool-chain
- auteur
- H. Chaker, L. Cudennec, S. Dahmani, G. Gogniat, M.J. Sepúlveda
- article
- COSMIC '15 Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, Feb 2015, San Francisco Bay Area, United States. ⟨10.1145/2723772.2723779⟩
- Accès au bibtex
-
- titre
- A trace-driven approach for fast and accurate simulation of manycore architectures
- auteur
- Anastasiia Butko, Rafael Garibotti, Luciano Ost, Chris Adeniyi-Jones, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli
- article
- ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2015, Chiba, Tokyo, Japan. pp.707-712, ⟨10.1109/ASPDAC.2015.7059093⟩
- Accès au texte intégral et bibtex
-
Patents
- titre
- Dispositif de conversion d'energie radiofréquence en courant continu et capteur correspondant
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- France, N° de brevet: FR3017752. 14506. 2015
- Accès au bibtex
-
- titre
- Procédé et dispositif d'architecture configurable à gros grains pour exécuter l'intégralité d'un code
- auteur
- Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Kevin Martin, Philippe Coussy
- article
- France, N° de brevet: FR1460631. 2015
- Accès au bibtex
-
- titre
- Procédé et dispositif de tolérance aux fautes sur des composants électroniques
- auteur
- Thomas Peyret, Thevenin Mathieu, Gwenole Corre, Philippe Coussy, Kevin Martin
- article
- France, N° de brevet: FR1460633. 2015
- Accès au bibtex
-
Poster communications
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Philippe Coussy, Laura Conde Canencia
- article
- Colloque national du GdR BioCOmp, Oct 2015, Saint Paul de Vence, France
- Accès au bibtex
-
- titre
- Compa backend: a Dynamic Runtime for the execution of dataflow programs onto multi-core platforms
- auteur
- Kevin Martin, Jean-Philippe Diguet, Yvan Eustache, Thanh Dinh Ngo, Emmanuel Casseau, Yaset Oliva
- article
- Conference on Design & Architectures for Signal & Image Processing, Demo Night, Sep 2015, Cracow, Poland. 2015
- Accès au bibtex
-
- titre
- HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
- auteur
- Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
- article
- CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
- Accès au texte intégral et bibtex
-
- titre
- Réseaux de clusters de neurones restreints
- auteur
- Robin Danilo, Vincent Gripon, Philippe Coussy, Laura Conde Canencia
- article
- GRETSI 2015 : 25ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2015, Lyon, France. pp.1 - 5
- Accès au bibtex
-
- titre
- Algorithm and Implementation of an Associative Memory for Oriented Edge Detection Using Improved Clustered Neural Networks
- auteur
- Robin Danilo, Hooman Jarollahi, Philippe Coussy, Vincent Gripon, Laura Conde Canencia
- article
- International Workshop on Neuromorphic and Brain-Based Computing Systems (Neucomp), Mar 2015, Grenoble, France
- Accès au bibtex
-
Preprints, Working Papers, ...
- titre
- Phadeo : un environnement pour FPGA virtuel
- auteur
- Sebastián Tleye, Ciprian Teodorov, Erwan Fabiani, Loic Lagadec
- article
- 2015
- Accès au texte intégral et bibtex
-
2014
Journal articles
- titre
- Analog Encoded Neural Network for Power Management in MPSoC
- auteur
- Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
- article
- Analog Integrated Circuits and Signal Processing, 2014, 81 (3), pp.595 - 605. ⟨10.1007/s10470-014-0420-z⟩
- Accès au bibtex
-
- titre
- Enhancing RF-to-DC conversion efficiency of wideband RF energy harvesters using multi-tone optimization technique
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- International Journal of Microwave and Wireless Technologies, 2014, pp.1 - 11
- Accès au bibtex
-
- titre
- Soft Error Detection and Correction Technique for Radiation Hardening Based on C-element and BICS
- auteur
- Daniel Gomez Toro, Matthieu Arzel, Fabrice Seguin, Michel Jezequel
- article
- IEEE Transactions on Circuits and Systems II: Express Briefs, 2014, 61 (12), pp.952 - 956
- Accès au bibtex
-
- titre
- Fully-Binary Neural Network Model and Optimized Hardware Architectures for Associative Memories
- auteur
- Philippe Coussy, Cyrille Chavet, Laura Conde Canencia, Hugues Nono Wouafo
- article
- ACM Journal on Emerging Technologies in Computing Systems, 2014, pp.xx-yy
- Accès au bibtex
-
- titre
- Model-Driven Toolset for Embedded Reconfigurable Cores: Flexible Prototyping and Software-like Debugging
- auteur
- Loic Lagadec, Ciprian Teodorov, Jean-Christophe Le Lann, Damien Picard, Erwan Fabiani
- article
- Science of Computer Programming, 2014, pp.1. ⟨10.1016/j.scico.2014.02.015⟩
- Accès au bibtex
-
- titre
- Protection des architectures hétérogènes sur FPGA : une approche par pare-feux matériels
- auteur
- Pascal Cotret, Guy Gogniat
- article
- Techniques de l'Ingénieur, 2014, Référence IN175 - 10 p
- Accès au bibtex
-
- titre
- Extending UML/MARTE to support Discrete Controller Synthesis, application to Reconfigurable Systems-on-Chip modeling
- auteur
- Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
- article
- ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2014, 7 (3), pp.17. ⟨10.1145/2629628⟩
- Accès au bibtex
-
Conference papers
- titre
- 3D-LeukoNoC: A Dynamic TSV-Based 3D-MPSoC Protection
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Sepulveda Daniel, Jean-Philippe Diguet, Marius Strum
- article
- International Conference on Reconfigurable Computing and FPGAs (Reconfig), Dec 2014, Cancun, Mexico
- Accès au bibtex
-
- titre
- A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
- auteur
- Mickael Lanoe, Bordin Matteo, Dominique Heller, Cyrille Chavet, Philippe Coussy
- article
- 21st IEEE International Conference on Electronics Circuits and Systems, Dec 2014, Marseille, France
- Accès au bibtex
-
- titre
- Elastic Security Zones for NoC-Based 3D-MPSoCs
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Marius Strum, Marius Zeferino, Daniel Florez, Jean-Philippe Diguet
- article
- 21st IEEE International Conference on Electronics Circuits and Systems, Nov 2014, Marseille, France
- Accès au bibtex
-
- titre
- Modélisation de la vision inspirée du vivant
- auteur
- Philippe Coussy, Cyrille Chavet
- article
- Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
- Accès au bibtex
-
- titre
- Modélisation de la vision inspirée du vivant
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Journée prospective: Innover par la voie du biomimétisme, Oct 2014, Rennes, France
- Accès au bibtex
-
- titre
- In Vehicle Communication Networks : A Power Line Communication Study and Demonstrator for Infotainment Applications
- auteur
- Fabienne Nouvel, Philippe Tanguy
- article
- ICSNC 2014, IARIA, Oct 2014, NICE, France
- Accès au bibtex
-
- titre
- Communication-model based Embedded Mapping of Dataflow Actors on Heterogeneous MPSoC
- auteur
- Thanh Dinh Ngo, Sepulveda Daniel, Kevin Martin, Jean-Philippe Diguet
- article
- Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2014, Madrid, France
- Accès au bibtex
-
- titre
- Dataflow program implementation onto a heterogeneous multiprocessor platform
- auteur
- Kevin Martin, Jean-Philippe Diguet, Emmanuel Casseau, Yaset Oliva
- article
- METODO, Oct 2014, Madrid, France
- Accès au texte intégral et bibtex
-
- titre
- Trusted Computing using Enhanced Manycore Architectures with Cryptoprocessors
- auteur
- C Mancillas López, M Méndez Real, L Bossuet, G Gogniat, V Fischer, A Baganne
- article
- 22nd IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2014, Oct 2014, http://www.vlsi-soc.com/, France
- Accès au texte intégral et bibtex
-
- titre
- Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors
- auteur
- Safae Dahmani, Loïc Cudennec, Stéphane Rémi Louise, Guy Gogniat
- article
- IEEE 8th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-14), Sep 2014, Aizu-Wakamatsu, Japan
- Accès au texte intégral et bibtex
-
- titre
- Virtual Devices for Hot-Pluggable Processors
- auteur
- Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
- article
- 17th Euromicro Conference on Digital System Design (DSD), Aug 2014, Verona, Italy
- Accès au bibtex
-
- titre
- A HLS-based toolflow to design next-generation heterogeneous many-core platforms with shared memory
- auteur
- Paolo Burgio, Andrea Marongiu, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Embedded and Ubiquitous Computing, Aug 2014, Italy
- Accès au bibtex
-
- titre
- From Smalltalk to Silicon: Towards a methodology to turn Smalltalk code into FPGA
- auteur
- Le Xuan Sang, Loïc Lagadec, Luc Fabresse, Jannik Laval, Noury Bouraqadi
- article
- IWST 14, Aug 2014, Cambridge, United Kingdom
- Accès au texte intégral et bibtex
-
- titre
- Huffman Coding for Storing Non-uniformly Distributed Messages in Networks of Neural Cliques
- auteur
- Bartosz Boguslawski, Vincent Gripon, Fabrice Seguin, Frédéric Heitzmann
- article
- AAAI 2014 : the 28th Conference on Artificial Intelligence, Jul 2014, Québec, Canada. pp.262-268
- Accès au bibtex
-
- titre
- Performance exploration of partially connected 3D NoCs under manufacturing variability
- auteur
- Anelise Kologeski, Fernanda Lima Kastensmidt, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Aida Todri-Sanial
- article
- NEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
- Accès au bibtex
-
- titre
- Efficient Application Mapping on CGRAs based on Backward Simultaneous Scheduling/Binding and Dynamic Graph Transformations
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jun 2014, Zurich, Switzerland. pp.6868652, ⟨10.1109/ASAP.2014.6868652⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of fibrosis induced by an implanted medical device
- auteur
- Noëlle Lewis, Sylvie Renaud, Isabelle Lagroye, Eric Mcadams, Paco Bogonez-Franco, Norbert Noury, Fabien Soulier, Serge Bernard, Claire Lethias, Cyril Lahuec, Matthieu Arzel, Rémy Agniel, Sabrina Kellouche, Michel Boissière, Franck Carreiras, Emmanuel Pauthe, Michel Bonneau, Chantal Kang, Stéphane Binczak, Andrea Pinna, Bertrand Granado, Patrick Garda, Mehdi Terosiet, Alexandre Goguin, Aymeric Histace, Olivier Romain
- article
- Handicap, Jun 2014, Paris, France
- Accès au bibtex
-
- titre
- Designing optimized parallel interleaver architecture through network customization
- auteur
- Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
- article
- Colloque national du GdR SoC-SiP, Jun 2014, France
- Accès au bibtex
-
- titre
- Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- ICSAS 2014 - International symposium on circuits and systems, Jun 2014, Melbourne, Australia
- Accès au bibtex
-
- titre
- Extending Multicore Architectures with Cryptoptocessors and Parallel Cryptography
- auteur
- C Mancillas López, Maria Mendez Réal, Lilian Bossuet, Guy Gogniat, Viktor Fischer, Adel Baganne
- article
- Colloque national du GDR SOC-SIP, Jun 2014, Paris, France
- Accès au bibtex
-
- titre
- A Prototyping Platform for Virtual Reconfigurable Units
- auteur
- Loïc Lagadec, Jean-Christophe Le Lann, Théotime Bollengier
- article
- RECOSOC 2014, May 2014, Montpellier, France
- Accès au bibtex
-
- titre
- A Design Approach to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerator
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
- article
- GLSVLSI 2014, May 2014, Houston, United States
- Accès au bibtex
-
- titre
- A Memory Mapping Approach based on Network Customization to Design Conflict-Free Parallel Hardware Architectures
- auteur
- Cyrille Chavet, Philippe Coussy, Saeed Ur Reehman
- article
- ACM Great Lakes Symposium on VLSI (GLSVLSI), May 2014, France. pp.xx-yy
- Accès au bibtex
-
- titre
- A Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loic Lagadec
- article
- ISCAS 2014 - IEEE International Symposium on Circuits and Systems, May 2014, Melbourne, Australia. pp.XX
- Accès au bibtex
-
- titre
- An automated design approach to map applications on CGRAs
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- GLSVLSI Great Lakes Symposium on VLSI, May 2014, Houston, Texas, United States. pp.229-230, ⟨10.1145/2591513.2591552⟩
- Accès au texte intégral et bibtex
-
- titre
- Embedding Polynomial Time Memory Mapping and Routing Algorithms on-chip to Design Configurable Decoder Architecture
- auteur
- Saeed Ur Reehman, Awais Hussein Sani, Philippe Coussy, Cyrille Chavet
- article
- IEEE International Conference on Acoustics, Speech and Signal Processing, May 2014, Italy. pp.xx-yy
- Accès au bibtex
-
- titre
- FPGAs virtuels : enjeux et usages
- auteur
- Loïc Lagadec
- article
- COMPAS 2014, Apr 2014, Neuchâtel, Switzerland
- Accès au texte intégral et bibtex
-
- titre
- Une approche de conception pour générer automatiquement des moniteurs sur puce pendant la synthèse de haut niveau d'accélérateurs matériels
- auteur
- Mohamed Ben Hammouda, Philippe Coussy, Loïc Lagadec
- article
- COMPAS 2014 - Conférence en Parallélisme, Architecture et Système, Apr 2014, Neuchâtel, Suisse
- Accès au bibtex
-
- titre
- Ordonnancement, assignation et transformations dynamiques de graphe simultanés pour projeter efficacement des applications sur CGRAs
- auteur
- Thomas Peyret, Gwenolé Corre, Mathieu Thevenin, Kevin Martin, Philippe Coussy
- article
- ComPAS 2014 : conférence en parallélisme, architecture et systèmes, Apr 2014, Neuchatel, Suisse
- Accès au texte intégral et bibtex
-
- titre
- A High-Level Programming Model to Ease Pipeline Parallelism Expression On Shared Memory Multicore Architectures
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- HPC 2014, Apr 2014, Tampa, FL, United States. pp.XX
- Accès au bibtex
-
- titre
- From Software Code to Hardware: Directions in High-Level Synthesis
- auteur
- Philippe Coussy
- article
- International Workshop on "Electronic System-Level Design towards Heterogeneous Computing", IEEE Design Automation and Test in Europe DATE, March 2014, Mar 2014, Germany
- Accès au bibtex
-
- titre
- A tightly-coupled Hardware Controller to improve scalability and programmability of shared-memory heterogeneous clusters
- auteur
- Paolo Burgio, Robin Danilo, Andrea Marongiu, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Design, Automation and Test in Europe (DATE), Mar 2014, Germany. pp.XX, YY
- Accès au bibtex
-
- titre
- VLSI Architectures and NoCs for Neural Coding
- auteur
- Jean-Philippe Diguet, Philippe Coussy, Cyrille Chavet
- article
- 1st International Symposium on Brainware LSI, Mar 2014, Japan
- Accès au bibtex
-
- titre
- DESIGN AND IMPLEMENTATION OF A CACHE HIERARCHY-AWARE TASK SCHEDULING FOR PARALLEL LOOPS ON MULTICORE ARCHITECTURES
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- PDCTA 2014, Feb 2014, Sydney, Australia
- Accès au bibtex
-
- titre
- Tackling Real-Time Signal Processing Applications on Shared Memory Multicore Architectures Using XPU
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- ERTS 2014, Feb 2014, Toulouse, France
- Accès au bibtex
-
- titre
- New reconfigurable fault tolerant FPGA architecture: A design for mission critical applications
- auteur
- Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec
- article
- Workshop on Reconfigurable Computing (WRC), Jan 2014, Vienne, Austria. pp.WRC 2014
- Accès au bibtex
-
Book sections
- titre
- Hardware design of parallel interleaver architecture: a survey
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Advanced Hardware Design for Error Correcting Codes, Springer, pp.xx-yy, 2014
- Accès au bibtex
-
- titre
- XPU: A C++ Metaprogramming Approach to Ease Parallelism Expression: Parallelization Methodology, Internal Design and Practical Application
- auteur
- Nader Khammassi, Jean-Christophe Le Lann
- article
- Parallel Programming: Practical Aspects, Models and Current Limitations, NOVA publishers, pp.175-198, 2014, 978-1-60741-263-2
- Accès au bibtex
-
Books
- titre
- Preface to the special issue on advances in Smalltalk based systems
- auteur
- Loïc Lagadec, Alain Plantec
- article
- 96 (1), pp.1-3, 2014, Science of Computer Programming, Science direct, ⟨10.1016/j.scico.2014.07.004⟩
- Accès au bibtex
-
- titre
- Advanced Hardware Design for Error Correcting Codes
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- Springer, pp.200, 2014
- Accès au bibtex
-
- titre
- International workshop on smalltalk technologies 2011 special issue (Journal of Software: Practice and Experience)
- auteur
- Alain Plantec, Loic Lagadec
- article
- Wiley, pp.91, 2014, ⟨10.1002/spe.2172⟩
- Accès au bibtex
-
Patents
- titre
- Architecture de réseau de neurone, procédé d'obtention et programmes correspondants
- auteur
- Cyrille Chavet, Philippe Coussy, Nicolas Charpentier
- article
- France, N° de brevet: 1261155. 2014
- Accès au bibtex
-
- titre
- Système de traitement de données avec cache actif
- auteur
- Cyrille Chavet, Philippe Coussy, Jean-Philippe Diguet, John Shield
- article
- N° de brevet: 1256715. 2014
- Accès au bibtex
-
Poster communications
- titre
- Orcc's Compa-Backend demonstration
- auteur
- Yaset Oliva, Emmanuel Casseau, Kevin Martin, Pierre Bomel, Jean-Philippe Diguet, Hervé Yviquel, Mickael Raulet, Erwan Raffin, Laurent Morin
- article
- Conference on Design and Architectures for Signal and Image Processing, Demo Night, Oct 2014, Madrid, Spain. 2014
- Accès au texte intégral et bibtex
-
- titre
- Déploiement à la volée de réseaux d'acteurs dataflow dynamiques sur plateforme multiprocesseurs hétérogène
- auteur
- Thanh Dinh Ngo, Kevin Martin, Jean-Philippe Diguet
- article
- SoCSiP, Jun 2014, Paris, France. 2014
- Accès au texte intégral et bibtex
-
- titre
- Multithreading for Compute Accelerators Through Distributed Shared Memory Design
- auteur
- Rafael Garibotti, Luciano Ost, Abdoulaye Gamatié, Vianney Lapotre, Chris Adeniyi-Jones, Gilles Sassatelli
- article
- DAC: Design Automation Conference, Jun 2014, San Francisco, United States. IEEE Design Automation Conference, 2014, Work-in-Progress Session
- Accès au bibtex
-
Proceedings
- titre
- A modeling and code generation framework for critical embedded systems design: From Simulink down to VHDL and Ada/C code
- auteur
- Bordin Matteo, Mickael Lanoe, Dominique Heller, Cyrille Chavet, Philippe Coussy
- article
- 21st IEEE International Conference on Electronics Circuits & Systems, Dec 2014, Marseille, France. 2014
- Accès au bibtex
-
2013
Journal articles
- titre
- Introduction de la prédiction de branchement dans la synthèse de haut niveau
- auteur
- Vianney Lapotre, Philippe Coussy, Cyrille Chavet
- article
- Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, n° 2/2013, 281-301, pp.XX-YY
- Accès au bibtex
-
- titre
- A First Step Toward On-Chip Memory Mapping for Parallel Turbo and LDPC Decoders: A Polynomial Time Mapping Algorithm
- auteur
- Awais Hussein Sani, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- IEEE Transactions on Signal Processing, 2013, pp.xx-yy
- Accès au bibtex
-
- titre
- Model-driven physical-design automation for FPGAs: fast prototyping and legacy reuse
- auteur
- Ciprian Teodorov, Loic Lagadec
- article
- Software: Practice and Experience, 2013, 44 (4), pp.455-482. ⟨10.1002/spe.2190⟩
- Accès au bibtex
-
- titre
- Configurable Memory Security in Embedded Systems
- auteur
- Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Russel Tessier, D. Unnikrishnan
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2013, 12/ (3), pp.71. ⟨10.1145/2442116.2442121⟩
- Accès au bibtex
-
- titre
- Architectures of flexible symmetric key crypto engines--a survey: From hardware coprocessor to multi-crypto-processor system on chip
- auteur
- Lilian Bossuet, Michael Grand, Lubos Gaspar, Viktor Fischer, Guy Gogniat
- article
- ACM Computing Surveys, 2013, Volume 45 Issue 4, August 2013 (Article No. 41), 32 p. ⟨10.1145/2501654.2501655⟩
- Accès au bibtex
-
- titre
- Recent advances in homomorphic encryption: a possible future for signal processing in the encrypted domain
- auteur
- Carlos Aguilar Melchor, Simon Fau, Caroline Fontaine, Guy Gogniat, Renaud Sirdey
- article
- IEEE Signal Processing Magazine, 2013, pp.108-107
- Accès au bibtex
-
Conference papers
- titre
- A multi-tone RF energy harvester in body sensor area network context
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- LAPC 2013 : Loughborough Antennas and Propagation Conference, Nov 2013, Loughborough, United Kingdom. pp.238 - 241, ⟨10.1109/LAPC.2013.6711891⟩
- Accès au bibtex
-
- titre
- Towards practical program execution over fully homomorphic encryption schemes
- auteur
- Simon Fau, Renaud Sirdey, Caroline Fontaine, Carlos Aguilar Melchor, Guy Gogniat
- article
- 2013 Eighth International Conference on P2P, Parallel, Grid, Cloud and Internet Computing (3PGCIC-2013), Oct 2013, Compiègne, France
- Accès au bibtex
-
- titre
- A Model-Driven Approach to Enhance Tool Interoperability using the Theory of Models of Computation
- auteur
- Papa Issa Diallo, Joël Champeau, Loïc Lagadec
- article
- SLE 2013 - 6th International Conference on Software Language Engineering, Oct 2013, United States
- Accès au bibtex
-
- titre
- A CONFLICT-FREE MEMORY MAPPING APPROACH TO DESIGN PARALLEL HARDWARE INTERLEAVER ARCHITECTURES WITH OPTIMIZED NETWORK AND CONTROLLER
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy
- article
- IEEE Workshop on Signal Processing Systems (SiPS), Oct 2013, Taipei, Taiwan. pp.xx-yy
- Accès au bibtex
-
- titre
- Architecture and Programming Model Support for Efficient Heterogeneous Computing on Tightly-Coupled Shared-Memory Clusters
- auteur
- Paolo Burgio, Andrea Marongiu, Robin Danilo, Philippe Coussy, Luca Benini
- article
- IEEE International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2013, Turkey. pp.XX, YY
- Accès au bibtex
-
- titre
- Rapid Design and Prototyping of a Reconfigurable Decoder Architecture for QC-LDPC Codes
- auteur
- Purushotham Murugappa Velayuthan, Vianney Lapotre, Amer Baghdadi, Michel Jezequel
- article
- RSP 2013 : 24th IEEE International Symposium on Rapid System Prototyping, Oct 2013, Montreal, Canada
- Accès au bibtex
-
- titre
- Enhance the reusability of Models and their Behavioral correctness
- auteur
- Papa Issa Diallo, Joël Champeau, Loïc Lagadec
- article
- MODELS 2013 - Workshop GEMOC, Sep 2013, Miami, United States
- Accès au bibtex
-
- titre
- GeCoS: A framework for prototyping custom hardware design flows
- auteur
- Antoine Floch, Tomofumi Yuki, Ali El-Moussawi, Antoine Morvan, Kevin Martin, Maxime Naullet, Mythri Alle, Ludovic L'Hours, Nicolas Simon, Steven Derrien, François Charot, Christophe Wolinski, Olivier Sentieys
- article
- 13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), Sep 2013, Eindhoven, Netherlands. pp.100-105, ⟨10.1109/SCAM.2013.6648190⟩
- Accès au bibtex
-
- titre
- Early exploring design alternatives of smart sensor software with Model of Computation implemented with actors
- auteur
- Jean-Philippe Schneider, Zoé Drey, Jean-Christophe Le Lann
- article
- ESUG 2013 - 21th International Smalltalk Conference, Sep 2013, Annecy, France
- Accès au bibtex
-
- titre
- Stopping-free dynamic configuration of a multi-ASIP turbo decoder
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
- article
- DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
- Accès au texte intégral et bibtex
-
- titre
- Placement de données en mémoire sans conflit pour l'optimisation du réseau d'interconnexion et du contrôleur des entrelaceurs parallèles
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- Colloque GRETSI, Sep 2013, France. pp.xx-yy
- Accès au bibtex
-
- titre
- Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
- article
- GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
- Accès au texte intégral et bibtex
-
- titre
- Dynamic Branch Prediction For High-Level Synthesis
- auteur
- Vianney Lapotre, Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Robin Danilo
- article
- International Conference on Field Programmable Logic and Applications, Sep 2013, Portugal. pp.XX-YY
- Accès au bibtex
-
- titre
- A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
- article
- ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
- Accès au texte intégral et bibtex
-
- titre
- An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
- auteur
- Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
- article
- ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of a Cosmic Ray Impact on Combinatorial Logic Circuits of an 8bit SAR ADC in 65nm CMOS Technology
- auteur
- Daniel Gomez Toro, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
- article
- MWSCAS 2013 : IEEE 56th International Midwest Symposium on Circuits and Systems, Jul 2013, Columbus, United States
- Accès au bibtex
-
- titre
- Analog Encoded Neural Network for Power Management in MPSoC
- auteur
- Benoit Larras, Bartosz Boguslawski, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin, Frédéric Heitzmann
- article
- NEWCAS 2013 : proceedings of the 11th IEEE international NEWCAS conference, Jun 2013, Paris, France. pp.1 - 4
- Accès au bibtex
-
- titre
- Efficient NISI Compensation Technique for a Low-Cost Satellite Video Receiver
- auteur
- Esteban Gabriel Cabanillas, Cyril Lahuec, Didier Lohy, Michel Jezequel
- article
- ICC 2013 : IEEE International Conference on Communications, Jun 2013, Budapest, Hungary
- Accès au bibtex
-
- titre
- ON-CHIP IMPLEMENTATION OF MEMORY MAPPING ALGORITHM TO SUPPORT FLEXIBLE DECODER ARCHITECTURE
- auteur
- Sani Awais Hussein, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy
- article
- 38th International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2013, France. pp.XX-YY
- Accès au bibtex
-
- titre
- Introducing a Data Sliding Mechanism for Cooperative Caching in Manycore Architectures
- auteur
- Safae Dahmani, Loïc Cudennec, Guy Gogniat
- article
- The 27th IEEE International Parallel & Distributed Processing Symposium, May 2013, Boston, Massachusetts, United States. pp.335-344
- Accès au texte intégral et bibtex
-
- titre
- Virtual UARTs for Reconfigurable Multi-processor Architectures
- auteur
- Pierre Bomel, Kevin Martin, Jean-Philippe Diguet
- article
- IEEE 27th International Symposium on Parallel and Distributed Processing, May 2013, United States. pp.Pages 252-259
- Accès au bibtex
-
- titre
- Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
- article
- ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
- Accès au texte intégral et bibtex
-
- titre
- Analog implementation of encoded neural networks
- auteur
- Benoit Larras, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, China. pp.1 - 4
- Accès au bibtex
-
- titre
- Récupération d'énergie pour capteurs autonomes dans un contexte BSAN : Comparaison expérimentale entre sources d'énergie radiofréquences et lumineuses
- auteur
- Véronique Kuhn, Fabrice Seguin, Cyril Lahuec, Christian Person
- article
- JNM 2013 : 18èmes Journées Nationales Microondes, May 2013, Paris, France
- Accès au bibtex
-
- titre
- A Memory Mapping Approach for Network and Controller Optimization in Parallel Interleaver Architectures
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy
- article
- 23rd edition of Great Lake symposium on VLSI, May 2013, Paris, France. pp.XX-YY
- Accès au bibtex
-
- titre
- Fast Template-based Heterogeneous MPSoC Synthesis on FPGA
- auteur
- Youenn Corre, Jean-Philippe Diguet, Loic Lagadec, Dominique Heller, Dominique Blouin
- article
- 9th Int. Symposium on Applied Reconfigurable Computing, Mar 2013, Los Angeles, United States
- Accès au bibtex
-
Other publications
- titre
- Adaptive Cooperative Caching for Many-cores systems
- auteur
- Safae Dahmani, Loïc Cudennec, Guy Gogniat
- article
- 2013, pp.89-92
- Accès au texte intégral et bibtex
-
Books
- titre
- Architecture des ordinateurs
- auteur
- Loïc Lagadec, Sébastien Pillement, Arnaud Tisserand
- article
- Loïc Lagadec and Sébastien Pillement and Arnaud Tisserand. Hermes, 32, pp.150, 2013, Technique et science informatique, 9782746245679
- Accès au bibtex
-
Patents
- titre
- Dispositif auto-configurable d'entrelacement/désentrelacement de trames de données
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- N° de brevet: 1251688. 2013
- Accès au bibtex
-
Poster communications
- titre
- Efficient dynamic configuration of a multi-ASIP turbo decoder
- auteur
- Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Noël Bazin, Jean-Philippe Diguet, Michael Hubner
- article
- GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France
- Accès au texte intégral et bibtex
-
Theses
- titre
- Toward dynamically reconfigurable high throughput multiprocessor Turbo decoder in a multimode and multi-standard context
- auteur
- Vianney Lapotre
- article
- Electronics. Université de Bretagne-Sud, 2013. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
2012
Journal articles
- titre
- Asymmetric Cache Coherency: Policy Modifications to Improve Multicore Performance
- auteur
- John Shield, Jean-Philippe Diguet, Guy Gogniat
- article
- ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (3), pp.12
- Accès au texte intégral et bibtex
-
- titre
- Constraint Programming Approach to Reconfigurable Processor Extension Generation and Application Compilation
- auteur
- Kevin Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot
- article
- ACM Transactions on Reconfigurable Technology and Systems (TRETS), 2012, 5 (2), pp.10:1--10:38. ⟨10.1145/2209285.2209289⟩
- Accès au bibtex
-
- titre
- A multi-objective adaptive immune algorithm for multi-application NoC mapping
- auteur
- Martha Johanna Sepulveda, Jiang Chau Wang, Guy Gogniat, Marius Strum
- article
- Analog Integrated Circuits and Signal Processing, 2012, 73 (3), pp.851-860. ⟨10.1007/s10470-012-9869-9⟩
- Accès au bibtex
-
- titre
- Les observatoires du trait de côte en France métropolitaine et dans les DOM
- auteur
- Serge S. Suanez, Manuel Garcin, Thomas Bulteau, Mathias Rouan, Loïc Lagadec, Laurence David
- article
- EchoGéo, 2012, 19, ⟨10.4000/echogeo.12942⟩
- Accès au texte intégral et bibtex
-
- titre
- QoSS architecture for MPSoC dynamic protection
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
- article
- International Journal of Reconfigurable Computing, 2012
- Accès au bibtex
-
Conference papers
- titre
- An analytical approach for sizing of heterogeneous multiprocessor flexible platform for iterative demapping and channel decoding
- auteur
- Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi
- article
- International Conference on ReConFigurable Computing and FPGAs (Reconfig), Dec 2012, Cancun, Mexico. ⟨10.1109/ReConFig.2012.6416728⟩
- Accès au texte intégral et bibtex
-
- titre
- From system-level models to heterogeneous embedded systems
- auteur
- Jean-Christophe Le Lann, Joël Champeau, Papa Issa Diallo, Pierre-Laurent Lagalaye
- article
- RITF 2012 - Recherche et Innovation pour les Transports du Futur, Nov 2012, Paris, France. pp.XX
- Accès au texte intégral et bibtex
-
- titre
- AN EXPERIMENTAL TOOLCHAIN BASED ON HIGH-LEVEL DATAFLOW MODELS OF COMPUTATION FOR HETEROGENEOUS MPSOC
- auteur
- Julien Heulot, Karol Desnos, Jean François Nezan, Maxime Pelcat, Mickaël Raulet, Hervé Yviquel, Pierre-Laurent Lagalaye, Jean-Christophe Le Lann
- article
- DASIP, Oct 2012, Karlsruhe, Germany
- Accès au texte intégral et bibtex
-
- titre
- HLS-based Fast Design Space Exploration of ad hoc hardware accelerators: a key tool for MPSoC Synthesis on FPGA
- auteur
- Youenn Corre, Van-Trinh Hoang, Jean-Philippe Diguet, Dominique Heller, Loic Lagadec
- article
- International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2012, Germany
- Accès au bibtex
-
- titre
- Dedicated approach to explore design space for hardware architecture of turbo decoders
- auteur
- Oscar Sanchez, Sani Awais Hussein, Saeed Ur Reehman, Cyrille Chavet, Philippe Coussy, Michel Jezequel, Christophe Jego
- article
- IEEE Workshop on Signal Processing Systems, Oct 2012, Canada. pp.XX-YY
- Accès au bibtex
-
- titre
- OpenMP-based Synergistic Parallelization and HW Acceleration for On-Chip Shared-Memory Clusters
- auteur
- Paolo Burgio, Marongiu Andrea, Luca Benini, Cyrille Chavet, Philippe Coussy, Dominique Heller
- article
- 15th Euromicro Conference on Digital System Design: Architectures, Methods & Tools, Sep 2012, Turkey. pp.XX-YY
- Accès au bibtex
-
- titre
- Modeling and Synthesis of a Dynamic and Partial Reconfiguration Controller
- auteur
- Sébastien Guillet, Florent de Lamotte, Nicolas Le Griguer, Eric Rutten, Jean-Philippe Diguet, Guy Gogniat
- article
- International Conference on Field Programmable Logic and Applications (FPL), Aug 2012, Oslo, Norway
- Accès au bibtex
-
- titre
- Lightweight reconfiguration security services for AXI-based MPSoCs
- auteur
- Pascal Cotret, Guy Gogniat, Jean-Philippe Diguet, Jérémie Crenne
- article
- FPL 2012 (22nd International Conference on Field Programmable Logic and Applications), Aug 2012, Oslo, Norway. pp.655-658, ⟨10.1109/FPL.2012.6339233⟩
- Accès au texte intégral et bibtex
-
- titre
- Designing formal reconfiguration control using UML/MARTE
- auteur
- Sébastien Guillet, Nicolas Le Griguer, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
- article
- 2012 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), Jul 2012, York, United Kingdom
- Accès au bibtex
-
- titre
- Security enhancements for FPGA-based MPSoCs: a boot-to-runtime protection flow for an embedded Linux-based system
- auteur
- Pascal Cotret, Florian Devic, Guy Gogniat, Benoit Badrignans, Lionel Torres
- article
- ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, York, United Kingdom. pp.1-8
- Accès au texte intégral et bibtex
-
- titre
- MHPM : Multi-Scale Hybrid Programming Model A flexible parallelization Medthodology
- auteur
- Nader Khammassi, Jean-Christophe Le Lann, Jean-Philippe Diguet, Alexandre Skrzyniarz
- article
- HPCC 2012, Jun 2012, Liverpool, United Kingdom
- Accès au bibtex
-
- titre
- Compensating the High Power Amplifier Nonlinearity for a DVB-S2 System
- auteur
- Esteban Gabriel Cabanillas, Didier Lohy, Cyril Lahuec, Michel Jezequel
- article
- NEWCAS 2012 : IEEE 10th International conference on New Circuits and Systems, Jun 2012, Montréal, Canada. pp.1-4
- Accès au bibtex
-
- titre
- Behavioral System Level Power Consumption Modeling of Mobile Video Streaming applications
- auteur
- Yahia Benmoussa, Jalil Boukhobza, Yassine Hadjadj-Aoul, Loïc Lagadec, Djamel Benazzouz
- article
- Colloque du GDR SoC SiP, Jun 2012, Paris, France. pp.39
- Accès au texte intégral et bibtex
-
- titre
- A Design Approach to generate optimized parallel hard- ware interleaver architecture
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- Colloque nationnale du GdR CoC-SiP, Jun 2012, France
- Accès au bibtex
-
- titre
- Automated Synergistic Parallelization and HW Accele- ration for On-Chip Shared-Memory Clusters
- auteur
- Paolo Burgio, Marongiu Andrea, Luca Benini, Cyrille Chavet, Philippe Coussy, Dominique Heller
- article
- Colloque nationnale du GdR Soc-SiP, Jun 2012, France
- Accès au bibtex
-
- titre
- Modélisation algorithmique et synthèse d'architectures assistées par model-checking
- auteur
- Jean-Christophe Le Lann, Philippe Dhaussy, Pierre-Laurent Lagalaye
- article
- CAL 2012-, May 2012, Montpellier, France
- Accès au bibtex
-
- titre
- A framework for high-level synthesis of heterogeneous MP-SoC
- auteur
- Youenn Corre, Jean-Philippe Diguet, Dominique Heller, Loic Lagadec
- article
- GLSVLSI, May 2012, Salt Lake City, United States. pp.283-286, ⟨10.1145/2206781.2206850⟩
- Accès au bibtex
-
- titre
- A Design Approach Dedicated to Network-Based and Conflict-Free Parallel Interleavers
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- GLS-VLSI conference, May 2012, United States. pp.XX-YY
- Accès au bibtex
-
- titre
- Bus-based MPSoC security through communication protection: A latency-efficient alternative
- auteur
- Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet
- article
- FCCM 2012 (20th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines), Apr 2012, Toronto, Canada. pp.200-207
- Accès au texte intégral et bibtex
-
- titre
- Hybrid-on-Chip communication architecture for dynamic MP-SoC protection
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
- article
- Symposium on Integrated Circuits and Systems Design, 2012, Brazil
- Accès au bibtex
-
- titre
- Hierarchical NoC-based security for MP-SoC dynamic protection
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Jiang Chau Wang, Marius Strum
- article
- IEEE Latin American Symposium on Circuits and Systems, 2012, Mexico
- Accès au bibtex
-
- titre
- Protecting embedded systems through system level security mechanisms: from boot-up to steady state execution
- auteur
- Guy Gogniat
- article
- Conference on Design of Circuits and Integrated Systems (DCIS), 2012, France
- Accès au bibtex
-
- titre
- Multi-objective Artificial Immune Algorithm for Security-constrained Multi-application NoC mapping
- auteur
- Martha Johanna Sepulveda, Guy Gogniat, Ricardo Pires, Cesar Pedraza, Jiang Chau Wang, Marius Strum
- article
- Genetic and evolutionary computation conference GECCO 2012, 2012, United States
- Accès au bibtex
-
Books
- titre
- Proceedings of the 2012 International Workshop on Smalltalk Technologies
- auteur
- Loic Lagadec, Alain Plantec
- article
- ACM digital library, pp.68, 2012, 978-1-4503-1897-6
- Accès au bibtex
-
Theses
- titre
- Protection of heterogeneous multiprocessor architectures in embedded systems: A decentralized approach based on hardware firewalls
- auteur
- Pascal Cotret
- article
- Electronique. Université de Bretagne Sud, 2012. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
2011
Journal articles
- titre
- Stochastic multiple-stream decoding of Cortex codes
- auteur
- Matthieu Arzel, Cyril Lahuec, Christophe Jego, Warren J. Gross, Yvain Bruned
- article
- IEEE Transactions on Signal Processing, 2011, 59 (7), pp.3486 - 3491
- Accès au texte intégral et bibtex
-
- titre
- Closed-loop based self-adaptive HW/SW embedded systems: design methodology and smart cam case study
- auteur
- Jean-Philippe Diguet, Yvan Eustache, Guy Gogniat
- article
- ACM Transactions on Embedded Computing Systems (TECS), 2011, 10 (3)
- Accès au bibtex
-
- titre
- A self-powered telemetry system to estimate the postoperative instability of a knee implant
- auteur
- Cyril Lahuec, Shaban Almouahed, Matthieu Arzel, Deepak Gupta, Chafiaa Hamitouche-Djabou, Michel Jezequel, Christian Roux, Eric Stindel
- article
- IEEE Transactions on Biomedical Engineering, 2011, 58 (3), pp.822 - 825
- Accès au bibtex
-
- titre
- Experiment Centric Teaching for Reconfigurable Processors
- auteur
- Loic Lagadec, Damien Picard, Youenn Corre, Pierre-Yves Lucas
- article
- International Journal of Reconfigurable Computing, 2011, 2011, pp.952560. ⟨10.1155/2011/952560⟩
- Accès au bibtex
-
Conference papers
- titre
- Efficient Key-Dependent Message Authentication in Reconfigurable Hardware
- auteur
- Jérémie Crenne, Pascal Cotret, Guy Gogniat, Russell Tessier, Jean-Philippe Diguet
- article
- International Conference on Field-Programmable Technology (FPT'11), Dec 2011, India
- Accès au bibtex
-
- titre
- High-Level Synthesis: On the Path to ESL Design
- auteur
- Philippe Coussy, Cyrille Chavet, Dominique Heller
- article
- International Conference on ASIC (ASICON 2011), Oct 2011, Xiamen, China
- Accès au bibtex
-
- titre
- Synthèse d'Architecture Multi-horloges pour la Conception Faible Consommation sur FPGA
- auteur
- Ghizlane Lebreton, Philippe Coussy, Martin Eric
- article
- Colloque sur le Traitement du Signal et de l'Image (GRETSI), Sep 2011, France. pp.ID424
- Accès au bibtex
-
- titre
- MDE-based FPGA Physical Design Fast Model-Driven Prototyping with Smalltalk
- auteur
- Ciprian Teodorov, Loic Lagadec
- article
- IWST 2011, Aug 2011, Edinburgh, United Kingdom. pp.1-13
- Accès au bibtex
-
- titre
- Biniou: Prototypage d'architecture reconfigurable: Volet matériel et flot d'exploitation
- auteur
- Loic Lagadec
- article
- Journée du GDR Soc-Sip, Thème architectures reconfigurables, Jul 2011, Paris, France
- Accès au bibtex
-
- titre
- An analog core computing the center of pressure in in a knee replacement prosthesis
- auteur
- Cyril Lahuec, Matthieu Arzel
- article
- IEEE NEWCAS 2011: IEEE 9th International conference on New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.105 - 108, ⟨10.1109/NEWCAS.2011.5981230⟩
- Accès au bibtex
-
- titre
- FPGA Physical-Design Automation using Model-Driven Engineering
- auteur
- Ciprian Teodorov, Damien Picard, Loic Lagadec
- article
- RECOSOC, Jun 2011, Montpellier, France. pp.1-6
- Accès au bibtex
-
- titre
- Fast Prototyping Environment for Embedded Reconfigurable Units
- auteur
- Damien Picard, Loic Lagadec
- article
- RECOSOC, Jun 2011, France. pp.1-8
- Accès au bibtex
-
- titre
- FPGA SDK for Nanoscale Architectures
- auteur
- Ciprian Teodorov, Loic Lagadec
- article
- RECOSOC, Jun 2011, Montpellier, France. pp.1-8
- Accès au bibtex
-
- titre
- Management of reconfigurable multi-standards ASIP-based receiver
- auteur
- Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Salim Haddad, Jean-Philippe Diguet, John Shield
- article
- SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2011, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Regular 2D Nasic-based Architecture and Design Space Exploration
- auteur
- Ciprian Teodorov, Pritish Narayanan, Loic Lagadec, Catherine Dezan
- article
- NANOARCH 2011, Jun 2011, San Diego, United States. pp.70-77
- Accès au bibtex
-
- titre
- Designing Parallel Interleaver architecture through Tripartite Edge Coloring Approach
- auteur
- Sani Awais Hussein, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- GDR SoC-SiP, Jun 2011, lyon, France
- Accès au bibtex
-
- titre
- A Design Approach Dedicated to Pattern-Based and Conflict-Free Parallel Memory System
- auteur
- Aroua Briki, Cyrille Chavet, Philippe Coussy, Eric Martin
- article
- GDR SoC-SiP, Jun 2011, Lyon, France
- Accès au bibtex
-
- titre
- Asymmetric Cache Coherency: Improving Multicore Performance for Non-uniform Workloads
- auteur
- John Shield, Jean-Philippe Diguet, Guy Gogniat
- article
- 6th Int. Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC'11), Jun 2011, France
- Accès au bibtex
-
- titre
- A Methodology based on Transportation Problem Modeling for Designing Parallel Interleaver Architectures
- auteur
- Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
- article
- 36th IEEE International Conference on Acoustics, Speech and Signal Processing, May 2011, Prague, Czech Republic. pp.XX-YY
- Accès au bibtex
-
- titre
- An Approach Based on Edge Coloring of Tripartite Graph for Designing Parallel LDPC Interleaver Architecture
- auteur
- Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
- article
- IEEE International Symposium on Circuits and Systems (ISCAS) 2011, May 2011, Rio de Janeiro, Brazil. pp.XX-YY
- Accès au texte intégral et bibtex
-
- titre
- A Reconfigurable Multi-core cryptoprocessor for Multi-channel Communication Systems
- auteur
- Michael Grand, Lilian Bossuet, Guy Gogniat, Bertrand Le Gal, Jean-Philippe Delahaye, Dominique Dallet
- article
- IPDPS - 25th IEEE International Parallel & Distributed Processing Symposium, May 2011, Anchorage, United States. pp.199-206
- Accès au texte intégral et bibtex
-
- titre
- Distributed security for communications and memories in a multiprocessor architecture
- auteur
- Pascal Cotret, Jérémie Crenne, Guy Gogniat, Jean-Philippe Diguet, Lubos Gaspar, Guillaume Duc
- article
- RAW 2011 (18th Reconfigurable Architectures Workshop), May 2011, Anchorage, Alaska, United States. pp.326-329, ⟨10.1109/IPDPS.2011.158⟩
- Accès au texte intégral et bibtex
-
- titre
- Experiment centric teaching for reconfigurable processor
- auteur
- Damien Picard, Loic Lagadec
- article
- Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France. pp.1-14
- Accès au bibtex
-
- titre
- Constititution d'une chaine d'outils pour une technologie reconfigurable
- auteur
- Loic Lagadec, Damien Picard
- article
- Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France
- Accès au bibtex
-
- titre
- Prédiction de Branchement dans la Synthèse de Haut Niveau
- auteur
- Vianney Lapotre, Philippe Coussy, Cyrille Chavet
- article
- SYMPosium en Architectures, Saint Malo, Mai 2011, May 2011, St Malo, France. pp.XX-YY
- Accès au bibtex
-
- titre
- Modélisation et contrôle de la reconfiguration dynamique et partielle
- auteur
- Sébastien Guillet, Florent Frizon de Lamotte, Guy Gogniat, Jean-Philippe Diguet
- article
- SympA 2011, May 2011, France
- Accès au bibtex
-
- titre
- Design and implementation of a multi-core crypto-processor for software defined radios
- auteur
- Michael Grand, Lilian Bossuet, Bertrand Le Gal, Guy Gogniat, Dominique Dallet
- article
- Symposium on Applied Reconfigurable Computing, Mar 2011, Belfast, United Kingdom. pp.29-40, ⟨10.1007/978-3-642-19475-7_5⟩
- Accès au bibtex
-
- titre
- Dynamic applications on reconfigurable systems: From UML model design to FPGAs implementation
- auteur
- Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Sébastien Guillet
- article
- Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2011, Grenoble, France. ⟨10.1109/DATE.2011.5763315⟩
- Accès au bibtex
-
Book sections
- titre
- End-to-End Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
- auteur
- Jérémie Crenne, Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
- article
- Guy Gogniat, Dragomir Milojevic, Adam Morawiec, Ahmet Erdogan. Algorithm-Architecture Matching for Signal and Image Processing, Springer, pp.171-194, 2011, Lecture Notes in Electrical Engineering
- Accès au bibtex
-
- titre
- Experiments of in-vehicle power line Communications
- auteur
- Fabienne Nouvel, Sébastien Lenours, Sébastien Pillement, Philippe Tanguy
- article
- INTECH. Advances in Vehicular Networking Technologies, , 2011, ISBN 978-953-307-241-8. ⟨10.5772/624⟩
- Accès au bibtex
-
Habilitation à diriger des recherches
- titre
- Synthèse d'architectures pour les applications de traitement du signal et de l'image
- auteur
- Philippe Coussy
- article
- Electronique. Université de Bretagne Sud, 2011
- Accès au texte intégral et bibtex
-
Books
- titre
- Proceedings of the 2011 International Workshop on Smalltalk Technologies
- auteur
- Loic Lagadec, Alain Plantec
- article
- ACM digital library, pp.112, 2011, 978-1-4503-1050-5
- Accès au bibtex
-
- titre
- Security Trends for FPGAS
- auteur
- Benoit Badrignans, Jean-Luc Danger, Guy Gogniat, Lionel Torres, Viktor Fischer
- article
- B. Badrignans, J.L. Danger, V. Fischer, G. Gogniat, L. Torres. Springer, 282 p., 2011, 978-94-007-1337-6
- Accès au bibtex
-
- titre
- Selected Papers from the International Workshop on Reconfigurable Communication-centric Systems on Chips (ReCoSoC' 2010)
- auteur
- Michael Hübner, Jurgen Becker, Loic Lagadec, Gilles Sassatelli
- article
- Hindawi publishing corporation, pp.164, 2011
- Accès au bibtex
-
2010
Journal articles
- titre
- High-Level Synthesis for Designing Multimode Architectures
- auteur
- Caaliph Andriamisaina, Philippe Coussy, Emmanuel Casseau, Cyrille Chavet
- article
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, 29 (11), pp.1736
- Accès au bibtex
-
- titre
- Scaling of analog LDPC decoders in sub-100nm CMOS processes
- auteur
- Meysam Zargham, Christian Schlegel, Jorge Ernesto Perez Chamorro, Cyril Lahuec, Fabrice Seguin, Michel Jezequel, Vincent Gaudet
- article
- Integration, the VLSI Journal, 2010, 43 (4), pp.365-377. ⟨10.1016/j.vlsi.2010.05.001⟩
- Accès au texte intégral et bibtex
-
Conference papers
- titre
- IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS)
- auteur
- Ghizlane Lebreton, Philippe Coussy, Dominique Heller, Eric Martin
- article
- IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS) 2010, Athens : Grèce (2010), Dec 2010, Greece. pp.531-534
- Accès au bibtex
-
- titre
- HCrypt: a novel concept of crypto-processor with secured key management
- auteur
- Lubos Gaspar, Viktor Fischer, Florent Bernard, Lilian Bossuet, Pascal Cotret
- article
- ReConFig 2010 (2010 International Conference on ReConFigurable Computing and FPGAs), Dec 2010, Cancùn, Mexico. pp.280-285, ⟨10.1109/FPT.2011.6132722⟩
- Accès au texte intégral et bibtex
-
- titre
- Design of Parallel LDPC Interleaver Architecture: A Bipartite Edge Coloring Approach
- auteur
- Awais Hussein Sani, Philippe Coussy, Cyrille Chavet, Eric Martin
- article
- IEEE International Conference on Electronics, Circuits, and Systems, Athens, Greece (ICECS) 2010, Dec 2010, Athens, Greece. pp.XX-YY
- Accès au texte intégral et bibtex
-
- titre
- JOG : une approche haut niveau des systèmes embarqués via Armadeus et Java
- auteur
- Olivier Reynet, Jean-Christophe Le Lann, Benoit Clement
- article
- 3èmes Journées Démonstrateurs 2010, Nov 2010, Angers, France
- Accès au texte intégral et bibtex
-
- titre
- An Introduction to the SystemC Synthesis Subset Standard
- auteur
- Philippe Coussy, Andres Takach, Michael Mcnamara, Michael Meredith
- article
- IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2010, Oct 2010, United States
- Accès au bibtex
-
- titre
- A Multi-Core AES Cryptoprocessor for Multi-Channel SDR
- auteur
- Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
- article
- Military Communication and Information Systems Conference, MCC 2010, Sep 2010, Wroclaw, Poland. pp.1-7
- Accès au bibtex
-
- titre
- Smalltalk debug lives in the Matrix
- auteur
- Loic Lagadec, Damien Picard
- article
- International Workshop on Smalltalk Technologies, Sep 2010, Barcelona, Spain. pp.1-10
- Accès au bibtex
-
- titre
- Decreasing the effects of BJT's parasitics of computing cells for analog decoders
- auteur
- Nicolas Duchaux, Cyril Lahuec, Gurvan Porhiel, Matthieu Arzel, Fabrice Seguin, Michel Jezequel
- article
- 6th Symposium of Turbo codes and related topics, Sep 2010, Brest, France. pp.261 - 264
- Accès au bibtex
-
- titre
- Ligament imbalance metrics and an autonomous measurement system for post TKA
- auteur
- Deepak Gupta, Cyril Lahuec, Matthieu Arzel, Chafiaa Hamitouche-Djabou, Michel Jezequel
- article
- 32nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Aug 2010, Buenos Aires, Argentina. pp.6417 - 6420
- Accès au bibtex
-
- titre
- Rapid application development on multi-processor reconfigurable systems
- auteur
- Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
- article
- The International Conference on Field Programmable Logic and Applications (FPL), Aug 2010, Milan, Italy. ⟨10.1109/FPL.2010.65⟩
- Accès au texte intégral et bibtex
-
- titre
- A Design Flow for Critical Embedded Systems
- auteur
- Vincent Lefftz, Jean Bertrand, Hugues Cassé, Christophe Clienti, Philippe Coussy, Laurent Maillet-Contoz, Philippe Mercier, Pierre Moreau, Laurence Pierre, Emmanuel Vaumorin
- article
- 5th IEEE Symposium on Industrial Embedded Systems (SIES 2010), Jul 2010, Trento, Italy. pp.229 - 233, ⟨10.1109/SIES.2010.5551393⟩
- Accès au bibtex
-
- titre
- Self-reconfigurable embedded systems: from modeling to implementation
- auteur
- Guy Gogniat, Jorgiano Vidal, Linfeng Ye, Jeremie Crenne, Sébastien Guillet, Florent de Lamotte, Jean-Philippe Diguet, Pierre Bomel
- article
- Engineering of Reconfigurable Systems and Algorithms, Jul 2010, Las Vegas, Nevada, United States
- Accès au bibtex
-
- titre
- A Bipartite Edge Coloring Approach for designing Parallel Interleaver architecture
- auteur
- Sani Awais Hussein, Philippe Coussy, Cyrille Chavet, Eric Martin
- article
- Colloque nationnale du GDR SoC-SiP, Jun 2010, France
- Accès au bibtex
-
- titre
- A memory Mapping Approach for Parallel Interleaver design with multiples read and write accesses
- auteur
- Cyrille Chavet, Philippe Coussy
- article
- IEEE International Symposium on Circuits and Systems (ISCAS), May 2010, Paris, France. page 3168-3171, ⟨10.1109/ISCAS.2010.5537955⟩
- Accès au texte intégral et bibtex
-
- titre
- Virtual SoPC Rad-Hardening for Satellite Applications
- auteur
- Ludovic Barrandon, Thierry Capitaine, Loïc Lagadec, Nathalie Julien, Christophe Moy, Thierry Monédière
- article
- ReCoSoC'10, May 2010, Karlsruhe, Germany. 2 p
- Accès au bibtex
-
- titre
- Predictibility of inter-components latency in a Software Communications Architecture Open Environment
- auteur
- Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
- article
- 24th IEEE International Parallel and Distributed Processing Symposium, Apr 2010, Atlanta, United States
- Accès au bibtex
-
- titre
- Static Address Generation Easing: a Design Methodology for Parallel Interleaver Architectures
- auteur
- Cyrille Chavet, Philippe Coussy, Eric Martin, Pascal Urard
- article
- 35th International Conference on Acoustics, Speech, and Signal Processing (ICASSP) 2010, Mar 2010, Dallas, United States. Pages: 1594-1597, Paper ID : 2559, ⟨10.1109/ICASSP.2010.5495535⟩
- Accès au texte intégral et bibtex
-
- titre
- Latency estimation due to middleware used in Software Defined Radio Platform
- auteur
- Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
- article
- 6th Workshop on Software Radio, Mar 2010, Karlsruhe, Germany
- Accès au bibtex
-
- titre
- UML design for dynamically reconfigurable embedded systems
- auteur
- Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
- article
- Design Automation and Test in Europe, 2010, Dresden, Germany. pp.1195
- Accès au bibtex
-
- titre
- Modeling and formal control of partial dynamic reconfiguration
- auteur
- Sébastien Guillet, Florent Frizon de Lamotte, Eric Rutten, Guy Gogniat, Jean-Philippe Diguet
- article
- Reconfig, 2010, Cancun, Mexico
- Accès au bibtex
-
- titre
- Flot ADL d'exploration et de prototypage d'architectures reconfigurables
- auteur
- Damien Picard, Loïc Lagadec
- article
- Colloque annuel du GDR Soc-Sip, 2010, Cergy pontoise, France
- Accès au bibtex
-
- titre
- Teaching reconfigurable computer : the Biniou approach
- auteur
- Loïc Lagadec, Damien Picard, Pierre-Yves Lucas
- article
- ReCoSoc 2010, 2010, Karlshrue, Germany. pp.127-134
- Accès au bibtex
-
- titre
- UML design for dynamically reconfigurable multiprocessor embedded systems,
- auteur
- Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Jean-Philippe Diguet, Philippe Soulard
- article
- Design, Automation & Test in Europe Conference & Exhibition, 2010, Germany. pp.1195 - 1200
- Accès au bibtex
-
Book sections
- titre
- Chapter 5: Hardware Security in Embedded Systems
- auteur
- Lilian Bossuet, Guy Gogniat
- article
- Editied by F. Krief. Communicating Embedded Systems, Network Applications, ISTE Ltd and John Wiley & Sons, Inc,, pp.139 - 174, 2010
- Accès au bibtex
-
- titre
- Advanced Metaheuristics for High-Level Synthesis
- auteur
- Kods Trabelsi, Marc Sevaux, Philippe Coussy, André Rossi, Kenneth Sörensen
- article
- Metaheuristics, Springer, pp.xxx-xxx, 2010
- Accès au bibtex
-
Patents
- titre
- Method for encoding data with at least two encoding steps, and at least one permutation step, corresponding endocing device, computer program and signal
- auteur
- Cyril Lahuec, Jorge Ernesto Perez Chamorro, Fabrice Seguin, Matthieu Arzel
- article
- France, N° de brevet: FR2941829A1. 7662. 2010
- Accès au bibtex
-
2009
Journal articles
- titre
- Analog decoder performance degradation due to BJT's parasitic elements
- auteur
- Nicolas Duchaux, Cyril Lahuec, Matthieu Arzel, Fabrice Seguin
- article
- IEEE Transactions on Circuits and Systems I: Regular Papers, 2009, 56 (11), pp.2402 - 2410
- Accès au texte intégral et bibtex
-
- titre
- A subthreshold PMOS analog cortex decoder for the (8,4,4) hamming code
- auteur
- Jorge Ernesto Perez Chamorro, Cyril Lahuec, Fabrice Seguin, Gérald Le Mestre, Michel Jezequel
- article
- Journal of the electronics and telecommunications research institute, 2009, 31 (5), pp.585 - 592. ⟨10.4218/etrij.09.0109.0207⟩
- Accès au bibtex
-
- titre
- Exploration and Rapid Prototyping of DSP Applications using SystemC Behavioral Simulation and High-Level Synthesis
- auteur
- F. Thabet, Philippe Coussy, Dominique Heller, E. Martin
- article
- Journal of Signal Processing Systems, 2009, 56 (2), pp.167-186. ⟨10.1007/s11265-008-0235-1⟩
- Accès au bibtex
-
- titre
- An Introduction to High-Level Synthesis
- auteur
- Philippe Coussy, Daniel D. Gajski, Andres Takach, Michael Meredith
- article
- IEEE Design & Test, 2009, 26 (4), pp.8-17
- Accès au bibtex
-
- titre
- Raising the Abstraction Level of Hardware Design
- auteur
- Philippe Coussy, Andres Takach
- article
- IEEE Design & Test, 2009, 26 (4), pp.4-6
- Accès au bibtex
-
- titre
- Discussion on the general oscillation startup condition and the Barkhausen criterion
- auteur
- He Fan, Raymond Ribas, Cyril Lahuec, Michel Jezequel
- article
- Analog Integrated Circuits and Signal Processing, 2009, 59 (2), pp.215 - 221
- Accès au bibtex
-
- titre
- Toolset for Nano Reconfigurable Computing
- auteur
- Loïc Lagadec, Bernard Pottier, Damien Picard
- article
- Micro electronics journal, 2009, 40 (4-5), pp.665-672
- Accès au bibtex
-
- titre
- Towards a Framework for Designing Applications onto hybrid nano/CMOS fabrics
- auteur
- Catherine Dezan, Ciprian Teodorov, Loïc Lagadec, Michael Leuchtenburg, Teng Wang, Pritish Narayanan, Csaba Andras Moritz
- article
- Microelectronics Journal, 2009, 40 (4-5), pp.656-664. ⟨10.1016/j.mejo.2008.07.072⟩
- Accès au bibtex
-
- titre
- Ultra-Fast Downloading of Partial Bitstreams Through Ethernet
- auteur
- Pierre Bomel, Jeremie Crenne, Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
- article
- Lecture Notes in Computer Science, 2009, vol. 5455., pp.72-83
- Accès au bibtex
-
- titre
- Multi-Level Simulation of Heterogeneous Reconfigurable Platforms
- auteur
- Damien Picard, Loïc Lagadec
- article
- International Journal of Reconfigurable Computing, 2009, 2009, pp.12. ⟨10.1155/2009/162416⟩
- Accès au bibtex
-
- titre
- A Security Approach for Off-chip Memory in embedded Microprocessor Systems
- auteur
- Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Eduardo Wanderley, Russell Tessier, Wayne Burleson
- article
- Microprocessors and Microsystems: Embedded Hardware Design , 2009, pp. 37-45
- Accès au bibtex
-
- titre
- Experiencing a Problem-Based Learning Approach for Teaching Reconfigurable Architecture Design
- auteur
- Erwan Fabiani
- article
- International Journal of Reconfigurable Computing, 2009, 2009, pp.923415. ⟨10.1155/2009/923415⟩
- Accès au bibtex
-
Conference papers
- titre
- IP reuse in an MDA MPSoPC co-design approach
- auteur
- Jorgiano Vidal, Florent Frizon de Lamotte, Gogniat Guy, Philippe Soulard, Jean-Philippe Diguet
- article
- International Conference on Microelectronics (ICM'09), Dec 2009, Morocco. pp.0
- Accès au bibtex
-
- titre
- A ligament laxity telemetry system architecture for a knee replacement prosthesis
- auteur
- Cyril Lahuec, Matthieu Arzel, Manuel Gouriou, François Gallée
- article
- 2nd International Symposium on Applied Sciences in Biomedical and Communication Technologies, Nov 2009, Bratislava, Slovakia. pp.1 - 6
- Accès au texte intégral et bibtex
-
- titre
- A Reconfigurable Crypto Sub System for the Sotware Communication Architecture
- auteur
- Michael Grand, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet, Guy Gogniat
- article
- IEEE Military Communications Conference, MILCOM 2009, Oct 2009, Boston, United States. pp.1-7
- Accès au bibtex
-
- titre
- Configuration server for self-adaptive architectures
- auteur
- Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
- article
- DASIP, Sep 2009, Sophia Antipolis, France. Paper ID: 47
- Accès au bibtex
-
- titre
- Reconfigurable MPSoCs for On-Demand Computing
- auteur
- Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat
- article
- GRETSI 2009, Sep 2009, Dijon, France. pp.1
- Accès au bibtex
-
- titre
- Hierarchical and Multiple-Clock Domain High-Level Synthesis for Low-Power Design on FPGA
- auteur
- Ghizlane Lebreton, Philippe Coussy, Dominique Heller, Eric Martin
- article
- International Conference on Field Programmable Logic and Applications, Aug 2009, Italy. pp.464-468
- Accès au bibtex
-
- titre
- Networked Self-Adaptive Systems: An Opportunity for Configuring in the Large
- auteur
- Jean-Philippe Diguet, Linfeng Ye, Yvan Eustache, Jeremy Crennes, Pierre Bomel, Guy Gogniat, Jorgiano Vidal, Florent de Lamotte
- article
- Int. Conf. on Engineering of Reconfigurable Systems and Algorithms (ERSA), Jul 2009, Las Vegas, USA, France
- Accès au bibtex
-
- titre
- VNS for high-level synthesis
- auteur
- Philippe Coussy, André Rossi, Marc Sevaux, Kenneth Sörensen, Kods Trabelsi
- article
- Proceedings of 8th Metaheuristics International Conference, MIC 2009, Jul 2009, Hamburg, Germany. pp.173:1-173:10
- Accès au bibtex
-
- titre
- Trade-off between surface, biasing current and performance of an analog turbo decoder
- auteur
- Nicolas Duchaux, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
- article
- IEEE joint conference NEWCAS-TAISA'09, June 28 - July 01, Toulouse, France, Jun 2009, Toulouse, France. pp.199 - 202
- Accès au bibtex
-
- titre
- Decoding a family of dense codes using the sum-product algorithm and subthreshold PMOS
- auteur
- Jorge Ernesto Perez Chamorro, Fabrice Seguin, Cyril Lahuec, Gérald Le Mestre, Michel Jezequel
- article
- IEEE International Symposium on Circuits And Systems, Taiwan, May 2009, Taipei, Taiwan. pp.2685 - 2688
- Accès au bibtex
-
- titre
- VNS for High Level Synthesis
- auteur
- Kods Trabelsi, Philippe Coussy, André Rossi, Marc Sevaux
- article
- Colloque national du GDR SOC-SIP, May 2009, Paris, France
- Accès au bibtex
-
- titre
- Contribution du Lab-STICC au projet FP6 Morpheus
- auteur
- Loïc Lagadec
- article
- Colloque annuel du GDR Soc-SIP, 2009, Paris, France
- Accès au bibtex
-
- titre
- A co-design approach for embedded system modeling and code generation with UML and MARTE,
- auteur
- Jorgiano Vidal, Florent de Lamotte, Guy Gogniat, Philippe Soulard, Jean-Philippe Diguet
- article
- Design, Automation & Test in Europe, 2009, France
- Accès au bibtex
-
- titre
- Software-Like Debugging Methodology for Reconfigurable Platforms
- auteur
- Loïc Lagadec, Damien Picard
- article
- International Symposium on Parallel&Distributed Processing, 2009, Rome, European Union. pp.1-4, ⟨10.1109/IPDPS.2009.5161224⟩
- Accès au bibtex
-
- titre
- Présentation de l'Université de Bretagne Occidentale / Université Européenne de Bretagne et des activités du LAB-STICC
- auteur
- Loic Lagadec
- article
- Facultés des sciencs exactes, 2009, Béjaia, Algeria
- Accès au bibtex
-
Book sections
- titre
- Spatial Design
- auteur
- Loïc Lagadec, Damien Picard, Bernard Pottier
- article
- Voros, Nikolaos and Rosti, Alberto and Hübner, Michael (Eds.). Dynamic System Reconfiguration in Heterogeneous Platforms. The MORPHEUS Approach, Springer, chapter 13, 2009, Lecture Notes in Electrical Engineering, Vol. 40, ⟨10.1007/978-90-481-2427-5⟩
- Accès au bibtex
-
Books
- titre
- IEEE Design and Test of Computer, Special Issue on High-Level Synthesis
- auteur
- Philippe Coussy, Andres Takach
- article
- IEEE, pp.104, 2009
- Accès au bibtex
-
Patents
- titre
- Apparatus for data interleaving algorithm
- auteur
- Cyrille Chavet, Philippe Coussy, Eric Martin, Pascal Urard
- article
- France, Patent n° : 0754793. 2009, pp.10
- Accès au bibtex
-
2008
Journal articles
- titre
- A Priori Implementation Effort Estimation for HW Design Based on Independent-Path Analysis
- auteur
- Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
- article
- EURASIP Journal on Embedded Systems, 2008
- Accès au bibtex
-
- titre
- A Networked, Lightweight and Partially Reconfigurable Platform
- auteur
- Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
- article
- 4th International Workshop, ARC 2008, London, UK, LNCS 4943, 2008, LNCS 4943 (ISSN 0302-9743, ISBN-10 3-540-78609-0, ISBN-13 978-3-540-78609-2), pp.318-323
- Accès au texte intégral et bibtex
-
- titre
- Reconfigurable hardware for high-security high-performance embedded systems : The SAFES perspective
- auteur
- Guy Gogniat, Tim Wolf, Wayne Burleson, Jean-Philippe Diguet, Lilian Bossuet, Romain Vaslin
- article
- IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, 16 (2)
- Accès au bibtex
-
- titre
- Multiple Word-Length High-Level Synthesis
- auteur
- Philippe Coussy, Ghizlane Lebreton, Dominique Heller
- article
- EURASIP Journal on Embedded Systems, 2008, pp.xx-yy. ⟨10.1155/2008/916867⟩
- Accès au bibtex
-
Conference papers
- titre
- A Comparative Study of Two Software Defined Radio Environments
- auteur
- Gael Abgrall, Frederic Le Roy, Jean-Philippe Delahaye, Jean-Philippe Diguet, Guy Gogniat
- article
- SDR Forum Technical Conference, Oct 2008, Washington, United States
- Accès au bibtex
-
- titre
- Effect of BJT's parasitics on computing cells for analog decoders
- auteur
- Nicolas Duchaux, Cyril Lahuec, Fabrice Seguin, Matthieu Arzel, Michel Jezequel
- article
- NEWCAS-TAISA, 22-25 juin 2008, Montréal, Québec, Canada, Jun 2008, Montréal, Canada
- Accès au texte intégral et bibtex
-
- titre
- On the Way to Design Computing Architectures with Emerging Nanoscale Technologies
- auteur
- Ciprian Teodorov, Catherine Dezan, Loïc Lagadec
- article
- Colloque annuel GDR-SOC-Sip, Jun 2008, Paris, France
- Accès au bibtex
-
- titre
- Experiencing a problem-based learning approach for teaching reconfigurable architecture design
- auteur
- Erwan Fabiani
- article
- 3rd International Workshop on Reconfigurable Computing Education, Apr 2008, Montpellier, France
- Accès au bibtex
-
- titre
- Design Methodology for Efficient Space Time AdapteR
- auteur
- Cyrille Chavet, Philippe Coussy, Pascal Urard, Eric Martin
- article
- PhD forum, in Design, Automation and Test in Europe (DATE), Mar 2008, Munich, Germany
- Accès au bibtex
-
- titre
- Design space exploration tool for Space-Time AdapteRs
- auteur
- Cyrille Chavet, Philippe Coussy, Pascal Urard, Eric Martin
- article
- Workshop The new wave of High Level Synthesis, in Design, Automation and Test in Europe (DATE), Mar 2008, Munich, Germany
- Accès au bibtex
-
- titre
- Using MARTE in a Co-Design Methodology
- auteur
- Ali Koudri, Denis Aulagnier, Didier Vojtisek, Philippe Soulard, Christophe Moy, Joël Champeau, Jorgiano Vidal, Jean-Christophe Le Lann
- article
- MARTE UML profile workshop co-located with DATE'08, Mar 2008, Munich, Germany. 6 p
- Accès au texte intégral et bibtex
-
- titre
- Ordonnancement et Assignation en Synthèse de Haut Niveau
- auteur
- Kods Trabelsi, Philippe Coussy, André Rossi, Marc Sevaux
- article
- ROADeF, 9me congrès de la Société Française de Recherche Opérationnelle et d'Aide à la Décision, Feb 2008, Clermont-Ferrand, France
- Accès au bibtex
-
- titre
- Memory Security Management for Reconfigurable embedded Systems
- auteur
- Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet, Russell Tessier, Deepak Unnikrishnan, Kris Gaj
- article
- International Conference on Field-Programmable Technology 2008, 2008, Taiwan
- Accès au bibtex
-
- titre
- MADEO : Object Oriented Programming, Modelization, and Tools for FPGAS
- auteur
- Loïc Lagadec
- article
- European Smalltalk User Group, 2008, Amsterdam, Netherlands
- Accès au bibtex
-
- titre
- Spatial design backend : CDFG mapping on eFPGA and DREAM IPs
- auteur
- Loïc Lagadec, Damien Picard
- article
- AMWAS, 2008, Lugano, Switzerland
- Accès au bibtex
-
- titre
- Multi-Level Simulation of Heterogeneous Reconfigurable Platforms
- auteur
- Damien Picard, Loïc Lagadec
- article
- ReCoSoC, 2008, Barcelone, France
- Accès au bibtex
-
- titre
- Building CAD tools as an Efficient Learning for both EE and CS students
- auteur
- Loïc Lagadec
- article
- Reconfigurable Computing Education, 2008, Montpellier, France
- Accès au bibtex
-
- titre
- Chaîne de programmation pour architecture hétérogène reconfigurable
- auteur
- Loïc Lagadec, Jalil Boukhobza, Alain Plantec
- article
- SYMPosium en Architectures nouvelles de machines, 2008, Fribourgh, Suisse
- Accès au bibtex
-
- titre
- A Method for A Priori Implementation Effort Estimation for Hardware Design
- auteur
- Rasmus Abildgren, Jean-Philippe Diguet, Pierre Bomel, Guy Gogniat, Peter Koch, Yannick Le Moullec
- article
- Intl. Consortium for Educational Development, 2008, Malaysia
- Accès au bibtex
-
- titre
- System level design space exploration for multiprocessor system on chip
- auteur
- Issam Maalej, Guy Gogniat, Jean Luc Philippe, Mohamed Abid
- article
- IEEE Computer Society Annual Symposium on VLSI, 2008, France
- Accès au bibtex
-
Book sections
- titre
- GAUT: A High-Level Synthesis Tool for DSP Applications
- auteur
- Eric Senn, Philippe Coussy, Cyrille Chavet, Pierre Bomel, Dominique Heller
- article
- High-Level Synthesis : From Algorithm to Digital Circuit, Springer, 2008
- Accès au bibtex
-
- titre
- ∂ GAUT: A High-Level Synthesis Tool for DSP applications
- auteur
- Philippe Coussy, Cyrille Chavet, Pierre Bomel, Dominique Heller, Eric Senn, E. Martin
- article
- Philippe Coussy & Adam Morawiec. High-Level Synthesis: From Algorithm to Digital Circuits, Springer, pp.147-170, 2008
- Accès au bibtex
-
- titre
- Chapitre 5 : La sécurité matérielle des systèmes embarqués,
- auteur
- Lilian Bossuet, Guy Gogniat
- article
- Les systèmes embarqués communicants : mobilité, sécurité, autonomie (Traité IC2, série réseaux et télécoms), sous la direction de F. Krief, Hermes - Lavoisier, p. 143-173, 2008
- Accès au bibtex
-
Other publications
- titre
- Rapport et bilan pour le projet VALMADEO pour l'étape 3
- auteur
- Catherine Dezan, Thierry Goubier, Ciprian Teodorov, Samar Yazdani, Loïc Lagadec, Erwan Fabiani, Loïc Le Dréau, Loïc Gueguen, Christophe Jego, Bernard Pottier
- article
- 2008
- Accès au bibtex
-
Books
- titre
- High-Level Synthesis: From Algorithm to Digital Circuits
- auteur
- Philippe Coussy, Adam Morawiec
- article
- Springer, pp.297, 2008
- Accès au bibtex
-
Patents
- titre
- Procédé de reconfiguration d'un ensemble de composants d'un circuit électronique, système de reconfiguration et procédé de transmission de données correspondants
- auteur
- Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat
- article
- France, N° de brevet: FR 0850641. 2008
- Accès au bibtex
-
Preprints, Working Papers, ...
- titre
- Bitstreams Repository Hierarchy for FPGA Partially Reconfigurable Systems
- auteur
- Pierre Bomel, Jean-Philippe Diguet, Guy Gogniat, Jeremie Crenne
- article
- 2008
- Accès au texte intégral et bibtex
-
- titre
- Une Plate-Forme Légère Reconfigurable Partiellement à Distance
- auteur
- Pierre Bomel, Guy Gogniat, Jean-Philippe Diguet
- article
- 2008
- Accès au texte intégral et bibtex
-