Publications HAL du labo/EPI Lab-STICC

2024

Journal articles

titre
Overlap-Save FBMC receivers for massive MIMO systems
auteur
Fatima Hamdar, Jeremy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Transactions on Wireless Communications, 2024, pp.1-1. ⟨10.1109/TWC.2024.3364542⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04466280/file/OS_based_receivers_in_the_context_of_massive_MIMO_Journal.pdf BibTex
titre
Unravelling individual rhythmic abilities using machine learning
auteur
Simone Dalla Bella, Stefan Janaqi, Charles-Etienne Benoit, Nicolas Farrugia, Valentin Bégel, Laura Verga, Eleanor Harding, Sonja Kotz
article
Scientific Reports, 2024, 14 (1), pp.1135. ⟨10.1038/s41598-024-51257-7⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04164424/file/rythmic-ability.pdf BibTex

Conference papers

titre
Photographier et phonographier : garder trace des paysages
auteur
Samuel Challéat, Nicolas Farrugia, Rémi Bénos, Léa Mariton, Johan Milian, Magalie Franchomme, Matthieu Renaud, Kévin Barré, Jérémy S P Froidevaux, Amandine Gasc, Nicolas Pajusco, L. Godet, Sylvain Morvan
article
Séminaire Sociétés Images & Sons, ENSFEA; Université Toulouse - Jean Jaurès; UMR5193 LISST (équipe Dynamiques Rurales), Jan 2024, Toulouse, France
Accès au bibtex
BibTex

Reports

titre
OUTRENOIR, une exploration des paysages sonores nocturnes à Cilaos et au Tévelave
auteur
Rémi Bénos, Samuel Challéat, Nicolas Farrugia, Magalie Franchomme, Léa Mariton, Johan Milian, Matthieu Renaud, Charles Ronzani
article
Observatoire de l'environnement nocturne; CNRS; Mission pour les initiatives transverses et interdisciplinaires (MITI). 2024
Accès au texte intégral et bibtex
https://cnrs.hal.science/hal-04531481/file/MITI-CNRS-programme-Outrenoir-retour-participants-Cilaos.pdf BibTex
titre
Rapport scientifique et financier de l'année 1 du projet OUTRENOIR : participation des populations habitantes à la caractérisation des socio-écosystèmes nocturnes des territoires réunionnais
auteur
Samuel Challéat, Rémi Bénos, Nicolas Farrugia, Johan Milian, Kévin Barré, Matthieu Renaud, Sylvain Morvan
article
CNRS. 2024
Accès au texte intégral et bibtex
https://cnrs.hal.science/hal-04392509/file/SCIENCES-PARTICIPATIVES-2022.a1_Rapport_Challe%CC%81at.pdf BibTex

2023

Journal articles

titre
FBMC OQAM Transceiver for Future Wireless Communication Systems: Inherent Potentials, Recent advances, Research Challenges
auteur
Fatima Hamdar, Camila Maria Gabriel Gussen, Jeremy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Open Journal of Vehicular Technology, 2023, 4, ⟨10.1109/OJVT.2023.3303034⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04178607/file/FBMC_OQAM_Transceiver_for_Future_Wireless_Communication_Systems.pdf BibTex
titre
Construire et travailler un objet de recherche en interdisciplinarité : l’exemple de l’environnement nocturne à La Réunion
auteur
Samuel Challéat, Dany Lapostolle, Johan Milian, Rémi Bénos, Kévin Barré, Nicolas Farrugia, Matthieu Renaud, Marion Maisonobe, Sylvain Morvan, Charles Ronzani, Hélène Foglar, David Loose
article
Tracés : Revue de Sciences Humaines, 2023, 22, pp.23-45. ⟨10.4000/traces.14581⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04160274/file/traces-14581%20%281%29.pdf BibTex

Conference papers

titre
Habiter les paysages nocturnes dans les Hauts de La Réunion. Une expérimentation fondée sur l’approche mésologique de l’environnement nocturne
auteur
Samuel Challéat, Matthieu Renaud, Kévin Barré, Rémi Bénos, Christian Brouat, Mathieu Delsaut, Nicolas Farrugia, Magalie Franchomme, Hélène Foglar, Jérémy S P Froidevaux, L. Godet, Adrien Guetté, David Loose, Marion Maisonobe, Léa Mariton, Johann Michalczack, Johan Milian, Béatrice Morel, Sylvain Morvan, Martin Riethmuller, Charles Ronzani
article
Pratiquer l'océan Indien la nuit, Université de La Réunion, Nov 2023, Saint-Denis (La Réunion), France
Accès au bibtex
BibTex
titre
Paysages sonores d'un monde confiné : le projet Silent Cities
auteur
Nicolas Farrugia, Samuel Challéat, Amandine Gasc, Jérémy S P Froidevaux, Nicolas Pajusco
article
On Air : cartographier et répertorier le monde et les paysages sonores, de l'acoustique à l'écoacoustique, Consortium Sound of Life (SoL), Nov 2023, Paris, France
Accès au bibtex
BibTex
titre
PRETRAINING RESPIRATORY SOUND REPRESENTATIONS USING METADATA AND CONTRASTIVE LEARNING
auteur
Ilyass Moummad, Nicolas Farrugia
article
IEEE Workshop on Applications of Signal Processing to Audio and Acoustics, Oct 2023, New Paltz, United States
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04165413/file/WASPAA_HAL.pdf BibTex
titre
Pretraining Representations for Bioacoustic Few-Shot Detection using Supervised Contrastive Learning
auteur
Ilyass Moummad, Romain Serizel, Nicolas Farrugia
article
Detection and Classification of Acoustic Scenes and Events 2023, Sep 2023, TAMPERE, Finland
Accès au texte intégral et bibtex
https://imt.hal.science/hal-04383609/file/DCASE2023Workshop_Moummad_63.pdf BibTex
titre
Novel transmission technique based on intentional overlapping to improve spectral efficiency of multicarrier systems
auteur
Fatima Hamdar, Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
PIMRC 2023: IEEE 32nd Annual International Symposium on Personal, Indoor and Mobile Radio Communications, Sep 2023, Toronto, Canada. ⟨10.1109/PIMRC56721.2023.10293842⟩
Accès au texte intégral et bibtex
https://hal.science/hal-04168067/file/Overlap_Save_FBMC_receiver_for_novel_overlapping_transmission_scheme.pdf BibTex
titre
Interpolation spatiale de signaux sur graphes avec une application pour la fusion d'ensembles de données EEG de diverses dimensions
auteur
Yassine El Ouahidi, Lucas Drumetz, Giulia Lioi, Nicolas Farrugia, Bastien Pasdeloup, Vincent Gripon
article
GRETSI'23: XXIXème Colloque Francophone de Traitement du Signal et des Images, Aug 2023, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-04507161/file/Gretsi_2023_interpolation_spatiale_elouahidi.pdf BibTex
titre
Régularisation entropique des vecteurs de caractéristiques d'un réseau de neurones pour une meilleur transférabilité
auteur
Raphael Baena, Lucas Drumetz, Vincent Gripon
article
GRETSI 2023, Groupe de Recherche et d'Etudes de Traitement du Signal et des Images GRETSI, Aug 2023, Grenoble (FRANCE), France
Accès au texte intégral et bibtex
https://imt.hal.science/hal-04058379/file/GRETSI_FIERCE%20%283%29.pdf BibTex
titre
L’Observatoire de l’environnement nocturne du CNRS
auteur
Samuel Challéat, Johan Milian, Kévin Barré, Rémi Bénos, Christian Brouat, Mathieu Delsaut, Nicolas Farrugia, Magalie Franchomme, Hélène Foglar, Jérémy S P Froidevaux, L. Godet, Adrien Guetté, David Loose, Marion Maisonobe, Léa Mariton, Johann Michalczack, Béatrice Morel, Sylvain Morvan, Matthieu Renaud, Martin Riethmuller, Charles Ronzani
article
Webinaire de la Fédération des Parcs naturels régionaux de France, Fédération des Parcs naturels régionaux de France, Jun 2023, À distance, France
Accès au bibtex
BibTex
titre
Trainable dynamical estimation of above-surface wind speed using underwater passive acoustics
auteur
Matteo Zambra, Dorian Cazau, Nicolas Farrugia, Alexandre Gensse, Sara Pensieri, Roberto Bozzano, Ronan Fablet
article
OCEANS 2023 - Limerick, Jun 2023, Limerick, Ireland. pp.1-6, ⟨10.1109/OCEANSLimerick52467.2023.10244715⟩
Accès au bibtex
BibTex
titre
Spatial Graph Signal Interpolation with an Application for Merging BCI Datasets with Various Dimensionalities
auteur
Yassine El Ouahidi, Lucas Drumetz, Giulia Lioi, Nicolas Farrugia, Bastien Pasdeloup, Vincent Gripon
article
ICASSP 2023: IEEE International Conference on Acoustics, Speech and Signal Processing, Jun 2023, Rhodes Island, Greece. pp.1-5, ⟨10.1109/ICASSP49357.2023.10097201⟩
Accès au bibtex
https://arxiv.org/pdf/2211.02624 BibTex
titre
Entropy Based Feature Regularization to Improve Transferability of Deep Learning Models
auteur
Raphael Baena, Lucas Drumetz, Vincent Gripon
article
ICASSP 2023: IEEE International Conference on Acoustics, Speech and Signal Processing, Jun 2023, Rhodes Island, Greece. pp.1-5, ⟨10.1109/ICASSP49357.2023.10095195⟩
Accès au bibtex
BibTex
titre
L'Observatoire réunionnais de l'environnement nocturne. Discussion autour d’une démarche collective et transdisciplinaire
auteur
Samuel Challéat, Johan Milian, Kévin Barré, Rémi Bénos, Mathieu Delsaut, Nicolas Farrugia, Magalie Franchomme, Hélène Foglar, Jérémy S P Froidevaux, L. Godet, Adrien Guetté, Patrick Jeanty, David Loose, Marion Maisonobe, Johann Michalczack, Béatrice Morel, Sylvain Morvan, Matthieu Renaud, Martin Riethmuller, Charles Ronzani
article
Séminaire de la Fédération de Recherche "Environnement et Société", Fédération de Recherche "Environnement et Société" (FR CNRS 3041), May 2023, Corte, France
Accès au bibtex
BibTex
titre
Explorer les systèmes socio-écologiques nocturnes par les paysages sonores
auteur
Samuel Challéat, Johan Milian, Nicolas Farrugia, Rémi Bénos, Kévin Barré, Matthieu Renaud, Sylvain Morvan
article
Journée de restitution de l’appel à projets 2022 “Sciences participatives en situation d’interdisciplinarité”, Mission pour les initiatives transverses et interdisciplinaires (MITI) du CNRS, Jan 2023, Paris, France
Accès au bibtex
BibTex

Poster communications

titre
Explorer les systèmes socio-écologiques nocturnes par les paysages sonores
auteur
Samuel Challéat, Johan Milian, Nicolas Farrugia, Rémi Bénos, Kévin Barré, Matthieu Renaud, Sylvain Morvan
article
Journée de restitution de l’appel à projets 2022 “Sciences participatives en situation d’interdisciplinarité”, Jan 2023, Paris, France
Accès au texte intégral et bibtex
https://cnrs.hal.science/hal-04378738/file/Poster_OUTRENOIR_MITI_anne%CC%81e_1_A0.pdf BibTex

Reports

titre
Supervised contrastive learning for pre-training bioacoustic few-shot systems
auteur
Ilyass Moummad, Romain Serizel, Nicolas Farrugia
article
IMT Atlantique; LORIA. 2023
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04165306/file/DCASE2023_Moummad_IMT_t5%20%281%29.pdf BibTex

Preprints, Working Papers, ...

titre
The nocturnal social-ecological systems of Réunion Island: challenges of knowledge and perspectives for action. Pre-proposal for a project in response to the AAPG2024 of the ANR.
auteur
Samuel Challéat, Johan Milian, Matthieu Renaud, L. Godet, Rémi Bénos, Magalie Franchomme, Marion Maisonobe, Igor Babou, Caroline Parvaty Marimoutou, Léa Tardieu, Jérémy S P Froidevaux, Matthieu Le Corre, Adrien Guetté, Nicolas Farrugia, Béatrice Morel, Christian Brouat, Mathieu Delsaut, Sylvain Morvan
article
2023
Accès au texte intégral et bibtex
https://cnrs.hal.science/hal-04376952/file/aapg2024_pre-proposal_FENOIR_Challe%CC%81at_et_al.pdf BibTex
titre
Neural representations of harmonic function in musical imagery
auteur
Tudor Popescu, Nicolas Farrugia, Hannes Ruge, Oren Boneh, Fernando Bravo, Xing Tian, Martin Rohrmeier
article
2023
Accès au bibtex
BibTex

2022

Journal articles

titre
How feasible is end-to-end deep learning for clinical neuroimaging?
auteur
Nicolas Farrugia
article
Journal de Neuroradiologie / Journal of Neuroradiology, 2022, 49 (6), pp.399-400. ⟨10.1016/j.neurad.2022.10.002⟩
Accès au bibtex
BibTex
titre
Deep learning models of cognitive processes constrained by human brain connectomes
auteur
Yu Zhang, Nicolas Farrugia, Pierre Bellec
article
Medical Image Analysis, 2022, 80, pp.102507. ⟨10.1016/j.media.2022.102507⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03702201/file/2021.10.12.464145v2.full.pdf BibTex
titre
MOL-based In-Memory Computing of Binary Neural Networks
auteur
Khaled Alhaj Ali, Amer Baghdadi, Elsa Dupraz, Mathieu Léonardon, Mostafa Rizk, Jean-Philippe Diguet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2022, 30 (7), ⟨10.1109/TVLSI.2022.3163233⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03659297/file/TVLSI_arXiv_version.pdf BibTex
titre
Au sein du CNRS, un Observatoire de l’environnement nocturne pour accompagner la territorialisation de la lutte contre la pollution lumineuse
auteur
Samuel Challéat, Kévin Barré, Dany Lapostolle, Johan Milian, Rémi Bénos, Hélène Foglar, Charles Ronzani, Nicolas Farrugia, Marion Maisonobe, Héloïse Prévost, Sylvain Morvan, Matthieu Renaud
article
Les Cahiers Clairaut, 2022, 178, pp.27-33
Accès au texte intégral et bibtex
https://hal.science/hal-03748363/file/clea_cahiersclairaut_178_10.pdf BibTex
titre
A Literature Survey on Algorithms and Hardware Architectures of Max-Log-MAP Demapping
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jézéquel
article
Journal of Circuits, Systems, and Computers, 2022, 31 (03), ⟨10.1142/S021812662230001X⟩
Accès au bibtex
BibTex
titre
Latency and Complexity Analysis of Flexible Semi-Parallel Decoding Architectures for 5G NR Polar Codes
auteur
Oualid Mouhoubi, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Access, 2022, 10, pp.113980-113994. ⟨10.1109/ACCESS.2022.3216292⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04198351/file/Latency_and_Complexity_Analysis_of_Flexible_Semi-Parallel_Decoding_Architectures_for_5G_NR_Polar_Codes.pdf BibTex

Conference papers

titre
Marine Object Detection Based on Top-View Scenes Using Deep Learning on Edge Devices
auteur
J. Sharafaldeen, M. Rizk, D. Heller, Amer Baghdadi, J -Ph. Diguet
article
IC2SPM 2022: International Conference on Smart Systems and Power Management, Nov 2022, Beirut, Lebanon. pp.35-40, ⟨10.1109/IC2SPM56638.2022.9988928⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-04198386/file/MTV_IC2SPM2022.pdf BibTex
titre
Optimization of Deep-Learning Detection of Humans in Marine Environment on Edge Devices
auteur
Mostafa Rizk, Dominique Heller, Ronan Douguet, Amer Baghdadi, Jean-Philippe Diguet
article
ICECS 2022: IEEE International Conference on Electronics Circuits and Systems, Oct 2022, Glasgow, United Kingdom. ⟨10.1109/ICECS202256217.2022.9970780⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03789216/file/ICECS2022_Final16092022.pdf BibTex
titre
Enhancing embedded AI-based object detection using multi-view approach
auteur
Zijie Ning, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet
article
RSP 2022: IEEE International Workshop on Rapid System Prototyping, part of Embedded Systems Week (ESWEEK), Oct 2022, Shanghai, China. ⟨10.1109/RSP57251.2022.10039026⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03836472/file/Enhancing_embedded_AI_based_object_detection_using_multi_view_approach_Final.pdf BibTex
titre
Marine Objects Detection Using Deep Learning on Embedded Edge Devices
auteur
Dominique Heller, Mostafa Rizk, Ronan Douguet, Amer Baghdadi, Jean-Philippe Diguet
article
RSP 2022: IEEE International Workshop on Rapid System Prototyping, part of Embedded Systems Week (ESWEEK), Oct 2022, Shanghai (virtual), China. ⟨10.1109/RSP57251.2022.10039025⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03836444/file/RSP2022_Final.pdf BibTex
titre
Potentiel de l’éco-acoustique pour l’étude des rythmes et paysages d’un socio-écosystème de montagne : le cas exploratoire de la vallée du Vicdessos
auteur
Samuel Challéat, Nicolas Farrugia, Johan Milian, Rémi Bénos, Clélia Sirami, Kévin Barré, Charles Ronzani, Hélène Foglar, Dany Lapostolle, Nicolas Pajusco
article
Séminaire annuel de l’OHM Pyrénées-Haut Vicdessos, OHM Pyrénées-Haut Vicdessos; LabEx DRIIHM, Sep 2022, Toulouse, France. 24p
Accès au bibtex
BibTex
titre
Un Mixup Local pour empêcher les intrusions de variétés
auteur
Raphael Baena, Lucas Drumetz, Vincent Gripon
article
GRETSI 2022 : 28ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2022, Nancy, France
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03693098/file/baena717%20%281%29.pdf BibTex
titre
Élagage de réseaux de neurones convolutifs sur graphes pour la sélection de fréquences significatives pour le décodage d'IRMf
auteur
Yassine El Ouahidi, Hugo Tessier, Giulia Lioi, Nicolas Farrugia, Bastien Pasdeloup, Vincent Gripon
article
GRETSI 2022 : 28ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2022, Nancy, France
Accès au texte intégral et bibtex
https://hal.science/hal-03696640/file/HAL_Yassine_Elouahidi_elagage_gcn_fmri_brain_decoding.pdf BibTex
titre
A Local Mixup to Prevent Manifold Intrusion
auteur
Raphael Baena, Lucas Drumetz, Vincent Gripon
article
EUSIPCO 2022: 30th European Signal Processing Conference, Aug 2022, Belgrade, Serbia. ⟨10.23919/EUSIPCO55093.2022.9909890⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03683702/file/20220214042103_872102_1084.pdf BibTex
titre
Pruning Graph Convolutional Networks to Select Meaningful Graph Frequencies for FMRI Decoding
auteur
Yassine El Ouahidi, Hugo Tessier, Giulia Lioi, Nicolas Farrugia, Bastien Pasdeloup, Vincent Gripon
article
EUSIPCO 2022: 30th European Signal Processing Conference, Aug 2022, Belgrade, Serbia. pp.937-941, ⟨10.23919/EUSIPCO55093.2022.9909966⟩
Accès au bibtex
https://arxiv.org/pdf/2203.04455 BibTex
titre
The nocturnal environment, a boundary object to structure an interdisciplinary research field
auteur
Samuel Challéat, Johan Milian, Dany Lapostolle, Rémi Bénos, Kévin Barré, Hélène Foglar, Charles Ronzani, Héloïse Prévost, Marion Maisonobe, Nicolas Farrugia
article
Congrès de l’Union géographique internationale (UGI | IGU), Session “Considering, protecting and promoting the night-time environment: a new interface of tensions and projects between cities and the countryside” (sous la dir. de Milian, J., & Challéat, S.), Jul 2022, Paris, France
Accès au bibtex
BibTex
titre
Silent·Cities, un projet collaboratif pour l’exploration des paysages sonores d’un monde confiné
auteur
Samuel Challéat, Nicolas Farrugia, Jérémy Froidevaux, Amandine Gasc, Nicolas Pajusco
article
Congrès de l’Union géographique internationale (UGI | IGU), Session “Écouter et entendre le territoire en temps de changements : que nous disent les sons des dynamiques et des interactions entre sociétés et environnement ?” (sous la dir. de Sourdril, A., & Barbaro, L.), Jul 2022, Paris, France
Accès au bibtex
BibTex
titre
Real-Time Human Detection in Marine Environment Using Deep Learning on Edge Devices
auteur
Mostafa Rizk, Amer Baghdadi, J-Ph Diguet
article
GDR SoC2: Groupe de recherche System on Chip – Systèmes embarqués et Objets Connectés, Colloque National, Jun 2022, Strasbourg, France
Accès au texte intégral et bibtex
https://hal.science/hal-03698760/file/GDRSoC2022.pdf BibTex
titre
Low Latency Architecture Design for Decoding 5G NR Polar Codes
auteur
Oualid Mouhoubi, Charbel Abdel Nour, Amer Baghdadi
article
DASIP 2022: Workshop on Design and Architectures for Signal and Image Processing, Jun 2022, Budapest, Hungary. pp.16-28, ⟨10.1007/978-3-031-12748-9_2⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03707939/file/DASIP22_paper_18.pdf BibTex
titre
Overlap-Save FBMC receivers for massive MIMO systems under channel impairments
auteur
Fatima Hamdar, Jeremy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
VTC2022-Spring: IEEE 95th Vehicular Technology Conference, Jun 2022, helsinki, Finland. ⟨10.1109/VTC2022-Spring54318.2022.9860538⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03608993/file/OS_based_receivers_in_the_context_of_massive_MIMO_Final.pdf BibTex
titre
On the Latency and Complexity of Semi-Parallel Decoding Architectures for 5G NR Polar Codes
auteur
Oualid Mouhoubi, Charbel Abdel Nour, Amer Baghdadi
article
ISIVC 2022: 11th International Symposium on Signal, Image, Video and Communications, May 2022, El Jadida, Morocco. ⟨10.1109/ISIVC54825.2022.9800721⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03608938/file/ISIVC22_paper_79.pdf BibTex

Habilitation à diriger des recherches

titre
Interdisciplinary approaches for Neurosciences, Artificial Intelligence and Sound
auteur
Nicolas Farrugia
article
Computer Vision and Pattern Recognition [cs.CV]. Université de Brest, 2022
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/tel-03815058/file/HdR_Manuscript.pdf BibTex

Poster communications

titre
Key Attack Strategies Against Black-Box DNNs
auteur
Yassine Hmamouche, Yehya Nasser, Amer Baghdadi, Marc-Oliver Pahl
article
GDR-SOC2, Jun 2022, Strasbourg, France. , GDR SOC2
Accès au texte intégral et bibtex
https://hal.science/hal-03690454/file/Paper.pdf BibTex

Reports

titre
Programme-cadre FENOIR (Figurations de l’environnement nocturne des territoires réunionnais). Rapport d’étape pour le Parc national de La Réunion
auteur
Samuel Challéat, Johan Milian, Dany Lapostolle, Rémi Bénos, Charles Ronzani, Hélène Foglar, Kévin Barré, Héloïse Prévost, Marion Maisonobe, Matthieu Renaud, Sylvain Morvan, Nicolas Farrugia
article
[Rapport de recherche] Parc national de La Réunion; CNRS; Observatoire de l'environnement nocturne. 2022
Accès au texte intégral et bibtex
https://hal.science/hal-03747762/file/Rapport_e%CC%81tape_FENOIR_Parc_national_La_Re%CC%81union_CNRS_Observatoire_environnement_nocturne_juin_2022.pdf BibTex

Preprints, Working Papers, ...

titre
L’Observatoire de l’environnement nocturne de La Réunion, un dispositif partenarial de recherche-action territorialisée
auteur
Samuel Challéat, Johan Milian, Dany Lapostolle, Lisa Olivette, Héloïse Prévost, Kévin Barré, Rémi Bénos, Marion Maisonobe, Charles Ronzani, Hélène Foglar, David Loose, Matthieu Renaud, Patrick Jeanty, Béatrice Morel, Mathieu Delsaut, Sylvain Morvan, Nicolas Farrugia, Nicolas Pajusco, Anthony Dofal, Jérémy Froidevaux, L. Godet
article
2022
Accès au bibtex
BibTex
titre
Projet OUTRENOIR : Participation des populations habitantes à la caractérisation des socio-écosystèmes nocturnes des territoires réunionnais
auteur
Samuel Challéat, Johan Milian, Rémi Bénos, Nicolas Farrugia, Kévin Barré, Matthieu Renaud, Dany Lapostolle
article
2022
Accès au texte intégral et bibtex
https://cnrs.hal.science/hal-04392494/file/SCIENCESPARTICIPATIVES2022_Formulaire_Challe%CC%81at.pdf BibTex

2021

Journal articles

titre
Beta and Theta Oscillations Correlate With Subjective Time During Musical Improvisation in Ecological and Controlled Settings: A Single Subject Study
auteur
Nicolas Farrugia, Alix Lamouroux, Christophe Rocher, Jules Bouvet, Giulia Lioi
article
Frontiers in Neuroscience, 2021, 15, ⟨10.3389/fnins.2021.626723⟩
Accès au bibtex
BibTex
titre
Parallel and Flexible 5G LDPC Decoder Architecture Targeting FPGA
auteur
Jeremy Nadal, Amer Baghdadi
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29 (6), pp.1141-1151. ⟨10.1109/TVLSI.2021.3072866⟩
Accès au bibtex
BibTex
titre
Gradients of connectivity as graph Fourier bases of brain activity
auteur
Giulia Lioi, Vincent Gripon, Abdelbasset Brahim, François Rousseau, Nicolas Farrugia
article
Network Neuroscience, 2021, 5 (2), pp.322-336. ⟨10.1162/netn_a_00183⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03238786/file/netn_a_00183.pdf BibTex
titre
Hybrid Memristor–CMOS Implementation of Combinational Logic Based on X-MRL
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah
article
Electronics, In press, 10 (9), pp.1018. ⟨10.3390/electronics10091018⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03344269/file/electronics-10-01018-v3.pdf BibTex
titre
Altered Cerebro-Cerebellar Dynamic Functional Connectivity in Alcohol Use Disorder: a Resting-State fMRI Study
auteur
Majd Abdallah, Natalie Zahr, Manojkumar Saranathan, Nicolas Honnorat, Nicolas Farrugia, Adolf Pfefferbaum, Edith Sullivan, Sandra Chanraud
article
The Cerebellum, 2021, ⟨10.1007/s12311-021-01241-y⟩
Accès au bibtex
BibTex
titre
No-instruction-set-computer design experience of flexible and efficient architectures for digital communication applications: two case studies on MIMO turbo detection and universal turbo demapping
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
Design Automation for Embedded Systems, 2021, 25 (1), pp.1-42. ⟨10.1007/s10617-021-09245-x⟩
Accès au bibtex
BibTex

Conference papers

titre
PANTONE® 19-3924, ou la "normatisation" de l’obscurité dans les espaces de faible densité
auteur
Samuel Challéat, Johan Milian, Rémi Bénos, Dany Lapostolle, Charles Ronzani, Nicolas Farrugia
article
International Symposium of Labex DRIIHM 2021, LabEx DRIIHM, Sep 2021, Toulouse, France
Accès au bibtex
BibTex
titre
Low Complexity Non-binary Turbo Decoding based on the Local-SOVA Algorithm
auteur
Hugo Le Blevec, Rami Klaimi, Stefan Weithoffer, Charbel Abdel Nour, Amer Baghdadi
article
ISTC 2021: 11th International Symposium on Topics in Coding, Aug 2021, Montreal, Canada. ⟨10.1109/ISTC49272.2021.9594236⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03279861/file/A_new_approach_to_Non_binary_Turbo_Decoding_based_on_the_Local_SOVA_Decoding_Algorithm.pdf BibTex
titre
Inferring Graph Signal Translations as Invariant Transformations for Classification Tasks
auteur
Raphael Baena, Lucas Drumetz, Vincent Gripon
article
EUSIPCO 2021: 29th European Signal Processing Conference, Aug 2021, Dublin, Ireland. ⟨10.23919/EUSIPCO54536.2021.9616010⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-03235669/file/Graph_convolution_Eusipco.pdf BibTex
titre
Few-Shot Decoding of Brain Activation Maps
auteur
Myriam Bontonou, Giulia Lioi, Nicolas Farrugia, Vincent Gripon
article
EUSIPCO 2021: 29th European Signal Processing Conference, Aug 2021, Dublin, Ireland. pp.1326-1330, ⟨10.23919/EUSIPCO54536.2021.9616158⟩
Accès au bibtex
https://arxiv.org/pdf/2010.12500 BibTex

Patents

titre
Optimised circular convolutions stage for os/osb fbmc receivers
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : WO2021204750. DI-TBN-18-011. 2021
Accès au bibtex
BibTex

2020

Journal articles

titre
NISC-based MIMO MMSE Detector
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Youssef Atat, Yasser Mohanna
article
Journal of Circuits, Systems, and Computers, 2020, pp.2150069. ⟨10.1142/S0218126621500699⟩
Accès au bibtex
BibTex
titre
Static and dynamic aspects of cerebro-cerebellar functional connectivity are associated with self-reported measures of impulsivity: A resting-state fMRI study
auteur
Majd Abdallah, Nicolas Farrugia, Valentine Chirokoff, Sandra Chanraud
article
Network Neuroscience, 2020, 4 (3), pp.891-909. ⟨10.1162/netn_a_00149⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02945181/file/netn_a_00149.pdf BibTex
titre
Overlap-Save FBMC Receivers
auteur
Jérémy Nadal, François Leduc-Primeau, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Transactions on Wireless Communications, 2020, 19 (8), pp.5307-5320. ⟨10.1109/TWC.2020.2991995⟩
Accès au bibtex
BibTex
titre
Graph Fourier Transform of fMRI temporal signals based on an averaged structural connectome for the classification of neuroimaging
auteur
Abdelbasset Brahim, Nicolas Farrugia
article
Artificial Intelligence in Medicine, 2020, 106, pp.101870. ⟨10.1016/j.artmed.2020.101870⟩
Accès au texte intégral et bibtex
https://imt.hal.science/hal-02302538/file/article_revised.pdf BibTex
titre
Memristive Computational Memory Using Memristor Overwrite Logic (MOL)
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah, Naoya Onizawa, Takahiro Hanyu
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020, 28 (11), pp.2370-2382. ⟨10.1109/TVLSI.2020.3011522⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02933285/file/FINAL%20VERSION.pdf BibTex
titre
Hardware Implementation of Overlap-Save based Fading Channel Emulator
auteur
M. Najam-Ul-Islam, Muhammad Nauman, Atif Raza Jafri, Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2020, 68 (3), pp.918-922. ⟨10.1109/TCSII.2020.3020724⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02929812/file/TCAS-II-07877-2020_Rev3%20%281%29.pdf BibTex

Conference papers

titre
Memristor Overwrite Logic (MOL) for In-Memory DNN
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah
article
ISCAS 2020 : IEEE International Symposium on Circuits and Systems, Oct 2020, Seville, Spain. ⟨10.1109/ISCAS45731.2020.9180549⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02513024/file/MOL-based%20DNN.pdf BibTex
titre
Quantized Guided Pruning for Efficient Hardware Implementations of Deep Neural Networks
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Matthieu Arzel, Nicolas Farrugia, Yoshua Bengio
article
NEWCAS 2020 : 18th IEEE International New Circuits and Systems Conference, Jun 2020, Montréal, Canada. pp.206-209, ⟨10.1109/NEWCAS49341.2020.9159769⟩
Accès au bibtex
BibTex
titre
Sons et lumières du Vicdessos. Explorations méthodologiques pour l’étude d’un socio-écosystème nocturne
auteur
Samuel Challéat, Nicolas Farrugia, Johan Milian, Alexis Laforge, Rémi Bénos, Georges Zissis, Laurent Canale, Clélia Sirami
article
ohmpyr2020 : Séminaire de restitution des projets 2018-2019 de l'OHM Pyrénées Haut Vicdessos 2020, CNRS UMR 5602 GEODE, Jan 2020, Toulouse, France
Accès au bibtex
BibTex

Other publications

titre
Silent·Cities. Paysages sonores d'un monde confiné
auteur
Samuel Challéat, Nicolas Farrugia, Amandine Gasc, Jérémy Froidevaux
article
2020
Accès au texte intégral et bibtex
https://hal.science/hal-03748373/file/Silent%C2%B7Cities.%20Paysages%20sonores%20d%E2%80%99un%20monde%20confine%CC%81%20%E2%80%93%20Laboratoire%20Paralle%CC%80le.pdf BibTex

2019

Journal articles

titre
Budget Restricted Incremental Learning with Pre-Trained Convolutional Neural Networks and Binary Associative Memories
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
Journal of Signal Processing Systems, 2019, 91 (9), pp.1063-1073. ⟨10.1007/s11265-019-01450-z⟩
Accès au bibtex
BibTex
titre
Computational Complexity Reduction of MMSE-IC MIMO Turbo Detection
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel
article
Journal of Circuits, Systems, and Computers, 2019, pp.1950228. ⟨10.1142/S0218126619502281⟩
Accès au bibtex
BibTex
titre
A functional connectome phenotyping dataset including cognitive state and personality measures
auteur
Natacha Mendes, Sabine Oligschläger, Mark Lauckner, Johannes Golchert, Julia Huntenburg, Marcel Falkiewicz, Melissa Ellamil, Sarah Krause, Blazej Baczkowski, Roberto Cozatl, Anastasia Osoianu, Deniz Kumral, Jared Pool, Laura Golz, Maria Dreyer, Philipp Haueis, Rebecca Jost, Yelyzaveta Kramarenko, Haakon Engen, Katharina Ohrnberger, Krzysztof J Gorgolewski, Nicolas Farrugia, Anahit Babayan, Andrea Reiter, H. Lina Schaare, Janis Reinelt, Josefin Röbbig, Marie Uhlig, Miray Erbey, Michael Gaebler, Jonathan Smallwood, Arno Villringer, Daniel Margulies
article
Scientific Data , 2019, 6, pp.180307. ⟨10.1038/sdata.2018.307⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02052230/file/sdata2018307-2.pdf BibTex

Conference papers

titre
MRL Crossbar-Based Full Adder Design
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah
article
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Nov 2019, Genoa, Italy. pp.674-677, ⟨10.1109/ICECS46596.2019.8964702⟩
Accès au bibtex
BibTex
titre
Crossbar Memory Architecture Performing Memristor Overwrite Logic
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah
article
2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Nov 2019, Genoa, Italy. pp.723-726, ⟨10.1109/ICECS46596.2019.8964910⟩
Accès au bibtex
BibTex
titre
EEG measurement of binaural sound immersion
auteur
Rozenn Nicol, Olivier Dufor, Laetitia Gros, Pascal Rueff, Nicolas Farrugia
article
EAA Spatial Audio Signal Processing Symposium, Sep 2019, Paris, France. pp.73-78, ⟨10.25836/sasp.2019.21⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02275183/file/000021.pdf BibTex
titre
Comparing linear structure-based and data-driven latent spatial representations for sequence prediction
auteur
Myriam Bontonou, Carlos Lassance, Vincent Gripon, Nicolas Farrugia
article
SPIE Optical Engineering + Applications, Aug 2019, San Diego, United States. ⟨10.1117/12.2528450⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02189734/file/xwzmzqbwgjrzfrypjkmmtjwmvxvwgdqr.pdf BibTex
titre
Efficient Hardware Implementation of Incremental Learning and Inference on Chip
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
NEWCAS 2019 : 17th IEEE International New Circuits and Systems Conference, Jun 2019, Munich, Germany. ⟨10.1109/NEWCAS44328.2019.8961310⟩
Accès au bibtex
https://arxiv.org/pdf/1911.07847 BibTex
titre
Spectral Graph Wavelet Transform as Feature Extractor for Machine Learning in Neuroimaging
auteur
Yusuf Yigit Pilavci, Nicolas Farrugia
article
ICASSP 2019 : International Conference on Acoustics, Speech, and Signal Processing, May 2019, Brighton, United Kingdom. ⟨10.1109/ICASSP.2019.8683901⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-02052244/file/icaspp.pdf BibTex

Patents

titre
Pseudo-guard intervals insertion in an FBMC transmitter
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : WO2019170519. DI/18-002. 2019
Accès au bibtex
BibTex

2018

Journal articles

titre
Transfer Incremental Learning Using Data Augmentation
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
Applied Sciences, 2018, 8 (12), pp.2512. ⟨10.3390/app8122512⟩
Accès au bibtex
https://arxiv.org/pdf/1810.02020 BibTex
titre
Flexible and efficient hardware platform and architectures for waveform design and proof-of-concept in the context of 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
AEÜ - International Journal of Electronics and Communications / Archiv für Elektronik und Übertragungstechnik, 2018, ⟨10.1016/j.aeue.2018.09.030⟩
Accès au bibtex
BibTex
titre
Networked Power-Gated MRAMs for Memory-Based Computing
auteur
Jean-Philippe Diguet, Naoya Onizawa, Mostafa Rizk, Johanna Sepúlveda, Amer Baghdadi, Takahiro Hanyu
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018, 26 (12), pp.1 - 13. ⟨10.1109/TVLSI.2018.2856458⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-01869484/file/NMBA_TVLSI_Rev2_HAL.pdf BibTex
titre
Involuntary and voluntary recall of musical memories: A comparison of temporal accuracy and emotional responses
auteur
Kelly Jakubowski, Zaariyah Bashir, Nicolas Farrugia, Lauren Stewart
article
Memory and Cognition, 2018, 46 (5), pp.741 - 756. ⟨10.3758/s13421-018-0792-x⟩
Accès au bibtex
BibTex
titre
Design and Evaluation of a Novel Short Prototype Filter for FBMC/OQAM Modulation
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Access, 2018, ⟨10.1109/ACCESS.2018.2818883⟩
Accès au bibtex
https://arxiv.org/pdf/1710.09362 BibTex

Conference papers

titre
Rapid Prototyping of Parameterized Rotated and Cyclic Q Delayed Constellations Demapper
auteur
Muhammad Waqas, Atif Raza Jafri, Amer Baghdadi, M. Najam-Ul-Islam
article
RSP 2018 : International Symposium on Rapid System Prototyping, Oct 2018, Torino, Italy. pp.29-35, ⟨10.1109/RSP.2018.8631988⟩
Accès au bibtex
BibTex
titre
NISC Design Experience of Flexible Architectures for Digital Communication Applications
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Ali Chamas Al Ghouwayel
article
ICCA 2018 : International Conference on Computer and Applications, Aug 2018, Beirut, Lebanon. pp.123-129, ⟨10.1109/COMAPP.2018.8460355⟩
Accès au bibtex
BibTex
titre
A Block FBMC Receiver Designed For Short Filters
auteur
Jérémy Nadal, François Leduc-Primeau, Charbel Abdel Nour, Amer Baghdadi
article
ICC 2018 : IEEE International Conference on Communications, May 2018, Kansas City, United States. ⟨10.1109/ICC.2018.8422215⟩
Accès au bibtex
BibTex

Patents

titre
Reduced complexity transmitter for universal filtered ofdm
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : EP3282662. DI-TBN-16-013. 2018
Accès au bibtex
BibTex

Preprints, Working Papers, ...

titre
Quantized Guided Pruning for Efficient Hardware Implementations of Convolutional Neural Networks
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Matthieu Arzel, Nicolas Farrugia, Yoshua Bengio
article
2018
Accès au texte intégral et bibtex
https://hal.science/hal-01965304/file/hardware_quake.pdf BibTex

2017

Journal articles

titre
Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01595772/file/s13634-017-0468-x.pdf BibTex
titre
Novel UF-OFDM transmitter: significant complexity reduction without signal approximation
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Transactions on Vehicular Technology, 2017, ⟨10.1109/TVT.2017.2764379⟩
Accès au bibtex
BibTex
titre
BAASTA: Battery for the Assessment of Auditory Sensorimotor and Timing Abilities
auteur
Simone Dalla Bella, Nicolas Farrugia, Charles-Etienne Benoit, Valentin Bégel, Laura Verga, Eleanor Harding, Sonja Kotz
article
Behavior Research Methods, 2017, 49 (3), pp.1128 - 1145. ⟨10.3758/s13428-016-0773-6⟩
Accès au bibtex
BibTex
titre
Heterogeneous Multi-ASIP and NoC Based Architecture for Adaptive Parallel TBICM-ID-SSD
auteur
Atif Raza Jafri, Amer Baghdadi, Muhammad Najam Ul Islam, Michel Jezequel
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2017, 64 (3), pp.259 - 263. ⟨10.1109/TCSII.2016.2555018⟩
Accès au bibtex
BibTex
titre
High-Throughput and Area-Efficient Rotated and Cyclic Q Delayed Constellations Demapper for Future Wireless Standards
auteur
Atif Raza Jafri, Amer Baghdadi, Muhammad Waqas, Muhammad Najam Ul Islam
article
IEEE Access, 2017, 5 (1), pp.3077 - 3084. ⟨10.1109/ACCESS.2017.2660579⟩
Accès au bibtex
BibTex
titre
Efficient quantization and fixed-point representation for MIMO turbo-detection and turbo-demapping
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
EURASIP Journal on Embedded Systems, 2017, 2017, pp.33. ⟨10.1186/s13639-017-0081-y⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01779990/file/s13639-017-0081-y.pdf BibTex
titre
Gait improvement via rhythmic stimulation in Parkinson’s disease is linked to rhythmic skills
auteur
Simone Dalla Bella, Charles-Etienne Benoit, Nicolas Farrugia, Peter Keller, Hellmuth Obrig, Stefan Mainka, Sonja Kotz
article
Scientific Reports, 2017, 7, pp.42005. ⟨10.1038/srep42005⟩
Accès au texte intégral et bibtex
https://imt-atlantique.hal.science/hal-01771575/file/DallaBella_et_al_2017.pdf BibTex

Conference papers

titre
Flexible hardware platform for demonstrating new 5G waveform candidates
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
ICM 2017 : 29th IEEE International Conference on Microelectronics, Dec 2017, Beirut, Lebanon. ⟨10.1109/ICM.2017.8268851⟩
Accès au bibtex
BibTex
titre
Towards Memristor-based Reconfigurable FFT Architecture
auteur
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah
article
ICM 2017 : 29th IEEE International Conference on Microelectronics, Dec 2017, Beirut, Lebanon. ⟨10.1109/ICM.2017.8268885⟩
Accès au bibtex
BibTex
titre
Incremental Learning on Chip
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
GlobalSIP 2017 : 5th IEEE Global Conference on Signal and Information Processing, Nov 2017, Montréal, Canada. ⟨10.1109/GlobalSIP.2017.8309068⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01754847/file/GlobalSip_HAL.pdf BibTex
titre
Evaluating Graph Signal Processing for Neuroimaging Through Classification and Dimensionality Reduction
auteur
Mathilde Menoret, Nicolas Farrugia, Bastien Pasdeloup, Vincent Gripon
article
GlobalSIP 2017 : 5th IEEE Global Conference on Signal and Information Processing, Nov 2017, Montreal, Canada. pp.618 - 622, ⟨10.1109/GlobalSIP.2017.8309033⟩
Accès au bibtex
BibTex
titre
Budget Restricted Incremental Learning with Pre-Trained Convolutional Neural Networks and Binary Associative Memories
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
SIPS 2017 : IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France. pp.1 - 4, ⟨10.1109/SiPS.2017.8109978⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01656152/file/SIPS.pdf BibTex
titre
Reduced Complexity FPGA Implementation for UF-OFDM Frequency Domain Transmitter
auteur
Saïd Medjkouh, Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
SIPS 2017: IEEE Workshop on Signal Processing Systems, Oct 2017, Lorient, France. pp.1 - 6, ⟨10.1109/SiPS.2017.8110013⟩
Accès au bibtex
BibTex
titre
Proof-of-concept for post-OFDM waveforms as candidates for 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
SIPS 2017: IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France
Accès au bibtex
BibTex
titre
NoC-MRAM Architecture for Memory-Based Computing: database-search case study
auteur
Mostafa Rizk, Jean-Philippe Diguet, Naoya Onizawa, Amer Baghdadi, Martha Johanna Sepulveda Florez, Yeter Akgul, Vincent Gripon, Takahiro Hanyu
article
NEWCAS 2017 : 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. ⟨10.1109/NEWCAS.2017.8010167⟩
Accès au bibtex
BibTex
titre
Perception et imagerie mentale musicale : que peut nous dire la musique sur le fonctionnement du cerveau ?
auteur
Nicolas Farrugia
article
3èmes Journées Perception Sonore, Université de Bretagne Occidentale; Société Française d'Acoustique, Jun 2017, Brest, France
Accès au bibtex
BibTex
titre
Hardware demonstration of post-OFDM waveforms
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
EuCNC 2017: European Conference on Networks and Communications, Jun 2017, Oulu, Finland
Accès au bibtex
BibTex
titre
An Intrinsic Difference Between Vanilla RNNs and GRU Models
auteur
Tristan Stérin, Nicolas Farrugia, Vincent Gripon
article
COGNITIVE 2017 : Ninth International Conference on Advanced Cognitive Technologies and Applications, Feb 2017, Athènes, Greece. pp.76 - 81
Accès au bibtex
BibTex
titre
Finding All Matches in a Database using Binary Neural Networks
auteur
Ghouthi Boukli Hacene, Vincent Gripon, Nicolas Farrugia, Matthieu Arzel, Michel Jezequel
article
COGNITIVE 2017: The Ninth International Conference on Advanced Cognitive Technologies and Applications, Feb 2017, Athènes, Greece. pp.59-64
Accès au texte intégral et bibtex
https://hal.science/hal-01522646/file/GBH2017.pdf BibTex

Patents

titre
Receiver architecture for filter bank multi-carrier communication systems
auteur
Jérémy Nadal, Amer Baghdadi, Charbel Abdel Nour
article
France, Patent n° : EP3261310. DI-TBN-16-010. 2017
Accès au bibtex
BibTex
titre
Filter for linear modulation based communication systems
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : EP3236626. DI-TBN-16-005. 2017
Accès au bibtex
BibTex
titre
Overlap-Save FBMC receiver
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : WO2019072983. DI/17-008. 2017
Accès au bibtex
BibTex

2016

Journal articles

titre
Design and Prototyping Flow of Flexible and Efficient NISC-based Architectures for MIMO Turbo Equalization and Demapping
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
Electronics, 2016, 5 (3), pp.art.50 - ⟨10.3390/electronics5030050⟩
Accès au bibtex
BibTex
titre
Probing imagined tempo for music: Effects of motor engagement and musical experience
auteur
Kelly Jakubowski, Nicolas Farrugia, Lauren Stewart
article
Psychology of Music, 2016, 44 (6), pp.1274 - 1288. ⟨10.1177/0305735615625791⟩
Accès au bibtex
BibTex
titre
A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01121754/file/TVLSI_brief.pdf BibTex
titre
Low-complexity pipelined architecture for FBMC/OQAM transmitter
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2016, 63 (1), pp.19 - 23. ⟨10.1109/TCSII.2015.2468926⟩
Accès au bibtex
BibTex

Conference papers

titre
Flexible PoC for Post-OFDM waveforms
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
G5-PPP 2016 : 2nd Global 5G Infrastructure public private partnership event, Nov 2016, Rome, Italy
Accès au bibtex
BibTex

Other publications

titre
Enabling 5G new services – Post-OFDM waveforms
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
2016
Accès au bibtex
BibTex

Patents

titre
Digital encoder and method of encoding
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : EP16306056. 2016
Accès au bibtex
BibTex
titre
Receiver architecture for linear modulation based communication systems
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
France, Patent n° : EP16305752. 2016
Accès au bibtex
BibTex

2015

Journal articles

titre
NISC-based universal soft-input soft-output demapper
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
IEEE Transactions on Circuits and Systems II: Express Briefs, 2015, 62 (11), pp.1098 - 1102. ⟨10.1109/TCSII.2015.2455991⟩
Accès au bibtex
BibTex
titre
The speed of our mental soundtracks: Tracking the tempo of involuntary musical imagery in everyday life
auteur
Kelly Jakubowski, Nicolas Farrugia, Andrea Halpern, Sathish Sankarpandi, Lauren Stewart
article
Memory and Cognition, 2015, 43 (8), pp.1229 - 1242. ⟨10.3758/s13421-015-0531-5⟩
Accès au bibtex
BibTex
titre
Reducing the dissipated energy in multi-standard turbo and LDPC decoders
auteur
Carlo Condo, Amer Baghdadi, Guido Masera
article
Circuits, Systems, and Signal Processing, 2015, 34 (5), pp.1571 - 1593. ⟨10.1007/s00034-014-9915-1⟩
Accès au bibtex
BibTex
titre
Reducing the dissipated energy in multi-standard turbo and LDPC decoders
auteur
Carlo Condo, Amer Baghdadi, Guido Masera
article
Circuits, Systems, and Signal Processing, 2015, 34 (5), pp.1571 - 1593. ⟨10.1007/s00034-014-9915-1⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01174563/file/NoC_decoder_improve_Springer_preprint.pdf BibTex

Conference papers

titre
CAASPER: Providing Accessible FPGA-acceleration over the Network
auteur
Valentin Mena Morales, Yahia Brakni, Pierre-Henri Horrein, Amer Baghdadi
article
RSP 2015 : 26th IEEE International Symposium on Rapid System Prototyping, Oct 2015, Amsterdam, Netherlands. pp.68 - 75, ⟨10.1109/RSP.2015.7416549⟩
Accès au bibtex
BibTex

Other publications

titre
New candidate waveform for 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Hao Lin, Bruno Jahan, Pierre Siohan
article
2015
Accès au bibtex
BibTex
titre
FBMC-OQAM, a candidate waveform for 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi
article
2015
Accès au bibtex
BibTex
titre
Flexible FBMC air interface for 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Hao Lin, Bruno Jahan, Pierre Siohan
article
2015
Accès au bibtex
BibTex

Poster communications

titre
Flexible FBMC air interface for 5G
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Pierre Siohan, Lin Hao, Bruno Jahan
article
MWC 2015 : Mobile World Congress, Mar 2015, Barcelona, Spain. 2015
Accès au bibtex
BibTex

2014

Journal articles

titre
Energy-efficient multi-standard early stopping criterion for low-density-parity-check iterative decoding
auteur
Carlo Condo, Amer Baghdadi, Guido Masera
article
IET Communications, 2014, 8 (12), pp.2171 - 2180. ⟨10.1049/iet-com.2013.0869⟩
Accès au bibtex
BibTex

Conference papers

titre
UWB-IR digital baseband architecture for IEEE 802.15.6 wireless BAN
auteur
Houcine Chougrani, Jean Schwoerer, Pierre-Henri Horrein, Amer Baghdadi, François Dehmas
article
ICESS : 21st IEEE International Conference on Electronics, Circuits and Systems, Dec 2014, Marseille, France. pp.866 - 869, ⟨10.1109/ICECS.2014.7050123⟩
Accès au bibtex
BibTex
titre
Design and prototyping flow of NISC-based flexible MIMO turbo-equalizer
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
RSP 2014 : IEEE International Symposium on Rapid System Prototyping, Oct 2014, New Delhi, India. pp.16 - 21, ⟨10.1109/RSP.2014.6966687⟩
Accès au bibtex
BibTex
titre
Hardware prototyping of FBMC/OQAM baseband for 5G mobile communication systems
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Hao Lin
article
RSP 2014 : IEEE International Symposium on Rapid System Prototyping, Oct 2014, New Delhi, India. pp.135 - 141, ⟨10.1109/RSP.2014.6966904⟩
Accès au bibtex
BibTex
titre
FBMC/OQAM baseband for 5G mobile communication systems: hardware design and on-board prototyping
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Hao Lin
article
GDR ISIS workshop 2014: 5G & Beyond: Promises and Challenges, Oct 2014, Paris, France
Accès au bibtex
BibTex
titre
Hardware Implementation of a Non-Coherent IR-UWB Receiver Synchronization Algorithm Targeting IEEE 802.15.6 Wireless BAN
auteur
Houcine Chougrani, Jean Schwoerer, Pierre-Henri Horrein, Amer Baghdadi
article
ICUWB 2014 : International Conference on Ultra-WideBand, Sep 2014, Paris, France. pp.444 - 449, ⟨10.1109/ICUWB.2014.6959023⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01185867/file/Hardware%20implementation%20of%20a%20non-coherent%20IR-UWB%20receiver%20synchronization%20algorithm.pdf BibTex
titre
FBMC/OQAM-related new waveform
auteur
Jérémy Nadal, Charbel Abdel Nour, Amer Baghdadi, Hao Lin
article
EuCNC 2015 : 24th European Conference on Networks and Communications, Jun 2014, Bologna, Italy
Accès au bibtex
BibTex
titre
Implementation of NISC-based flexible architecture for MIMO MMSE-IC turbo-equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
JNRDM 2014 : 17èmes Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique,, May 2014, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-01864517/file/JNRDM2014_Implementation%20of%20NISC-based%20flexible%20architecture%20for%20MIMO%20MMSE-IC%20turbo-equalization.pdf BibTex
titre
Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL
auteur
Valentin Mena Morales, Pierre-Henri Horrein, Amer Baghdadi, Erik Hochapfel, Sandrine Vaton
article
DATE 2014 : Design, Automation and Test in Europe, Mar 2014, Dresden, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-00979390/file/bare_conf.pdf BibTex

Book sections

titre
ASIP Design for Multi-Standard Channel Decoders
auteur
Purushotham Murugappa Velayuthan, Amer Baghdadi, Michel Jezequel
article
Advanced Hardware Design for Error Correcting Codes, Springer, pp.151 - 175, 2014, 978-3-319-10568-0. ⟨10.1007/978-3-319-10569-7⟩
Accès au bibtex
BibTex

2013

Conference papers

titre
Quantization and fixed-point arithmetic for MIMO MMSE-IC linear turbo-equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
ICM 2013 : 25th IEEE International Conference on MicroelectronicsMicroelectronics, Dec 2013, Beirut, Lebanon. pp.1 - 4, ⟨10.1109/ICM.2013.6735008⟩
Accès au bibtex
BibTex
titre
Rapid Design and Prototyping of a Reconfigurable Decoder Architecture for QC-LDPC Codes
auteur
Purushotham Murugappa Velayuthan, Vianney Lapotre, Amer Baghdadi, Michel Jezequel
article
RSP 2013 : 24th IEEE International Symposium on Rapid System Prototyping, Oct 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
Efficient synchronization technique for non-coherent IR-UWB receiver targeting IEEE 802.15.6 wireless BAN
auteur
Houcine Chougrani, Jean Schwoerer, Pierre-Henri Horrein, Amer Baghdadi
article
BODYNETS 2013 : 8th International Conference on Body Area Networks, Sep 2013, Boston, United States. pp.181 - 184
Accès au bibtex
BibTex
titre
A joint communication and application simulator for NoC-based custom SoCs: LDPC and turbo codes parallel decoding case study
auteur
Carlo Condo, Amer Baghdadi, Guido Masera
article
DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.168 - 174
Accès au bibtex
BibTex
titre
Stopping-free dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
article
DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
Accès au texte intégral et bibtex
https://hal.science/hal-00876005/file/DSD13-final.pdf BibTex
titre
Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876009/file/Gretsi_final.pdf BibTex
titre
A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
article
ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01002828/file/ISVLSI13_final.pdf BibTex
titre
An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
auteur
Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
article
ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873978/file/ReCoSoC_final.pdf BibTex
titre
Flexible and efficient architecture design for MIMO MMSE-IC linear turbo-equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
ICCIT 2013 : 3rd IEEE International Conference on Communications and Information Technology, Jun 2013, Beirut, Lebanon. pp.340 - 344, ⟨10.1109/ICCITechnology.2013.6579576⟩
Accès au bibtex
BibTex
titre
Designing a NISC-based flexible architecture for MIMO MMSE-IC turbo-equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
JNRDM 2013 : 16èmes Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique, Jun 2013, Grenoble, France
Accès au bibtex
BibTex
titre
Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
article
ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873979/file/ICAS13_ASIP_final2.pdf BibTex
titre
Parameterized area-efficient multi-standard turbo decoder
auteur
Purushotham Murugappa Velayuthan, Amer Baghdadi, Michel Jezequel
article
DATE 2013 : IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2013, Grenoble, France. pp.109 - 114
Accès au bibtex
BibTex
titre
Statically-scheduled application-specific processor design: A case-study on MMSE MIMO equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
DATE 2013 : IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2013, Grenoble, France. pp.677 - 680
Accès au bibtex
BibTex

Poster communications

titre
Application-Specific Processor Design: a Case-Study on MMSE MIMO equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France. 2013
Accès au bibtex
BibTex
titre
Efficient dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Noël Bazin, Jean-Philippe Diguet, Michael Hubner
article
GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876017/file/GDR_SOC-SIP_%20Poster.pdf BibTex
titre
Designing a NISC-based flexible architecture for MIMO MMSE-IC turbo-equalization
auteur
Mostafa Rizk, Amer Baghdadi, Michel Jezequel, Yasser Mohanna, Youssef Atat
article
JNRDM 2013 : 16èmes Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique, Jun 2013, Grenoble, France. 2013
Accès au bibtex
BibTex

2012

Journal articles

titre
On the Convergence Speed of Turbo Demodulation with Turbo Decoding
auteur
Salim Haddad, Amer Baghdadi, Michel Jezequel
article
IEEE Transactions on Signal Processing, 2012, 60 (8), pp.4452 - 4458. ⟨10.1109/TSP.2012.2198550⟩
Accès au bibtex
https://arxiv.org/pdf/1203.5037 BibTex
titre
Flexible Radio Design: Trends and Challenges in Digital Baseband Implementation
auteur
Guido Masera, Amer Baghdadi, Frank Kienle, Christophe Moy
article
VLSI Design, 2012, 2012, Editorial, 2 p. ⟨10.1155/2012/549768⟩
Accès au bibtex
BibTex
titre
Complexity adaptive iterative receiver performing TBICM-ID-SSD
auteur
Salim Haddad, Amer Baghdadi, Michel Jezequel
article
EURASIP Journal on Advances in Signal Processing, 2012, 2012, pp.131. ⟨10.1186/1687-6180-2012-131⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00739596/file/1687-6180-2012-131.pdf BibTex

Conference papers

titre
An analytical approach for sizing of heterogeneous multiprocessor flexible platform for iterative demapping and channel decoding
auteur
Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi
article
International Conference on ReConFigurable Computing and FPGAs (Reconfig), Dec 2012, Cancun, Mexico. ⟨10.1109/ReConFig.2012.6416728⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00747714/file/reconfig_V0.pdf BibTex
titre
Architecture Efficiency of Application-Specific Processors: a 170Mbit/s 0.644mm2 Multi-standard Turbo Decoder
auteur
Rachid Al Khayat, Amer Baghdadi, Michel Jezequel
article
SOC 2012 IEEE International Symposium on System-on-Chip, Oct 2012, Tampere, Finland
Accès au bibtex
BibTex
titre
FPGA Prototyping and Performance Evaluation of Multi-standard Turbo/LDPC Encoding and Decoding
auteur
Purushotham Murugappa Velayuthan, Jean-Noël Bazin, Amer Baghdadi, Michel Jezequel
article
RSP 2012: IEEE International Symposium on Rapid System Prototyping, Oct 2012, Tampere, Finland
Accès au bibtex
BibTex
titre
Adaptive Complexity MIMO Turbo Receiver Applying Turbo Demodulation
auteur
Salim Haddad, Amer Baghdadi, Michel Jezequel
article
ISTC 2012: 7th International Symposium on International Symposium onTurbo Codes and Iterative Information Processing, Aug 2012, Gothenburg, Sweden. pp.235 - 239
Accès au bibtex
BibTex
titre
Convergence and Complexity Analysis of Turbo Demodulation with Turbo Decoding
auteur
Salim Haddad, Amer Baghdadi, Michel Jezequel
article
Colloque national du groupe de recherches System On Chip - System In Package (SOC-SIP), Jun 2012, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-00725058/file/Haddad_11_GDR_SOC_SIP_Convergence_and_Complexity_Analysis_of_Turbo_Demodulation_with_Turbo_Decoding.pdf BibTex
titre
Flexible Multi-ASIP SoC for Turbo/LDPC Decoder
auteur
Purushotham Murugappa Velayuthan, Pallavi Reddy, Rachid Al Khayat, Jean-Noël Bazin, Amer Baghdadi, Fabien Clermidy, Michel Jezequel
article
SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2012, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-00725184/file/47_GDR_SOC_SIP_Flexible_Multi-ASIP_SoC_for_Turbo_LDPC_Decoder.pdf BibTex
titre
Complexity reduction of shuffled parallel iterative demodulation with turbo decoding
auteur
Salim Haddad, Oscar David Sanchez Gonzalez, Amer Baghdadi, Michel Jezequel
article
ICT 2012: 19th International Conference on Telecommunications, Apr 2012, Jounieh, Lebanon. ⟨10.1109/ICTEL.2012.6221298⟩
Accès au bibtex
BibTex

2011

Journal articles

titre
On chip interconnects for multiprocessor turbo decoding architectures
auteur
Maurizio Martina, Guido Masera, Hazem Moussa, Amer Baghdadi
article
Microprocessors and Microsystems: Embedded Hardware Design , 2011, 35 (2), pp.167 - 181. ⟨10.1016/j.micpro.2010.08.004⟩
Accès au bibtex
BibTex
titre
Parallel MIMO turbo equalization
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
IEEE Communications Letters, 2011, 15 (3), pp.290 - 292. ⟨10.1109/LCOMM.2011.011311.102109⟩
Accès au bibtex
BibTex

Conference papers

titre
Reducing the number of iterations in iterative demodulation with turbo decoding
auteur
Salim Haddad, Amer Baghdadi, Michel Jezequel
article
SoftCOM : International Conference on Software, Telecommunications and Computer Networks, Sep 2011, Split, Croatia
Accès au bibtex
BibTex
titre
Management of reconfigurable multi-standards ASIP-based receiver
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Salim Haddad, Jean-Philippe Diguet, John Shield
article
SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2011, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00724998/file/Management_of_reconfigurable_multi-standards_ASIP-based_receiver.pdf BibTex
titre
Area and throughput optimized ASIP for multi-standard turbo decoding
auteur
Rachid Al Khayat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Michel Jezequel
article
RSP 2011: 22nd IEEE International Symposium on Rapid System Prototyping, May 2011, Karlsruhe, Allemagne. pp.79 - 84, ⟨10.1109/RSP.2011.5929979⟩
Accès au bibtex
BibTex
titre
A low complexity stopping criterion for reducing power consumption in turbo decoders
auteur
Pallavi Reddy, Fabien Clermidy, Amer Baghdadi, Michel Jezequel
article
DATE'11: IEEE/ACM Design, Automation and Test in Europe Conference & Exhibition, Mar 2011, Grenoble, France. pp.1530 - 1591
Accès au bibtex
BibTex
titre
FPGA Prototype of Flexible Heterogeneous multi-ASIP NoC-based Unified Turbo Receiver
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
DATE 2011: Demonstration at the University Booth of the Design, Automation and Test in Europe Conference & Exhibition, Mar 2011, Grenoble, France
Accès au bibtex
BibTex
titre
A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding
auteur
Purushotham Murugappa Velayuthan, Rachid Al Khayat, Amer Baghdadi, Michel Jezequel
article
DATE'11: IEEE/ACM Design, Automation and Test in Europe Conference & Exhibition, Mar 2011, Grenoble, France
Accès au bibtex
BibTex

Book sections

titre
ASIP design and prototyping for wireless communication applications
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
Advanced Applications of Rapid Prototyping Technology in Modern Engineering, InTech - Open Access Publisher, 2011
Accès au bibtex
BibTex

2010

Journal articles

titre
Parallelism Efficiency in Convolutional Turbo Decoding
auteur
Olivier Muller, Amer Baghdadi, Michel Jezequel
article
EURASIP Journal on Advances in Signal Processing, 2010, vol. 2010, Article ID 927920, 11 p. ⟨10.1155/2010/927920⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00569878/file/927920.pdf BibTex

Conference papers

titre
Power consumption analysis and energy efficient optimization for turbo decoder implementation
auteur
Pallavi Reddy, Fabien Clermidy, Rachid Al Khayat, Amer Baghdadi, Michel Jezequel
article
International Symposium on System-on-Chip, Sep 2010, Tampere, Finland. pp.12 - 17, ⟨10.1109/ISSOC.2010.5625565⟩
Accès au bibtex
BibTex
titre
Iterative MIMO detection: flexibility and convergence analysis of soft-input soft-output list sphere decoding and linear MMSE detection
auteur
Micaela Troglia Gamba, Guido Masera, Amer Baghdadi
article
SoftCOM 2010 : International Conference on Software, Telecommunications and Computer Networks, Sep 2010, Split, Dubrovnik, Croatia. pp.175 - 179
Accès au bibtex
BibTex
titre
Exploring parallel processing levels in turbo demodulation
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
International Symposium on Turbo Codes and Iterative Information Processing, Sep 2010, Brest, France. pp.359 - 363, ⟨10.1109/ISTC.2010.5613904⟩
Accès au bibtex
BibTex
titre
TurbASIP power consumption analysis and optimization
auteur
Pallavi Reddy, Fabien Clermidy, Rachid Al Khayat, Amer Baghdadi, Michel Jezequel
article
Colloque national du groupe de recherches System On Chip - System In Package (SOC-SIP), Jun 2010, Paris, France
Accès au bibtex
BibTex
titre
DemASIP : universal demapper for multiwireless standards
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
Colloque national du groupe de recherches "System On Chip - System In Package" (SOC-SIP), Jun 2010, Paris, France
Accès au bibtex
BibTex
titre
Rapid design and prototyping of universal soft demapper
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
ISCAS : IEEE International Symposium on Circuits and Systems, May 2010, Paris, France
Accès au bibtex
BibTex

2009

Journal articles

titre
ASIP-based universal demapper for multiwireless standards
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
IEEE Embedded Systems Letters, 2009, 1 (1), pp.9-13. ⟨10.1109/LES.2009.2028041⟩
Accès au bibtex
BibTex
titre
From parallelism levels to a multi-ASIP architecture for turbo decoding
auteur
Olivier Muller, Amer Baghdadi, Michel Jezequel
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 17 (1), pp.92 - 102. ⟨10.1109/TVLSI.2008.2003164⟩
Accès au bibtex
BibTex

Conference papers

titre
Flexible architectures for LDPC decoders based on network on chip paradigm
auteur
Fabrizio Vacca, Guido Masera, Hazem Moussa, Amer Baghdadi, Michel Jezequel
article
DSD 2009 : 12th Euromicro Conference on Digital System Design, Sep 2009, Patras, Greece
Accès au bibtex
BibTex
titre
Shared resources high-level modeling in embedded systems using virtual nodes
auteur
Chafic Jaber, Andreas Kanstein, Ludovic Apvrille, Amer Baghdadi, Renaud Pacalet
article
Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference 2009, Jun 2009, Toulouse, France. ⟨10.1109/NEWCAS.2009.5290506⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00423997/file/Jaber2009.pdf BibTex
titre
High-level system modeling for rapid HW/SW architecture exploration
auteur
Chafic Jaber, Andreas Kanstein, Ludovic Apvrille, Amer Baghdadi, Patricia Le Moënner, Renaud Pacalet
article
IEEE/IFIP International Symposium on Rapid System Prototyping (RSP '09), Jun 2009, Paris, France. pp.88-94, ⟨10.1109/RSP.2009.27⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02124754/file/Jaber2009.pdf BibTex
titre
Rapid prototyping of ASIP-based flexible MMSE-IC linear equalizer
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
RSP’09 : IEEE International Symposium on Rapid System Prototyping, Jun 2009, Paris, France. pp.130-133, ⟨10.1109/RSP.2009.17⟩
Accès au bibtex
BibTex
titre
FPGA-based radar signal processing for automotive driver assistance system
auteur
Jean Saad, Amer Baghdadi, Frantz Bodereau
article
RSP’09 : IEEE/IFIP International Symposium on Rapid System Prototyping,, Jun 2009, Paris, France. pp.196-199, ⟨10.1109/RSP.2009.26⟩
Accès au bibtex
BibTex
titre
FPGA prototypes for turbo communication applications
auteur
Christophe Jego, Amer Baghdadi, Camille Leroux, Hazem Moussa, Olivier Muller, Atif Raza Jafri, Omar Al Assil, Patrick Adde, Gérald Le Mestre, Michel Jezequel
article
University Booth of DATE 09 : Design, Automation & Test in Europe Conference & Exhibition, Apr 2009, Nice, France
Accès au bibtex
BibTex
titre
ASIP-based flexible MMSE-IC linear equalizer for MIMO turbo-equalization applications
auteur
Atif Raza Jafri, Amer Baghdadi, Michel Jezequel
article
DATE’09 : Design, Automation & Test in Europe Conference & Exhibition, Apr 2009, Nice, France
Accès au bibtex
BibTex

2008

Conference papers

titre
Architectures des systèmes numériques de traitement : de l'électronique à l'informatique
auteur
Amer Baghdadi
article
10èmes journées pédagogiques du CNFM (Coordination nationale de la formation en micro et nanoélectronique), Nov 2008, Saint Malo, France
Accès au bibtex
BibTex
titre
Binary de Bruijn on-chip network for a flexible multiprocessor LDPC decoder
auteur
Hazem Moussa, Amer Baghdadi, Michel Jezequel
article
DAC 2008 : 45th ACM/IEEE design automation conference, Jun 2008, Anaheim, United States. pp.429 - 434, ⟨10.1109/DAC.2008.4555856⟩
Accès au bibtex
BibTex
titre
From application to ASIP-based FPGA prototype : a case study on turbo decoding
auteur
Olivier Muller, Amer Baghdadi, Michel Jezequel
article
RSP'2008 : the 19th IEEE/IFIP international symposium on rapid system prototyping, Jun 2008, Monterey, United States. pp.128 - 134, ⟨10.1109/RSP.2008.16⟩
Accès au bibtex
BibTex
titre
Binary de Bruijn interconnection network for a flexible LDPC/turbo decoder
auteur
Hazem Moussa, Amer Baghdadi, Michel Jezequel
article
IEEE International Symposium on Circuits and Systems (ISCAS 2008), May 2008, Seattle, WA, United States. pp.97-100, ⟨10.1109/ISCAS.2008.4541363⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02194923/file/04541363.pdf BibTex
titre
On-chip communication network for flexible multiprocessor turbo decoding
auteur
Hazem Moussa, Amer Baghdadi, Michel Jezequel
article
ICTTA '08 : 3d International Conference on Information and Communication Technologies : From Theory to Applications, Apr 2008, Damas, Syria. pp.1 - 6
Accès au bibtex
BibTex