Publications HAL

2024

Conference papers

titre
On The Effect of Replacement Policies on The Security of Randomized Cache Architectures
auteur
Moritz Peters, Nicolas Gaudin, Jan Philipp Thoma, Vianney Lapotre, Pascal Cotret, Gogniat Guy, Tim Guneysu
article
19th ACM ASIA Conference on Computer and Communications Security (ACM ASIACCS 2024), Jul 2024, Singapore, Singapore
Accès au bibtex
BibTex
titre
Verrouillage des lignes de cache pour la lutte contre les attaques par canaux auxiliaires exploitant les mémoires caches
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
Cyber On Board, Mar 2024, ile des Embiez, France
Accès au bibtex
BibTex
titre
Cache locking against cache-based side-channel attacks
auteur
Nicolas Gaudin, Vianney Lapotre, Pascal Cotret, Gogniat Guy
article
École d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH), Université Libre de Bruxelles, Feb 2024, Maillen, Belgium
Accès au bibtex
BibTex

2023

Conference papers

titre
Protecting a RISC-V embedded processor against physical and software attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
BITFLIP by DGA - European Cyber Week 2023, Nov 2023, Rennes, France
Accès au bibtex
BibTex
titre
Another Break in the Wall: Harnessing Fault Injection Attacks to Penetrate Software Fortresses
auteur
William Pensec, Vianney Lapôtre, Guy Gogniat
article
SensorsS&P: First International Workshop on Security and Privacy of Sensing Systems, Nov 2023, Istanbul Turkiye, France. pp.8-14, ⟨10.1145/3628356.3630116⟩
Accès au bibtex
BibTex
titre
Work in Progress: Thwarting Timing Attacks in Microcontrollers using Fine-grained Hardware Protections
auteur
Nicolas Gaudin, Jean-Loup Hatchikian-Houdot, Frédéric Besson, Pascal Cotret, Gogniat Guy, Guillaume Hiet, Vianney Lapotre, Pierre Wilke
article
2023 IEEE European Symposium on Security and Privacy Workshops (EuroS&PW), Jul 2023, Delft, Netherlands. pp.1-7
Accès au texte intégral et bibtex
https://hal.science/hal-04155139/file/silm2023-cache-protection.pdf BibTex
titre
When in-core DIFT faces fault injection attacks
auteur
Vianney Lapotre, William Pensec, Gogniat Guy
article
CryptArchi 2023 - 19th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2023, Cantabria, Spain
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Contributions à la sécurité des systèmes embarqués face aux attaques logiques et physiques
auteur
Vianney Lapotre
article
Architectures Matérielles [cs.AR]. Université Bretagne Sud, 2023
Accès au texte intégral et bibtex
https://hal.science/tel-04155274/file/HDR.pdf BibTex

Poster communications

titre
When in-core DIFT faces fault injection attacks
auteur
William Pensec, Vianney Lapotre, Guy Gogniat
article
RISC-V Summit Europe 2023,, Jun 2023, Barcelone, Spain. 2023
Accès au texte intégral et bibtex
https://hal.science/hal-04132319/file/2023-06-07-William-PENSEC-poster.pdf BibTex

2022

Journal articles

titre
The Kingsguard OS-level mitigation against cache side-channel attacks using runtime detection
auteur
Maria Mushtaq, Muhammad Muneeb Yousaf, Muhammad Khurram Bhatti, Vianney Lapotre, Gogniat Guy
article
Annals of Telecommunications - annales des télécommunications, 2022, 77, pp.731-747. ⟨10.1007/s12243-021-00906-3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-03545078/file/Annals_of_Telecommunications__Kingsguard_2021-2.pdf BibTex

Conference papers

titre
Processor Extensions for Hardware Instruction Replay against Fault Injection Attacks
auteur
Noura Ait Manssour, Vianney Lapotre, Gogniat Guy, Arnaud Tisserand
article
DDECS: 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2022, Prague, Czech Republic
Accès au texte intégral et bibtex
https://hal.science/hal-03599317/file/ddecs-hal.pdf BibTex

2020

Journal articles

titre
Winter is here! A decade of cache-based side-channel attacks, detection & mitigation for RSA
auteur
Maria Mushtaq, Muhammad Asim Mukhtar, Vianney Lapotre, Muhammad Khurram Bhatti, Guy Gogniat
article
Information Systems, 2020, 92, pp.#101524. ⟨10.1016/j.is.2020.101524⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02537540/file/Winter%20is%20here%20A%20decade%20of%20cache-based%20side-channel%20attacks%20detection.pdf BibTex
titre
WHISPER A Tool for Run-time Detection of Side-Channel Attacks
auteur
Maria Mushtaq, Jeremy Bricq, Muhammad Khurram Bhatti, Ayaz Akram, Vianney Lapotre, Guy Gogniat, Pascal Benoit
article
IEEE Access, 2020, 8, pp.83871-83900. ⟨10.1109/ACCESS.2020.2988370⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02546630/file/09069285.pdf BibTex
titre
Meet the Sherlock Holmes’ of Side Channel Leakage: A Survey of Cache SCA Detection Techniques
auteur
Ayaz Akram, Maria Mushtaq, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
IEEE Access, 2020, 8, pp.70836-70860. ⟨10.1109/ACCESS.2020.2980522⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02508889/file/Meet_the_Sherlock_Holmes_of_Side_Channel_Leakage_A_Survey_of_Cache_SCA_Detection_Techniques.pdf BibTex
titre
Automated Exploration of Homomorphic Encryption Scheme Input Parameters
auteur
Cyrielle Feron, Loïc Lagadec, Vianney Lapotre
article
Journal of Information Security and Applications, 2020, 55, pp.102627. ⟨10.1016/j.jisa.2020.102627⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02960569/file/journal.pdf BibTex
titre
FLUSH + PREFETCH: A Countermeasure Against Access-driven Cache-based Side-Channel Attacks
auteur
M Asim Mukhtar, Maria Mushtaq, M Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
Journal of Systems Architecture, 2020, 104, pp.101698. ⟨10.1016/j.sysarc.2019.101698⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02417391/file/1-s2.0-S1383762119305053-main.pdf BibTex

Conference papers

titre
Toward Secured IoT Devices: a Shuffled 8-Bit AES Hardware Implementation
auteur
Ghita Harcha, Vianney Lapotre, Cyrille Chavet, Philippe Coussy
article
IEEE International Symposium on Circuits and Systems (ISCAS), Oct 2020, Seville, Spain. ⟨10.1109/ISCAS45731.2020.9180599⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02511667/file/iscas-v0.pdf BibTex

2019

Conference papers

titre
Sherlock Holmes of Cache Side-Channel Attacks in Intel's x86 Architecture
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Usman Ali, Vianney Lapotre, Guy Gogniat
article
IEEE-Communications and Network Security, Jun 2019, Washington DC, United States
Accès au texte intégral et bibtex
https://hal.science/hal-02151838/file/IEEE-CNS-2019.pdf BibTex
titre
A hardware/software co-design approach for security analysis of application behavior
auteur
Vianney Lapotre
article
Journée "Nouvelles Avancées en Sécurité des Systèmes d'Information, INSA de Toulouse; LAAS-CNRS, Jan 2019, Toulouse, France
Accès au bibtex
BibTex

2018

Journal articles

titre
Application Deployment Strategies for Spatial Isolation on Many-Core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
ACM Transactions on Embedded Computing Systems (TECS), 2018, 17 (2), pp.1 - 31. ⟨10.1145/3168383⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01827400/file/TECS.pdf BibTex
titre
Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
IEEE Transactions on Computers, 2018, 67 (3), pp.335-347. ⟨10.1109/TC.2016.2645204⟩
Accès au bibtex
BibTex

Conference papers

titre
A novel lightweight hardware-assisted static instrumentation approach for ARM SoC using debug components
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Gogniat Guy, Arnab Kumar Biswas
article
AsianHOST 2018 - Asian Hardware Oriented Security and Trust Symposium, Dec 2018, Hong Kong, China. pp.1-13, ⟨10.1109/asianhost.2018.8607177⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911621/file/asianhost-preprint.pdf BibTex
titre
Machine Learning For Security: The Case of Side-Channel Attack Detection at Run-time
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Muneeb Yousaf, Umer Farooq, Vianney Lapotre, Guy Gogniat
article
ICECS-2018, Dec 2018, Bordeaux, France
Accès au texte intégral et bibtex
https://hal.science/hal-01876792/file/ICECS_2018%20%284%29.pdf BibTex
titre
A small and adaptive coprocessor for information flow tracking in ARM SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Arnab Kumar Biswas, Vianney Lapotre, Gogniat Guy
article
ReConFig 2018 - International Conference on Reconfigurable Computing and FPGAs, Dec 2018, Cancun, Mexico. pp.1-17, ⟨10.1109/reconfig.2018.8641695⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01911619/file/main.pdf BibTex
titre
Run-time Detection of Prime+Probe Side-Channel Attack on AES Encryption Algorithm
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Naveed Bin Raees Rao, Vianney Lapotre, Guy Gogniat
article
Global Information Infrastructure and Networking Symposium (GIIS), Oct 2018, Thessaloniki, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01879950/file/giis.pdf BibTex
titre
Cache-Based Side-Channel Intrusion Detection using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Vianney Lapotre, Guy Gogniat
article
CryptArchi 2018 - 16th International Workshops on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/cel-01824512/file/cryptarchi%20%282%29.pdf BibTex
titre
NIGHTs-WATCH: A Cache-based Side-channel Intrusion Detector Using Hardware Performance Counters
auteur
Maria Mushtaq, Ayaz Akram, Muhammad Khurram Bhatti, Maham Chaudhry, Vianney Lapotre, Guy Gogniat
article
7th International Workshop on Hardware and Architectural Support for Security and Privacy, Jun 2018, Los Angeles, United States. ⟨10.1145/3214292.3214293⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01806729/file/HASP_ISCA_2018-3.pdf BibTex
titre
Fast Evaluation of Homomorphic Encryption Schemes Based on Ring-LWE
auteur
Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
article
2018 9th IFIP International Conference on New Technologies, Mobility and Security (NTMS), Feb 2018, Paris, France. ⟨10.1109/NTMS.2018.8328693⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01757093/file/fast-evaluation-homomorphic13.pdf BibTex

2017

Journal articles

titre
Dynamic configuration management of a multi-standard and multi-mode reconfigurable multi-ASIP architecture for turbo decoding
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
EURASIP Journal on Advances in Signal Processing, 2017, 2017 (1), ⟨10.1186/s13634-017-0468-x⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01595772/file/s13634-017-0468-x.pdf BibTex
titre
A High-Speed Accelerator for Homomorphic Encryption using the Karatsuba Algorithm
auteur
Vincent Migliore, Cédric Seguin, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat, Russell Tessier
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), ⟨10.1145/3126558⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01630065/file/TECS-2017.pdf BibTex

Conference papers

titre
Improving Confidentiality Against Cache-based SCAs
auteur
Maria Mushtaq, Vianney Lapotre, Guy Gogniat, M Asim Mukhtar, Muhammad Khurram Bhatti
article
ACM WomENcourage, Sep 2017, barcelona, France
Accès au texte intégral et bibtex
https://hal.science/hal-01748057/file/womENcourage_2017_paper_10.pdf BibTex
titre
ARMHEx: A hardware extension for DIFT on ARM-based SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. ⟨10.23919/fpl.2017.8056767⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01558473/file/bare_conf.pdf BibTex
titre
PAnTHErS: A Prototyping and Analysis Tool for Homomorphic Encryption Schemes
auteur
Cyrielle Feron, Vianney Lapotre, Loïc Lagadec
article
SECRYPT: 14th International Conference on Security and Cryptography, Jul 2017, Madrid, Spain
Accès au texte intégral et bibtex
https://hal.science/hal-01595789/file/panthers-SECRYPT.pdf BibTex
titre
Using a Virtual Plant to Support the Development of Intelligent Gateway for Sensors/Actuators Security
auteur
Thomas Toublanc, Sébastien Guillet, Florent de Lamotte, Pascal Berruet, Vianney Lapotre
article
IFAC World Congress, Jul 2017, Toulouse, France. pp.5837-5842
Accès au bibtex
BibTex
titre
ARMHEx: embedded security through hardware-enhanced information flow tracking
auteur
Muhammad Abdul – Wahab, Pascal Cotret, Mounir – Nasr Allah, Guillaume – Hiet, Vianney Lapotre, Guy Gogniat
article
RESSI 2017 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2017, Grenoble (Autrans), France
Accès au texte intégral et bibtex
https://hal.science/hal-01558155/file/bare_conf.pdf BibTex
titre
Somewhat/Fully Homomorphic Encryption: Implementation Progresses and Challenges
auteur
Guillaume Bonnoron, Caroline Fontaine, Guy Gogniat, Vincent Herbert, Vianney Lapotre, Vincent Migliore, Adeline Roux-Langlois
article
C2SI 2017 : 2nd International Conference on Codes, Cryptology and Information Security, Apr 2017, Rabat, Morocco. pp.68 - 82, ⟨10.1007/978-3-319-55589-8_5⟩
Accès au bibtex
BibTex

Poster communications

titre
ARMHEx: a framework for efficient DIFT in real-world SoCs
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
Field Programmable Logic (FPL), Sep 2017, Ghent, Belgium. , 2017
Accès au texte intégral et bibtex
https://hal.science/hal-01558475/file/bare_conf.pdf BibTex

2016

Journal articles

titre
A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hübner, Jean-Philippe Diguet
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (1), pp.383 - 387. ⟨10.1109/TVLSI.2015.2396941⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01121754/file/TVLSI_brief.pdf BibTex

Conference papers

titre
Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm
auteur
Vincent Migliore, Maria Mendez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Field-Programmable Technology (FPT), Dec 2016, Xi’an, China. ⟨10.1109/FPT.2016.7929535⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01427642/file/fpt-2016-vl.pdf BibTex
titre
Towards a hardware-assisted information flow tracking ecosystem for ARM processors
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
26th International Conference on Field-Programmable Logic and Applications (FPL 2016), Aug 2016, Lausanne, Switzerland. ⟨10.1109/fpl.2016.7577396⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337579/file/fpl2016.pdf BibTex
titre
MPSoCSim extension: An OVP Simulator for the Evaluation of Cluster-based Multicore and Many-core architectures
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat, Philipp Wehner, Jens Rettkowski, Diana Göhringer
article
4rd Work­shop on Vir­tu­al Pro­to­typ­ing of Par­al­lel and Em­bed­ded Sys­tems (ViPES) as part of the In­ter­na­tio­nal Con­fe­rence on Em­bed­ded Com­pu­ter Sys­tems: Ar­chi­tec­tu­res, Mo­de­ling, and Si­mu­la­ti­on (SAMOS), Jul 2016, Samos, Greece
Accès au texte intégral et bibtex
https://hal.science/hal-01347188/file/SAMOS.pdf BibTex
titre
Dynamic Spatially Isolated Secure Zones for NoC-based Many-core Accelerators
auteur
Maria Méndez Real, Philipp Wehner, Vincent Migliore, Vianney Lapotre, Diana Göhringer, Guy Gogniat
article
8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jun 2016, Tallinn, Estonia. ⟨10.1109/ReCoSoC.2016.7533900⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01347175/file/recosoc_2016_v3.pdf BibTex
titre
A portable approach for SoC-based Dynamic Information Flow Tracking implementations
auteur
Muhammad Abdul Wahab, Pascal Cotret, Mounir Nasr Allah, Guillaume Hiet, Vianney Lapotre, Guy Gogniat
article
11ème Colloque du GDR SoC/SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311045/file/2016_socsip_wahab.pdf BibTex
titre
ALMOS many-core operating system extension with new secure-enable mechanisms for dynamic creation of secure zones
auteur
Maria Méndez Real, Vincent Migliore, Vianney Lapotre, Guy Gogniat
article
24th Euromicro International Conference on Parallel, Distributed and Netwprk-Based Processing (PDP 2016), Feb 2016, Heraklion - Crete, Greece
Accès au bibtex
BibTex

Poster communications

titre
HardBlare: a Hardware-Assisted Approach for Dynamic Information Flow Tracking
auteur
Mounir Nasr Allah, Guillaume Hiet, Muhammad Abdul Wahab, Pascal Cotret, Guy Gogniat, Vianney Lapotre
article
Séminaire des doctorantes et doctorants en informatique de la Société Informatique de France, Apr 2016, Paris, France. 2016
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01311032/file/2016_sif_nasrallah.pdf BibTex

2015

Conference papers

titre
Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
auteur
Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01273192/file/reconfig-2015.pdf BibTex
titre
On the Performance Exploration of 3D NoCs with Resistive-Open TSVs
auteur
Charles Emmanuel Effiong, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Aida Todri-Sanial, Khalid Latif
article
ISVLSI 2015 - International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.579-584, ⟨10.1109/ISVLSI.2015.49⟩
Accès au bibtex
BibTex
titre
A trace-driven approach for fast and accurate simulation of manycore architectures
auteur
Anastasiia Butko, Rafael Garibotti, Luciano Ost, Chris Adeniyi-Jones, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli
article
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2015, Chiba, Tokyo, Japan. pp.707-712, ⟨10.1109/ASPDAC.2015.7059093⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01255921/file/PID3487621_v3.pdf BibTex

Poster communications

titre
HardBlare: an efficient hardware-assisted DIFC for non-modified embedded processors
auteur
Pascal Cotret, Guillaume Hiet, Guy Gogniat, Vianney Lapotre
article
CHES 2015 - Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. 2015
Accès au texte intégral et bibtex
https://centralesupelec.hal.science/hal-01252597/file/ches_abstract.pdf BibTex

2014

Conference papers

titre
Performance exploration of partially connected 3D NoCs under manufacturing variability
auteur
Anelise Kologeski, Fernanda Lima Kastensmidt, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Aida Todri-Sanial
article
NEWCAS 2014 - 12th IEEE International New Circuits and Systems Conference, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Accès au bibtex
BibTex

Poster communications

titre
Multithreading for Compute Accelerators Through Distributed Shared Memory Design
auteur
Rafael Garibotti, Luciano Ost, Abdoulaye Gamatié, Vianney Lapotre, Chris Adeniyi-Jones, Gilles Sassatelli
article
DAC: Design Automation Conference, Jun 2014, San Francisco, United States. IEEE Design Automation Conference, 2014, Work-in-Progress Session
Accès au bibtex
BibTex

2013

Journal articles

titre
Introduction de la prédiction de branchement dans la synthèse de haut niveau
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet
article
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, n° 2/2013, 281-301, pp.XX-YY
Accès au bibtex
BibTex

Conference papers

titre
Rapid Design and Prototyping of a Reconfigurable Decoder Architecture for QC-LDPC Codes
auteur
Purushotham Murugappa Velayuthan, Vianney Lapotre, Amer Baghdadi, Michel Jezequel
article
RSP 2013 : 24th IEEE International Symposium on Rapid System Prototyping, Oct 2013, Montreal, Canada
Accès au bibtex
BibTex
titre
Stopping-free dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Michael Hubner, Jean-Philippe Diguet
article
DSD 2013 : 16th Euromicro Conference on Digital System Design, Sep 2013, Santander, Spain. pp.155 - 162
Accès au texte intégral et bibtex
https://hal.science/hal-00876005/file/DSD13-final.pdf BibTex
titre
Plateforme multi-ASIP reconfigurable dynamiquement pour le turbo décodage dans un contexte multi-standard
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet
article
GRETSI 2013 : 24ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2013, Brest, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876009/file/Gretsi_final.pdf BibTex
titre
Dynamic Branch Prediction For High-Level Synthesis
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet, Hugues Nono Wouafo, Robin Danilo
article
International Conference on Field Programmable Logic and Applications, Sep 2013, Portugal. pp.XX-YY
Accès au bibtex
BibTex
titre
A reconfigurable multi-standard ASIP-based turbo decoder for an efficient dynamic reconfiguration in a multi-ASIP
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Michael Hubner
article
ISVLSI 2013 : IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. ⟨10.1109/ISVLSI.2013.6654620⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01002828/file/ISVLSI13_final.pdf BibTex
titre
An efficient on-chip configuration infrastructure for a flexible multi-ASIP turbo decoder architecture
auteur
Vianney Lapotre, Hübner Michael, Guy Gogniat, Purushotham Murugappa Velayuthan, Amer Baghdadi, Jean-Philippe Diguet
article
ReCoSoC 2013 : 8th IEEE International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, Jul 2013, Darmstadt, Germany. ⟨10.1109/ReCoSoC.2013.6581518⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873978/file/ReCoSoC_final.pdf BibTex
titre
Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Philippe Diguet, Jean-Noël Bazin, Hübner Michael
article
ISCAS 2013 : IEEE International Symposium on Circuits and Systems, May 2013, Beijing, Chine. pp.493 - 496, ⟨10.1109/ISCAS.2013.6571888⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00873979/file/ICAS13_ASIP_final2.pdf BibTex

Poster communications

titre
Efficient dynamic configuration of a multi-ASIP turbo decoder
auteur
Vianney Lapotre, Purushotham Murugappa Velayuthan, Guy Gogniat, Amer Baghdadi, Jean-Noël Bazin, Jean-Philippe Diguet, Michael Hubner
article
GDR SoC-SiP 2013 : Colloque National du Groupe de Recherche System on Chip -System in Package, Jun 2013, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00876017/file/GDR_SOC-SIP_%20Poster.pdf BibTex

Theses

titre
Toward dynamically reconfigurable high throughput multiprocessor Turbo decoder in a multimode and multi-standard context
auteur
Vianney Lapotre
article
Electronics. Université de Bretagne-Sud, 2013. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01096975/file/PhDThesis_UEB.pdf BibTex

2012

Conference papers

titre
An analytical approach for sizing of heterogeneous multiprocessor flexible platform for iterative demapping and channel decoding
auteur
Vianney Lapotre, Guy Gogniat, Jean-Philippe Diguet, Salim Haddad, Amer Baghdadi
article
International Conference on ReConFigurable Computing and FPGAs (Reconfig), Dec 2012, Cancun, Mexico. ⟨10.1109/ReConFig.2012.6416728⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00747714/file/reconfig_V0.pdf BibTex

2011

Conference papers

titre
Management of reconfigurable multi-standards ASIP-based receiver
auteur
Vianney Lapotre, Guy Gogniat, Amer Baghdadi, Salim Haddad, Jean-Philippe Diguet, John Shield
article
SOC-SIP : colloque national du groupe de recherches System On Chip - System In Package, Jun 2011, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-00724998/file/Management_of_reconfigurable_multi-standards_ASIP-based_receiver.pdf BibTex
titre
Prédiction de Branchement dans la Synthèse de Haut Niveau
auteur
Vianney Lapotre, Philippe Coussy, Cyrille Chavet
article
SYMPosium en Architectures, Saint Malo, Mai 2011, May 2011, St Malo, France. pp.XX-YY
Accès au bibtex
BibTex