- titre
- Direct ageing of LPBF Al-1Fe-1Zr for high conductivity and mechanical performance
- auteur
- Camille Pauzon, Maxence Buttard, Arthur Després, Frédéric Charlot, Marc Fivel, Béchir Chehab, Jean-Jacques Blandin, Guilhem Martin
- article
- Acta Materialia, 2023, 258, pp.119199. ⟨10.1016/j.actamat.2023.119199⟩
- Accès au texte intégral et bibtex
-
- titre
- How nano-crystallization may impact the thermoforming capacity of Zr56Co28Al16 bulk metallic glass
- auteur
- Rémi Daudin, Loïcia Gaudilliere, Guérin Eliott, Alexis Lenain, Patricia Donnadieu, Jean Jacques Blandin
- article
- THERMEC'2023, Jul 2023, Vienna (Austria), Austria
- Accès au bibtex
-
- titre
- Low temperature thermocompression of de-alloyed and electroplated copper films for die attach application
- auteur
- Lucas Chachay, Jean-Michel Missiaen, Didier Bouvard, Jean-Yves Hihn, Rémi Daudin, Jonathan Schoenleber, Rabih Khazaka
- article
- THERMEC'2023, Jul 2023, Vienna (Austria), Austria
- Accès au bibtex
-
- titre
- Structural state and deformation mechanism dependency of the wear resistance of bulk metallic glasses.
- auteur
- Paul Laffont, Jean Jacques Blandin, Fivel Marc, Rémi Daudin, Solène Stoens, Pierre-Henri Cornuault, Guillaume Colas, Alexis Lenain, Sebastien Gravier
- article
- THERMEC'2023, Jul 2023, Vienna (Austria), Austria
- Accès au bibtex
-
- titre
- Comportement thermomécanique de revêtements céramiques
- auteur
- Thiane Ndiaye, Ludovic Charpentier, Reine Reoyo-Prats, Frédéric Mercier, Raphaël Boichot
- article
- Journées Annuelles du GDR TAMARYS, Jun 2023, Nancy, France
- Accès au texte intégral et bibtex
-
- titre
- Assemblage par thermocompression à basse température de films de cuivre poreux obtenus par électrodéposition ou par dissolution sélective d'alliages
- auteur
- Lucas Chachay, Jean-Michel Missiaen, Didier Bouvard, Rémi Daudin, Jean-Yves Hihn, Jonathan Schoenleber
- article
- Metallurgie quel avenir !, Jun 2023, Grenoble (38000), France
- Accès au bibtex
-
- titre
- Impact de l'état structural des verres métalliques base Zr-Cu sur les propriétés mécaniques et le comportement tribologique
- auteur
- Paul Laffont, Rémi Daudin, Fivel Marc, Jean Jacques Blandin
- article
- Métallurgie quel avenir !, Jun 2023, Grenoble (38000), France
- Accès au bibtex
-
- titre
- Trends in mechanical and electrical properties for hard-soft nano-phased metallic alloys
- auteur
- Solène Iruela, Fabien Volpi, Annie Antoni-Zdziobek, Vincent Jarry, Yannick Champion
- article
- Materials Today Communications, 2023, 35, ⟨10.1016/j.mtcomm.2023.106292⟩
- Accès au texte intégral et bibtex
-
- titre
- Thin films for the mitigation of electron multipacting
- auteur
- Gaël Sattonnay, Suheyla Bilgen, Bruno Mercier, Yanis Pisi, Arnaud Mantoux, David Longuevergne, Yolanda Gómez Martínez
- article
- 14th International Particle Accelerator Conference, May 2023, Venice, Italy. pp.THPA160, ⟨10.18429/JACoW-IPAC2023-THPA160⟩
- Accès au bibtex
-
- titre
- Test of $^{116}$CdWO$_4$ and Li$_2$MoO$_4$ scintillating bolometers in the CROSS underground facility with upgraded detector suspension
- auteur
- A Ahmine, I.C Bandac, A.S Barabash, V Berest, L Bergé, J.M Calvo-Mozota, P Carniti, M Chapellier, I Dafinei, F.A Danevich, T Dixon, L Dumoulin, F Ferri, A Giuliani, C Gotti, P Gras, D.L Helis, A Ianni, L Imbert, H Khalife, V.V Kobychev, S.I Konovalov, P Loaiza, P de Marcillac, S Marnieros, C.A Marrache-Kikuchi, M Martinez, C Nones, E Olivieri, A. Ortiz de Solórzano, Y Peinaud, G Pessina, D.V Poda, Th Redon, Ph Rosier, J.A Scarpaci, V.I Tretyak, V.I Umatov, M Velazquez, M.M Zarytskyy, A Zolotarova
- article
- JINST, 2023, 18 (12), pp.P12004. ⟨10.1088/1748-0221/18/12/P12004⟩
- Accès au bibtex
-
- titre
- A new X-ray beam induced current setup, coupled with X-ray diffraction imaging, for diamonds and semiconductors characterization by synchrotron techniques at ESRF
- auteur
- F. Lafont, J. Baruchel, J. Bousquet, E. Capria, R. Celestre, M. Cotte, D. Dauvergne, P. Everaere, M.L. Gallin-Martel, C. Hoarau, O. Ibourk, J. Letellier, R. Molle, J.-F. Muraz, D.Z. Nusimovici, M. Reynaud, T.N. Tran-Caliste
- article
- Diam.Rel.Mater., 2023, 140, pp.110454. ⟨10.1016/j.diamond.2023.110454⟩
- Accès au bibtex
-
- titre
- Measurement of the $2\nu\beta\beta$ decay rate and spectral shape of $^{100}$Mo from the CUPID-Mo experiment
- auteur
- C Augier, A.S Barabash, F Bellini, G Benato, M Beretta, L Bergé, J Billard, Yu.A Borovlev, L Cardani, N Casali, A Cazes, E Celi, M Chapellier, D Chiesa, I Dafinei, F.A Danevich, M de Jesus, T Dixon, L Dumoulin, K Eitel, F Ferri, B.K Fujikawa, J Gascon, L Gironi, A Giuliani, V.D Grigorieva, M Gros, D.L Helis, H.Z Huang, R Huang, L Imbert, J Johnston, A Juillard, H Khalife, M Kleifges, V.V Kobychev, Yu.G Kolomensky, S.I Konovalov, J Kotila, P Loaiza, L Ma, E.P Makarov, P de Marcillac, R Mariam, L Marini, S Marnieros, X.-F Navick, C Nones, E.B Norman, E Olivieri, J.L Ouellet, L Pagnanini, L Pattavina, B Paul, M Pavan, H Peng, G Pessina, S Pirro, D.V Poda, O.G Polischuk, S Pozzi, E Previtali, Th Redon, A Rojas, S Rozov, V Sanglard, J.A Scarpaci, B Schmidt, Y Shen, V.N Shlegel, F Šimkovic, V Singh, C Tomei, V.I Tretyak, V.I Umatov, L Vagneron, M Velázquez, B Ware, B Welliver, L Winslow, M Xue, E Yakushev, M Zarytskyy, A.S Zolotarova
- article
- Physical Review Letters, 2023, 131 (16), pp.162501. ⟨10.1103/PhysRevLett.131.162501⟩
- Accès au bibtex
-
- titre
- Mesure des propriétés mécaniques des matériaux à haute vitesse de déformation par micro-compression
- auteur
- Bénédicte Adogou, Sergio Sao Joao, Gaylord Guillonneau, Fivel Marc, Simon Breumier, Guillaume Kermouche
- article
- 25e Congrès Français de Mécanique, Aug 2022, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Nano-mousses métalliques -Propriétés et perspectives
- auteur
- Yannick Champion
- article
- Les Techniques de l'Ingenieur, 2022
- Accès au texte intégral et bibtex
-
- titre
- The CUPID-Mo experiment for neutrinoless double-beta decay: performance and prospects
- auteur
- E. Armengaud, C. Augier, A.S. Barabash, F. Bellini, G. Benato, A. Benoit, M. Beretta, L. Bergé, J. Billard, Yu.A. Borovlev, Ch. Bourgeois, M. Briere, V.B. Brudanin, P. Camus, L. Cardani, N. Casali, A. Cazes, M. Chapellie R, F. Charlieux, M. de Combarieu, I. Dafinei, F.A. Danevich, M. de Jesus, L. Dumoulin, K. Eitel, E. Elkhoury, F. Ferri, B.K. Fujikawa, J. Gascon, L. Gironi, A. Giuliani, V.D. Grigorieva, M. Gros, E. Guerard, D.L. Helis, H.Z. Huang, R. Huang, J. Johnston, A. Juillard, H. Khalife, M. Kleifges, V.V. Kobychev, Yu.G. Kolomensky, S.I. Konovalov, A. Leder, P. Loaiza, L. Ma, E.P. Makarov, P. de Marcillac, L. Marini, S. Marnieros, X.-F. Navick, C. Nones, V. Novati, E. Olivieri, J.L. Ouelle T, L. Pagnanini, P. Pari, L. Pattavina, B. Paul, M. Pavan, H. Peng, G. Pessina, S. Pirro, D.V. Poda, O.G. Polischuk, E. Previtali, Th. Redon, S. Rozov, C. Rusconi, V. Sanglard, K. Schäffner, B. Schmidt, Y. Shen, V.N. Shlegel, B. Siebenborn, V. Singh, S. Sorbino, C. Tomei, V.I. Tretyak, V.I. Umatov, L. Vagneron, M. Velázquez, M. Weber, B. Welliver, L. Winslow, M. Xue, E. Yakushev, A.S. Zolotarova
- article
- European Physical Journal C: Particles and Fields, 2020, 80 (1), pp.44. ⟨10.1140/epjc/s10052-019-7578-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Precise measurement of 2vbetabeta decay of 100Mo with the CUPID-Mo detection technology
- auteur
- E. Armengaud, C. Augier, A.S. Barabash, F. Bellini, G. Benato, A. Benoît, M. Beretta, L. Bergé, J. Billard, Yu.A. Borovlev, Ch. Bourgeois, M. Briere, V. Brudanin, P. Camus, L. Cardani, N. Casali, A. Cazes, M. Chapellier, F. Charlieux, M. de Combarieu, I. Dafinei, F.A. Danevich, M. de Jesus, L. Dumoulin, K. Eitel, E. Elkhoury, F. Ferri, B.K. Fujikawa, J. Gascon, L. Gironi, A. Giuliania, V.D. Grigorieva, M. Gros, E. Guerard, D.L. Helis, H.Z. Huang, R. Huang, J. Johnston, A. Juillard, H. Khalife, M. Kleifges, V.V. Kobychev, Yu.G. Kolomensky, S.I. Konovalov, A. Leder, J. Kotila, P. Loaiza, L. Ma, E.P. Makarov, P. de Marcillac, L. Marini, S. Marnieros, D. Misiak, X-F. Navick, C. Nones, V. Novati, E. Olivieri, J.L. Ouellet, L. Pagnanini, P. Pari, L. Pattavina, B. Paul, M. Pavan, H. Peng, G. Pessina, S. Pirro, D.V. Poda, O.G. Polischuk, E. Previtali, Th. Redon, S. Rozov, C. Rusconi, V. Sanglard, K. Schäffner, B. Schmidt, Y. Shen, V.N. Shlegel, B. Siebenborn, V. Singh, C. Tomei, V.I. Tretyak, V.I. Umatov, L. Vagneron, M. Velázquez, M. Weber, B. Welliver, L. Winslow, M. Xue, E. Yakushev, A.S. Zolotarova
- article
- European Physical Journal C: Particles and Fields, 2020, 80 (7), pp.674. ⟨10.1140/epjc/s10052-020-8203-4⟩
- Accès au texte intégral et bibtex
-
- titre
- Mesoscopic plasticity: From dislocation dynamics to mechanical behaviour
- auteur
- Fivel Marc
- article
- 1st Colloquium on Theoretical and Experimental Micro-Mechanics, Nov 2019, Metz, France
- Accès au bibtex
-
- titre
- Combined Effects of Supersaturation and Stress for the Control of AlN Film Quality
- auteur
- Raphael Boichot, Danying Chen, Frederic Mercier, Mikhail Chubarov, Elisabeth Blanquet, Michel Pons, Gael Gusti
- article
- International Conference on Metallurgical Coatings and Thin Films (ICMCTF), " Hard Coatings and Vapor Deposition Technology, Apr 2017, San Diego, United States
- Accès au bibtex
-
- titre
- Mechanical behaviour at high temperature as induced during welding of a 6xxx series aluminium alloy
- auteur
- Daniel Maisonnette, Didier Bardel, Vincent Robin, Daniel Nélias, Michel Suéry
- article
- International Journal of Pressure Vessels and Piping, 2017, 149, pp.55-65. ⟨10.1016/j.ijpvp.2016.12.004⟩
- Accès au texte intégral et bibtex
-
- titre
- Stability of β″ nano-phases in Al-Mg-Si(-Cu) alloy under high dose ion irradiation
- auteur
- Camille Flament, Joël Ribis, Jérôme Garnier, Yves Serruys, F. Leprêtre, Aurélie Gentils, C. Baumier, M. Descoins, Dominique Mangelinck, A. Lopez, Kimberly Colas, Karl Buchanan, Patricia Donnadieu, Alexis Deschamps
- article
- Acta Materialia, 2017, 128, pp.64-76. ⟨10.1016/j.actamat.2017.01.044⟩
- Accès au bibtex
-
- titre
- Archimedean Tilings and Hierarchical Lamellar Morphology Formed by Semicrystalline Miktoarm Star Terpolymer Thin Films
- auteur
- Karim Aissou, Wonsang Kwon, Muhammad Mumtaz, Ségolène Antoine, Mireille Maret, Giuseppe Portale, Guillaume Fleury, Georges Hadziioannou
- article
- ACS Nano, 2016, 10 (4), pp.4055-4061. ⟨10.1021/acsnano.5b06728⟩
- Accès au bibtex
-
- titre
- Influence de la transformation martensitique induite par la déformation sur le comportement mécanique d’aciers inoxydables duplex
- auteur
- Audrey Lechartier
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI107⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental and numerical aspects of the Kyropoulos Crystal Growth of Silicon for Photovoltaics
- auteur
- Guy Chichignoud, Ahmed Nouri, Yves Delannoy, Francis Richard, Mickael Albaric, Virginie Brizé, Anis Jouini, Kader Zaïdat
- article
- Collaborative Conference on Crystal Growth (3CG2015), Dec 2015, Hong Kong, China
- Accès au bibtex
-
- titre
- On the role of surface rheology in a two-phase MHD flow
- auteur
- Jules Delacroix
- article
- Fluids mechanics [physics.class-ph]. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI084⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnesium for biomedical applications as degradable implants : thermomechanical processing and surface functionalization of a Mg-Ca alloy
- auteur
- Olivier Jay
- article
- Materials. Université Grenoble Alpes; University of Waterloo (Canada), 2015. English. ⟨NNT : 2015GREAI104⟩
- Accès au texte intégral et bibtex
-
- titre
- Multi crystalline silicon solidification under controlled forced convection
- auteur
- Kader Zaïdat, Mircea Cablea, Abdallah Nouri, Guy Chichignoud, Annie Gagnoud, Yves Delannoy
- article
- Collaborative Conference on Crystal Growth (3CG2015), Dec 2015, Hong Kong, China
- Accès au bibtex
-
- titre
- Modeling and analysis of material behavior during cavitation erosion
- auteur
- Samir Chandra Roy
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI081⟩
- Accès au texte intégral et bibtex
-
- titre
- Development of grain boundaries and phase boundaries in WCCo cemented carbides
- auteur
- Maxime Pellan
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI121⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental study and thermodynamic modelling of corium mixtures Application to severe accidents in Pressurized Water Reactors
- auteur
- S. Gossé, A. Quaini, C. Guéneau, T. Alpettaz, D. Manara, Emmanuelle Brackx, R. Domenger, A. Chocard, F. Hodaj
- article
- Journees annuelles du GDR « SAM » : Solidification des Alliages Metalliques, Dec 2015, Paris, France
- Accès au bibtex
-
- titre
- Origin of the heat load on a substrate coated in inverted cylindrical magnetron (ICM)
- auteur
- T. Le Coz, M. Mantel, C. Vachey, A. Todoran, A. Bès, A. Lacoste
- article
- 4th Magneton, Ion Processing & Arc Technologies European Conference, 14th Internation Symposium on Reactive Sputter Deposition (MIATEC 2015), Dec 2015, Paris, France
- Accès au bibtex
-
- titre
- Modeling Fluid-Structure Interaction in Cavitation Erosion: Preliminary Results
- auteur
- Yves Paquette, Christian Pellone, Marc C. Fivel, Giovanni Ghigliotti, Eric Johnsen, Jean-Pierre Franc
- article
- 9th International Symposium on Cavitation (CAV2015), Dec 2015, Lausanne, Switzerland. ⟨10.1088/1742-6596/656/1/012053⟩
- Accès au bibtex
-
- titre
- CVD of aluminium nitrides for high temperature applications
- auteur
- Michel Pons, Raphael Boichot, Frederic Mercier, Elisabeth Blanquet
- article
- International Conference on Crystal Growth (3CG), Nitrides for lighting, Photovoltaics and sensing applications, Dec 2015, Hong Khong, Japan
- Accès au bibtex
-
- titre
- A model for patterned interfaces debonding – Application to adhesion tests
- auteur
- Coraly Cuminatto, Guillaume Parry, Muriel Braccini
- article
- International Journal of Solids and Structures, 2015, 75-76, pp.122-133. ⟨10.1016/j.ijsolstr.2015.08.006⟩
- Accès au bibtex
-
- titre
- Factors contributing to plastic strain amplification in slip dominated deformation of magnesium alloys
- auteur
- C W Sinclair, G. Martin, R.A. Lebensohn
- article
- Modelling and Simulation in Materials Science and Engineering, 2015, 23 (8), pp.17. ⟨10.1088/0965-0393/23/8/085002⟩
- Accès au bibtex
-
- titre
- High-magnetic-field-induced formation of aligned equiaxed grains during directional solidification
- auteur
- Dafan Du, Jiang Wang, Yves Fautrelle, Zhongming Ren, Rene Moreau, Xi Li
- article
- Philosophical Magazine Letters, 2015, 95 (8), pp.425-432. ⟨10.1080/09500839.2015.1080389⟩
- Accès au bibtex
-
- titre
- Stokes-Einstein relation and excess entropy scaling law in liquid Copper
- auteur
- N. Jakse, A. Pasturel
- article
- Condensed Matter Physics, 2015, 18 (4), pp.11. ⟨10.5488/cmp.18.43603⟩
- Accès au bibtex
-
- titre
- Microstructure distribution in an AA2050 T34 friction stir weld and its evolution during post-welding heat treatment
- auteur
- B. Malard, Frédéric de Geuser, A Deschamps
- article
- Acta Materialia, 2015, 101, pp.90-100. ⟨10.1016/j.actamat.2015.08.068⟩
- Accès au bibtex
-
- titre
- Effect of a transverse magnetic field on the growth of equiaxed grains during directional solidification
- auteur
- Xi Li, Jiang Wang, Dafan Du, Yikun Zhang, Yves Fautrelle, Henri Nguyen-Thi, Annie Gagnoud, Zhongming Ren, Rene Moreau
- article
- Materials Letters, 2015, 161 (16), pp.595-600. ⟨10.1016/j.matlet.2015.09.026⟩
- Accès au bibtex
-
- titre
- Three dimensional analysis of Ce0.9Gd0.1O1.95–La0.6Sr0.4Co0.2Fe0.8O3−δ oxygen electrode for solid oxide cells
- auteur
- Jaroslaw Sar, Ozden Celikbilek, Julie Villanova, Laurent Dessemond, Christophe L. Martin, Elisabeth Djurado
- article
- Journal of the European Ceramic Society, 2015, 35 (16), pp.4496-4505. ⟨10.1016/j.jeurceramsoc.2015.08.019⟩
- Accès au bibtex
-
- titre
- Determination of cavitation load spectra—Part 2: Dynamic finite element approach
- auteur
- Samir Chandra Roy, Jean-Pierre Franc, Nicolas Ranc, Marc C. Fivel
- article
- Wear, 2015, 344-345, pp.120-129. ⟨10.1016/j.wear.2015.09.005⟩
- Accès au bibtex
-
- titre
- Determination of cavitation load spectra – Part 1: Static finite element approach
- auteur
- Samir Chandra Roy, Jean-Pierre Franc, Christian Pellone, Marc C. Fivel
- article
- Wear, 2015, 344-345, pp.110-119. ⟨10.1016/j.wear.2015.09.006⟩
- Accès au bibtex
-
- titre
- Development of an instrumented and automated single mode cavity for ceramic microwave sintering: Application to an alpha pure alumina powder
- auteur
- Jérémy Croquesel, Didier Bouvard, Jean-Marc Chaix, Claude P. Carry, Sébastien Saunier
- article
- Materials & Design, 2015, 88, pp.98-105. ⟨10.1016/j.matdes.2015.08.122⟩
- Accès au bibtex
-
- titre
- Enthalpies of Formation of Transition Metal Diborides: A First Principles Study
- auteur
- C. Colinet, J.C. Tedenac
- article
- Molecular Crystals and Liquid Crystals, 2015, 5 (4), pp.562-582. ⟨10.3390/cryst5040562⟩
- Accès au bibtex
-
- titre
- Advanced STEM/EDX investigation on an oxide scale thermally grown on a high-chromium iron–nickel alloy under very low oxygen partial pressure
- auteur
- L. Latu-Romain, Y. Madi, S. Mathieu, F. Robaut, J.-P. Petit, Y. Wouters
- article
- Corrosion Science, 2015, 101, pp.193-200. ⟨10.1016/j.corsci.2015.09.021⟩
- Accès au bibtex
-
- titre
- PVA-assisted synthesis and characterization of core–shell Bi nanobelts
- auteur
- Xing Yu, Yves Fautrelle, Zhongming Ren, Xi Li
- article
- Materials Letters, 2015, 161, pp.144-148. ⟨10.1016/j.matlet.2015.08.082⟩
- Accès au bibtex
-
- titre
- Kinetics modeling and growth of Si layers by Liquid Phase Epitaxy Driven by Solvent Evaporation (LPESE)
- auteur
- S. Giraud, T. Duffar, E. Pihan, A. Fave, S. Giraud
- article
- Journal of Crystal Growth, 2015, 432, pp.83-91. ⟨10.1016/j.jcrysgro.2015.08.002⟩
- Accès au bibtex
-
- titre
- Proton configurations in the hydrogen bonds of KH2PO4 as seen by resonant x-ray diffraction
- auteur
- G. Beutier, S. P. Collins, G. Nisbet, K. A. Akimova, E. N. Ovchinnikova, A. P. Oreshko, V. E. Dmitrienko
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 92, pp.214116. ⟨10.1103/PhysRevB.92.214116⟩
- Accès au texte intégral et bibtex
-
- titre
- High temperature investigation of the solid/liquid transition in the PuO2–UO2–ZrO2 system
- auteur
- A. Quaini, C. Guéneau, S. Gossé, B. Sundman, D. Manara, A.L. Smith, D. Bottomley, P. Lajarge, M. Ernstberger, F. Hodaj
- article
- Journal of Nuclear Materials, 2015, 467, pp.660-676. ⟨10.1016/j.jnucmat.2015.10.007⟩
- Accès au bibtex
-
- titre
- Microstructure effects on thermal conductivity of open-cell foams generated from the Laguerre–Voronoï tessellation method
- auteur
- Jaona Randrianalisoa, Dominique Baillis, Christophe L. Martin, Rémy Dendievel
- article
- International Journal of Thermal Sciences, 2015, 98, pp.277-286. ⟨10.1016/j.ijthermalsci.2015.07.016⟩
- Accès au bibtex
-
- titre
- Remelting and solidification of a 6082 Al alloy containing submicron yttria particles: 4D experimental study by in situ X-ray microtomography
- auteur
- R. Daudin, S. Terzi, P. Lhuissier, L. Salvo, E. Boller
- article
- Materials & Design, 2015, 87, pp.313-317. ⟨10.1016/j.matdes.2015.07.141⟩
- Accès au bibtex
-
- titre
- Numerical Modeling of the Droplet Vaporization for Design and Operation of Liquid-pulsed CVD
- auteur
- Raphaël Boichot, Susan Krumdieck
- article
- Chemical Vapor Deposition, 2015, 21 (10-11-12), pp.375-384. ⟨10.1002/cvde.201507191⟩
- Accès au bibtex
-
- titre
- Effect of interfacial oxide thickness on the photocatalytic activity of magnetron-sputtered TiO2 coatings on aluminum substrate
- auteur
- Svava Davíðsdóttir, Jean-Pierre Petit, Rajashekhara Shabadi, Stela Canulescu, Klaus P. Almtoft, Kai Dirscherl, Inge H. Andersen, Rajan Ambat
- article
- physica status solidi (a), 2015, 212 (12), pp.2805-2815. ⟨10.1002/pssa.201532238⟩
- Accès au bibtex
-
- titre
- Spontaneous Transformation of Thin Films into ZnO Nanowire Arrays with High Structural and Optical Quality
- auteur
- S. Guillemin, E. Sarigiannidou, E. Appert, F. Donatini, G. Renou, G. Bremond, Vincent Consonni
- article
- MRS 2015 Fall Meeting, Nov 2015, Boston, United States
- Accès au bibtex
-
- titre
- Coherent X-ray diffraction applied to metal physics
- auteur
- Maxime Dupraz
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI103⟩
- Accès au texte intégral et bibtex
-
- titre
- In-situ synchrotron analysis of the very first ALD growth steps of ZnO on a-SiO2 and c-plane Al2O3
- auteur
- R. Boichot, A. Crisci, S. Lay, E. Blanquet, L. Tian, A. Shaker, Hubert Renevier, V. Cantelli, D. De Barros, M. I. Richard, T. Ouled, G. Ciatto, M.H. Chu, D. Fong, S. Coindeau, J.L. Deschanvres
- article
- Workshop RAFALD 2015, Nov 2015, Grenoble, France
- Accès au bibtex
-
- titre
- Experimental investigation and thermodynamic modelling of the in-vessel corium for severe accident studies in PWR reactors
- auteur
- A. Quaini, C. Gueneau, S. Gossé, T. Alpettaz, E. Lizon a Lugrin, Emmanuelle Brackx, D. Manara, F. Hodaj
- article
- NuFuel and MMSNF 2015, Nov 2015, Karlsruhe, Germany
- Accès au bibtex
-
- titre
- Caractérisation et optimisation de structures treillis fabriquées par EBM
- auteur
- Mathieu Suard
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI055⟩
- Accès au texte intégral et bibtex
-
- titre
- The effect of minor alloying elements (Mg, Ag, Zn) on the nucleation and precipitation behaviour in AlCuLi alloys
- auteur
- Eva Maria Gumbmann
- article
- Other. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI092⟩
- Accès au texte intégral et bibtex
-
- titre
- Modification de la réactivité de surface d'un alliage base nickel afin de limiter le relâchement du nickel en milieu primaire des réacteurs à eau pressurisée
- auteur
- Magali Moeglen
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI099⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamic study of the in-vessel corium - Application to the corium/concrete interaction
- auteur
- Andrea Quaini
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI061⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Binder Composition on WC Grain Growth in Cemented Carbides
- auteur
- Maxime Pellan, Sabine Lay, Jean-Michel Missiaen, Susanne Norgren, Jenny Angseryd, Ernesto Coronel, Tomas Persson, R. Bordia
- article
- Journal of the American Ceramic Society, 2015, 98 (11), pp.3596-3601. ⟨10.1111/jace.13748⟩
- Accès au bibtex
-
- titre
- Influence of rotating magnetic fields on THM growth of CdZnTe crystals under microgravity and ground conditions
- auteur
- Carmen Stelian, Thierry Duffar
- article
- Journal of Crystal Growth, 2015, 429, pp.19-26. ⟨10.1016/j.jcrysgro.2015.07.034⟩
- Accès au bibtex
-
- titre
- Microstructure and crystallography of Al2O3–Y3Al5O12–ZrO2 ternary eutectic oxide grown by the micropulling down technique
- auteur
- Omar Benamara, Maya Cherif, Thierry Duffar, Kheirreddine Lebbou
- article
- Journal of Crystal Growth, 2015, 429, pp.429. ⟨10.1016/j.jcrysgro.2015.07.020⟩
- Accès au bibtex
-
- titre
- A New Closed-Form Model for Solid-State Sintering Kinetics
- auteur
- Jean-Michel Missiaen, Jean-Marie Lebrun
- article
- Journal of the American Ceramic Society, 2015, 98 (11), pp.3460-3468. ⟨10.1111/jace.13633⟩
- Accès au bibtex
-
- titre
- 3D study of the competitions between shear yielding and crazing for a variable thickness on ductile polymers
- auteur
- Shu Guo, Dery Torres, Sabine Weygand, Christian Olagnon, Rafael Estevez
- article
- Engineering Fracture Mechanics, 2015, 149 (4), pp.230-249. ⟨10.1016/j.engfracmech.2015.08.042⟩
- Accès au bibtex
-
- titre
- Size distribution and volume fraction of T1 phase precipitates from TEM images: Direct measurements and related correction
- auteur
- Thomas Dorin, Patricia Donnadieu, Jean-Marc Chaix, Williams Lefebvre, Frédéric De Geuser, Alexis Deschamps
- article
- Micron, 2015, 78, pp.19-27. ⟨10.1016/j.micron.2015.06.002⟩
- Accès au bibtex
-
- titre
- A novel method to fabricate the aligned columnar dendrite via the diffusion under a strong magnetic field
- auteur
- Xi Li, Yves Fautrelle, Zhongming Ren
- article
- Materials Letters, 2015, 158, pp.295-299. ⟨10.1016/j.matlet.2015.06.010⟩
- Accès au bibtex
-
- titre
- Effect of Copper–Copper Direct Bonding on Voiding in Metal Thin Films
- auteur
- P. Gondcharton, B. Imbert, L. Benaissa, F. Fournel, M. Verdier
- article
- Journal of Electronic Materials, 2015, 44 (11), pp.4128-4133. ⟨10.1007/s11664-015-3992-1⟩
- Accès au bibtex
-
- titre
- Experimental and numerical analysis of behavior of electromagnetic annular linear induction pump
- auteur
- Linards Goldsteins
- article
- Mechanics [physics.med-ph]. Université Grenoble Alpes; Latvijas universitāte, 2015. English. ⟨NNT : 2015GREAI047⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical and Microstructural Investigation of Third Body Material Formed from Cold Sprayed Al and Al-Al2O3 Coatings
- auteur
- J. Michael Shockley, Sylvie Descartes, E.F. Rauch, Richard R. Chromik
- article
- 2nd Tribology Frontiers Conference, Oct 2015, Denver, United States
- Accès au bibtex
-
- titre
- Wetting, nucleation and growth in soldering
- auteur
- Oleksii Liashenko
- article
- Other. Université Grenoble Alpes; Université Nationale Bogdan Khmelnytsky de Cherkasy, 2015. English. ⟨NNT : 2015GREAI076⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Electromagnetic stirring on the solidification of Al-7wt%Si alloy: experiment and simulation
- auteur
- Csaba Nagy, Olga Budenkova, Yves Du Terrail, Yves Fautrelle, András Roósz
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- A new device for measuring thermal conductivity and heat capacity of metallic alloys by electromagnetic levitation
- auteur
- Jacqueline Etay, Alimata Diarra, Annie Gagnoud, Christian Garnier, Stéphane Massucci, Mazen Alamir, André Sulpice, Sophie Rivoirard
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Influence of strong magnetic field on distribution of solid particles in BiZn immiscible alloys with a metastable miscibility gap
- auteur
- T.X. Zheng, Y. B. Zhong, L. C. Dong, F Debray, E Beaugnon, Y Fautrelle
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- MHD interaction in an Electromagnetic Pump for high flow rate loop of ASTRID Sodium Fast Reactor secondary circuit, behavior
- auteur
- S Letout, Y Duterrail, Y Fautrelle, M Medina, F Rey, G Laffont
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- E–H Mode Transition of an Inductively Coupled Plasma Torch at Atmospheric Pressure
- auteur
- G. Chichignoud, Y Delannoy, F Cocco, P Rivat, L Natale
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- 8th International Conference on Electromagnetic Processing of Materials - EPM2015
- auteur
- Jacqueline Etay
- article
- 2015, ISBN 978-2-9553861-0-1
- Accès au bibtex
-
- titre
- In-Situ Fabrication of aligned equxiaed Materials by High Magnetic Field during directional solidification
- auteur
- Du Dafan, Li Xi, Yves Fautrelle, Annie Gagnoud, Zhongming Ren, Deng Kang
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Influence of a transverse magnetic field on solidification structure during directional solidification
- auteur
- Xi Li, Y Fautrelle, J Wang, A Gagnoud, Z. M. Ren, Nguyen Thi
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Numerical analysis of MHD effects resulting from spatial variations of high magnetic fields applied to a rectangular duct flow
- auteur
- C Stelian
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- High Frequency Multiphase Travelling Field Configuration For Direction Controlled EM Forces Production
- auteur
- R Ernst, Cédric Garnier
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Simulation of free surface and molten metal behavior during induction melting of an aluminium alloy
- auteur
- A Bansal, P Chapelle, E Waz, Y Delannoy, P Le Brun, J.P. Bellot
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- A new method for calculating thermoelectric current during the solidification of alloys
- auteur
- Y.F. Fan, Y Fautrelle, Z.M. Ren, O Budenkova, C.J. Li, W.L. Ren
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Numerical modelling of thermoelectric magnetic effects in solidification
- auteur
- Yves Du Terrail Couvat, A Gagnoud, D Brasiliano, O Budenkova, Y Fautrelle
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Flow behaviors driven by a rotating spiral permanent magnetic field
- auteur
- X.D. Wang, Biao Wang, X.Z. Na, J Etay, Y Fautrelle
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic Processing of Materials at SIMaP : focus on Solar Silicon elaboration
- auteur
- Y Delannoy, G Chichignoud, K Zaidat
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- On the influence of a DC magnetic field upon a bubble
- auteur
- R Tarpagkou, L Davoust, O Doche
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Experimental analyzis of the effect of electromagnetic stirring on the solidification process of the Sn-10wt.%Pb alloy
- auteur
- L Hachani, K Zaidat, Y Fautrelle
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Lorentz Force Particle Analyzer —Prototype experiments and numerical models
- auteur
- X.D. Wang, A Thess, R Moreau, Y.Q. Tan, Z Tao, S.J. Dai
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Magnetic field, temperature and velocity distribution measurements in an electromagnetic induction pump using a small ferrite core coil system
- auteur
- L Goldšteins, C Biscarrat, L Buligins, Y Fautrelle, E Platacis, A Romančuks, A Fļerovs
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic processing – from AC to DC Field - A way for process improvement and innovation
- auteur
- M Dumont, R Ernst, Y Fautrelle, J Etay
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Electromagnetic modeling with 3D integral method
- auteur
- A Gagnoud, R Scapolan, Y. Du Terrail Couvat
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- MHD interaction in an Electromagnetic Pump for high flow rate loop of ASTRID Sodium Fast Reactor secondary circuit -performances
- auteur
- S Letout, Y Duterrail, Y Fautrelle, M Medina, F Rey, G Laffont
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Prediction of the energy efficiency of an Ar-H2-O2 plasma torch with Ansys Fluent
- auteur
- M Vadon, Y Delannoy, G Chichignoud
- article
- 8th International Conference on Electromagnetic Processing of Materials, Oct 2015, Cannes, France
- Accès au texte intégral et bibtex
-
- titre
- Étude de la sublimation du chrome lors de l’oxydation haute température de l’alliage AISI 441 et recherche de solutions de protection
- auteur
- Wichitra Wongpromrat
- article
- Matériaux. Université Grenoble Alpes; King Mongkut's Institute of Technology Ladkrabang, 2015. Français. ⟨NNT : 2015GREAI070⟩
- Accès au texte intégral et bibtex
-
- titre
- Short-range structural signature of transport properties of Al–Ni melts
- auteur
- A. Pasturel, N. Jakse
- article
- Journal of Non-Crystalline Solids, 2015, 425, pp.176-182. ⟨10.1016/j.jnoncrysol.2015.06.014⟩
- Accès au bibtex
-
- titre
- Primary combination of phase-field and discrete dislocation dynamics methods for investigating athermal plastic deformation in various realistic Ni-base single crystal superalloy microstructures
- auteur
- Siwen Gao, Mohan Kumar Rajendran, Marc Fivel, Anxin Ma, Oleg Shchyglo, Alexander Hartmaier, Ingo Steinbach
- article
- Modelling and Simulation in Materials Science and Engineering, 2015, 23 (7), pp.18. ⟨10.1088/0965-0393/23/7/075003⟩
- Accès au bibtex
-
- titre
- Contribution to the description of the absorber rod behavior in severe accident conditions: An experimental investigation of the Ag–Zr phase diagram
- auteur
- A. Decreton, Benigni P., J. Rogez, Georges Mikaelian, M. Barrachin, M. Lomello-Tafin, C. Antion, A. Janghorban, E. Fischer
- article
- Journal of Nuclear Materials, 2015, 465, pp.849 - 856. ⟨10.1016/j.jnucmat.2015.05.039⟩
- Accès au bibtex
-
- titre
- Assessment of respiratory toxicity of ITER-like tungsten metal nanoparticles using an in vitro 3D human airway epithelium model
- auteur
- I. George, A. Hagege, N. Herlin, D. Vrel, Jérôme Rose, M. Sanles, T. Orsiere, C. Uboldi, C. Grisolia, B. Rousseau, Veronique Malard
- article
- Toxicology Letters, 2015, 238 (2, S), pp.S179. ⟨10.1016/j.toxlet.2015.08.519⟩
- Accès au bibtex
-
- titre
- Low Temperature Processing to Form Oxidation Insensitive Electrical Contact at Silicon Nanowire/Nanowire Junctions
- auteur
- Céline Ternon, Pauline Serre, Jean-Marie Lebrun, Virginie Brouzet, Maxime Legallais, Sylvain David, Thierry Luciani, Céline Pascal, Thierry Baron, Jean-Michel Missiaen
- article
- Advanced Electronic Materials, 2015, 1 (10), pp.8. ⟨10.1002/aelm.201500172⟩
- Accès au bibtex
-
- titre
- An Updated Thermodynamic Modeling of the Al-Zr System
- auteur
- Evelyne Fischer, Catherine Colinet
- article
- Journal of Phase Equilibria and Diffusion, 2015, 36 (5), pp.404-413. ⟨10.1007/s11669-015-0398-y⟩
- Accès au bibtex
-
- titre
- Mechanical behavior of Mg subjected to strain path changes: Experiments and modeling
- auteur
- W. Wen, M. Borodachenkova, C.N. Tomé, G. Vincze, E.F. Rauch, F. Barlat, J.J. Grácio
- article
- International Journal of Plasticity, 2015, 73, pp.171-183. ⟨10.1016/j.ijplas.2014.10.009⟩
- Accès au bibtex
-
- titre
- Cavitation erosion: Using the target material as a pressure sensor
- auteur
- Samir Chandra Roy, Jean-Pierre Franc, Marc C. Fivel
- article
- Journal of Applied Physics, 2015, 118 (16), ⟨10.1063/1.4934747⟩
- Accès au texte intégral et bibtex
-
- titre
- Room-temperature oxidation of hypostoichiometric uranium–plutonium mixed oxides U1−yPuyO2−x – A depth-selective approach
- auteur
- Romain Vauchy, Anne-Charlotte Robisson, Renaud Belin, Philippe Martin, Andreas Scheinost, Fiqiri Hodaj
- article
- Journal of Nuclear Materials, 2015, 465, pp.349-357. ⟨10.1016/j.jnucmat.2015.05.033⟩
- Accès au bibtex
-
- titre
- The effect of a partial nanocrystallization on the transport properties of a metallic glass
- auteur
- A. Tlili, V.M. Giordano, S. Pailhès, R. Debord, Ali Assy, S. Gravier, J.J. Blandin, Séverine Gomès
- article
- 2015
- Accès au bibtex
-
- titre
- Silicon Nanowires: Low Temperature Processing to Form Oxidation Insensitive Electrical Contact at Silicon Nanowire/Nanowire Junctions (Adv. Electron. Mater. 10/2015)
- auteur
- Céline Ternon, Pauline Serre, Jean-Marie Lebrun, Virginie Brouzet, Maxime Legallais, Sylvain David, Thierry Luciani, Céline Pascal, Thierry Baron, Jean-Michel Missiaen
- article
- Advanced Electronic Materials, 2015, 1 (10), ⟨10.1002/aelm.201570037⟩
- Accès au bibtex
-
- titre
- Crystalline Structures of Some High Entropy Alloys Obtained by Neutron and X-Ray Diffraction
- auteur
- U. Dahlborg, J. Cornide, M. Dahlborg, T.C. Hansen, Z. Leong, L. Asensio Dominguez, Sylvain Chambreland, A. Cunliffe, R. Goodall, I. Todd
- article
- Acta Physica Polonica A, 2015, 128 (4), pp.552-557. ⟨10.12693/APhysPolA.128.552⟩
- Accès au bibtex
-
- titre
- Orientation imaging- ASTAR investigation of the grain and precipitate morphology in Al–Cu–Mg alloy processed by Equal Channel Angular Pressing
- auteur
- Hassan Houcin Ktari, Jean Philippe Couzinie, Julie Bourgon, Yannick Champion, Nabil Njah
- article
- Journal of Alloys and Compounds, 2015, 647, pp.152-158. ⟨10.1016/j.jallcom.2015.06.157⟩
- Accès au bibtex
-
- titre
- Experimental and Numerical Analysis of the Deformation of a Liquid Aluminum Free Surface Covered by an Oxide Layer During Induction Melting
- auteur
- Akshay Bansal, Pierre Chapelle, Yves Delannoy, Emmanuel Waz, Pierre Le Brun, Jean Pierre Bellot
- article
- Metallurgical and Materials Transactions B, 2015, 46 (5), pp.2096-2109. ⟨10.1007/s11663-015-0398-7⟩
- Accès au bibtex
-
- titre
- Dynamique de réseau et conductivité thermique dans les alliages métalliques complexes
- auteur
- Pierre-François Lory
- article
- Physique Nucléaire Expérimentale [nucl-ex]. Université Grenoble Alpes; Institut Max von Laue-Paul Langevin (Grenoble), 2015. Français. ⟨NNT : 2015GREAY042⟩
- Accès au texte intégral et bibtex
-
- titre
- Silicon Crystallization by Kyropoulos Process for Photovoltaic applications
- auteur
- Guy Chichignoud, Leslie Lhomond, Ahmed Nouri, Yves Delannoy, Kader Zaïdat, François Lissalde, Mickael Albaric
- article
- 31st European Photovoltaic Solar Energy Conference and Exhibition, Sep 2015, Hambourg, Germany
- Accès au bibtex
-
- titre
- Silicon nanonets: promising electrically active material with long-term performances
- auteur
- C. Ternon, P. Serre, J.-M. Lebrun, M. Legallais, S. David, T. Luciani, C. Pascal, T. Baron, J.-M. Missiaen
- article
- 2015 E-MRS Fall Meeting, Sep 2015, Varsovie, Poland
- Accès au bibtex
-
- titre
- Transparent electrodes based on silver nanowire networks: physical properties and potential applications
- auteur
- Mélanie Lagrange, Thomas Sannicolo, Daniel P. Langley, David Muñoz-Rojas, Carmen Jimenez, Mikhail Anikin, Odette Chaix-Pluchery, C. Celle, J.P. Simonato, N. D. Nguyen, Yves Bréchet, Daniel Bellet
- article
- E-MRS Fall Meetingn, Symposium G, Sep 2015, Warsaw, Poland
- Accès au bibtex
-
- titre
- Ordering fluctuation dynamics in AuAgZn 2
- auteur
- Frédéric Livet, Mathieu Fèvre, Guillaume Beutier, Mark Sutton
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, ⟨10.1103/PhysRevB.92.094102⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of interaction between high energy discharge and granular field in a confined environment for fuses applications
- auteur
- Xavier Just, Jean-Marc Chaix, Rémy Dendievel, Olivier Bonnefoy, Gérard Thomas, Jean-Louis Gelet
- article
- International Conference on Lightning & Static Electricity (ICOLSE 2015), the International Conference on Lightning & Static Electricity, Sep 2015, Toulouse, France. pp.57 (7 .) (1), ⟨10.1049/ic.2015.0204⟩
- Accès au bibtex
-
- titre
- In Situ X-Ray Scattering and Optical Substrate Curvature Studies of ZnO Growth by Atomic Layer and Metal Organic Chemical Vapor Deposition
- auteur
- Hubert Renevier, Raphaël Boichot, Alexandre Crisci, Liang Tian, Ahmad Chaker, Elisabeth Blanquet, Marie-Ingrid Richard, T. Ouled, C. Guichet, O. Thomas, G. Ciatto, M. H. Chu, N. Aubert, Valentine Cantelli, Jean Luc Deschanvres, Dillon Fong
- article
- MRS Spring Meeting, Sep 2015, San Francisco, United States
- Accès au bibtex
-
- titre
- Inversion Domain Boundaries in GaN Wires Revealed by Coherent Bragg Imaging
- auteur
- Stéphane Labat, Marie-Ingrid Richard, Maxime Dupraz, Marc Gailhanou, Guillaume Beutier, Marc Verdier, Francesca Mastropietro, Thomas W. Cornelius, Tobias Schülli, J. Eymery, Olivier Thomas
- article
- ACS Nano, 2015, 9 (9), pp.9210 - 9216. ⟨10.1021/acsnano.5b03857⟩
- Accès au bibtex
-
- titre
- Cavitation erosion in UHMWPE: a three-dimensional FEM study
- auteur
- Brunda Kattekola, Marc C. Fivel, Jean-Pierre Franc
- article
- European Conference on Constitutive Models for Rubber, Sep 2015, Liberec, Czech Republic. pp.219-224
- Accès au texte intégral et bibtex
-
- titre
- Flash sintering incubation in Al2O3/TZP composites
- auteur
- E. Bichaud, J.M. Chaix, C. Carry, M. Kleitz, M. C. Steil
- article
- Journal of the European Ceramic Society, 2015, 35 (9), pp.2587-2592. ⟨10.1016/j.jeurceramsoc.2015.02.033⟩
- Accès au bibtex
-
- titre
- Effect of Cr addition on solid state sintering of WC–Co alloys
- auteur
- V. Bounhoure, S. Lay, S. Coindeau, S. Norgren, E. Pauty, J.M. Missiaen
- article
- International Journal of Refractory Metals and Hard Materials, 2015, 52, pp.21-28. ⟨10.1016/j.ijrmhm.2015.05.002⟩
- Accès au bibtex
-
- titre
- Dimensionality, secondary flows and helicity in low-Rm MHD vortices
- auteur
- Nathaniel T. Baker, Alban Pothérat, Laurent Davoust
- article
- Journal of Fluid Mechanics, 2015, 779, pp.26. ⟨10.1017/jfm.2015.420⟩
- Accès au bibtex
-
- titre
- Influence of oxide volume fraction on abnormal growth of nanostructured ferritic steels during non-isothermal treatments: An in situ study
- auteur
- Xavier Boulnat, Nicolas Sallez, Mickaël Dadé, András Borbély, Jean Luc Béchade, Yann de Carlan, Joël Malaplate, Yves Bréchet, Frédéric De Geuser, Alexis Deschamps, Patricia Donnadieu, Damien Fabrègue, Michel Perez
- article
- Acta Materialia, 2015, 97 (1), pp.124-130. ⟨10.1016/j.actamat.2015.07.005⟩
- Accès au bibtex
-
- titre
- Preparation and characterization – including in situ Small Angle X-Ray Scattering – of gas chromatographic capillary columns with mesoporous silica thin films as stationary phases
- auteur
- D. Lefebvre, R. Sayah-El Rayes, V. Jousseaume, M. Maret, L. Veyre, B. Charleux, C. Thieuleux, F. Ricoul
- article
- Journal of Chromatography A, 2015, 1413, pp.85-93. ⟨10.1016/j.chroma.2015.07.081⟩
- Accès au bibtex
-
- titre
- Crystallization of Fe83B17 amorphous alloy by electric pulses produced by a capacitor discharge
- auteur
- Konstantinos Georgarakis, Dina V. Dudina, Vyacheslav I. Mali, Alexander G. Anisimov, Natalia V. Bulina, Alberto Moreira Jorge, Alain R. Yavari
- article
- Applied physics. A, Materials science & processing, 2015, 120 (4), pp.15651572. ⟨10.1007/s00339-015-9356-0⟩
- Accès au bibtex
-
- titre
- Entangled single-wire NiTi material: A porous metal with tunable superelastic and shape memory properties
- auteur
- B. Gadot, O. Riu Martinez, S. Rolland Du Roscoat, D. Bouvard, D. Rodney, L. Orgeas
- article
- Acta Materialia, 2015, 96, pp.311-323. ⟨10.1016/j.actamat.2015.06.018⟩
- Accès au bibtex
-
- titre
- Structural changes in liquid Fe and Fe–B alloy on cooling
- auteur
- Dmitri V. Louzguine-Luzgin, Konstantinos Georgarakis, Andrey Tsarkov, Alexey Solonin, Veijo Honkimaki, Louis Hennet, Alain R. Yavari
- article
- Journal of Molecular Liquids, 2015, 209 (5), pp.233-238. ⟨10.1016/j.molliq.2015.05.062⟩
- Accès au bibtex
-
- titre
- Numerical and experimental analysis of the effect of pore formers on the processing and properties of solid oxide fuel cell electrode
- auteur
- T. Reynier, C.L. Martin, D. Bouvard, C.P. Carry, R. Laucournet
- article
- Journal of the European Ceramic Society, 2015, 35 (9), pp.2523-2530. ⟨10.1016/j.jeurceramsoc.2015.03.014⟩
- Accès au bibtex
-
- titre
- Orientational anisotropy in simulated vapor-deposited molecular glasses
- auteur
- Ivan Lyubimov, Lucas Antony, Diane M. Walters, David Rodney, M. D. Ediger, Juan J. de Pablo
- article
- Journal of Chemical Physics, 2015, 143 (9), pp.8. ⟨10.1063/1.4928523⟩
- Accès au texte intégral et bibtex
-
- titre
- Résistance à l'érosion par cavitation de revêtements UHMWPE fritté et corrélation mécanique
- auteur
- Tiana Deplancke, Olivier Lame, Marc Fivel, Jean-Yves Cavaille, Jean-Pierre Franc
- article
- CFM 2015 - 22ème Congrès Français de Mécanique, Aug 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Résistance à l'érosion par cavitation de revêtements UHMWPE fritté et corrélation mécanique
- auteur
- Tiana Deplancke, Marc C. Fivel, O. Lame, Jean-Yves Cavaillé, Jean-Pierre Franc
- article
- 22e Congrès Français de Mécanique, Aug 2015, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Towards numerical prediction of cavitation erosion
- auteur
- Marc C. Fivel, Jean-Pierre Franc, Samir Chandra Roy
- article
- Interface Focus, 2015, 5 (5), pp.20150013. ⟨10.1098/rsfs.2015.0013⟩
- Accès au bibtex
-
- titre
- Huge Instability of Pt/C Catalysts in Alkaline Medium
- auteur
- Anicet Zadick, Laetitia Dubau, Nicolas Sergent, Grégory Berthomé, Marian Chatenet
- article
- ACS Catalysis, 2015, 5 (8), pp.4819-4824. ⟨10.1021/acscatal.5b01037⟩
- Accès au bibtex
-
- titre
- 3D Discrete Dislocation Dynamics Investigations of Fatigue Crack Initiation and Propagation
- auteur
- C Déprés, C. Robertson, M. Fivel
- article
- Aerospace Lab, 2015, 9, p. 1-8. ⟨10.12762/2015.AL09-01⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterization of Al2O3 Thin Films Prepared by Thermal ALD
- auteur
- Corina Barbos, Danièle Blanc-Pelissier, Alain Fave, Elisabeth Blanquet, Alexandre Crisci, Erwann Fourmond, David Albertini, Andreï Sabac, Khaled Ayadi, Philippe Girard, Mustapha Lemiti
- article
- Energy Procedia, 2015, 77, ⟨10.1016/j.egypro.2015.07.080⟩
- Accès au bibtex
-
- titre
- Relationship between structure and dynamics in liquid Al1−xNix alloys
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2015, 143 (8), pp.8. ⟨10.1063/1.4928975⟩
- Accès au bibtex
-
- titre
- Investigation on macrosegregation and dendrite morphology during directional solidification of Al-Cu hypereutectic alloys under a strong magnetic field
- auteur
- Xi Li, Dafan Du, Yves Fautrelle, Zhongming Ren, Rene Moreau
- article
- EPL - Europhysics Letters, 2015, 111 (4), pp.5. ⟨10.1209/0295-5075/111/48002⟩
- Accès au bibtex
-
- titre
- Modeling the Effect of Asymmetric Rolling on Mechanical Properties of Al-Mg Alloys
- auteur
- José Joaquim de Almeida Grácio, Gabriela Vincze, Jeong Whan Yoon, Rui Pedro Ramos Cardoso, Edgar Fernand Rauch, Frédéric Gérard Barlat
- article
- Steel Research International, 2015, 86 (8), ⟨10.1002/srin.201500024⟩
- Accès au bibtex
-
- titre
- Ni- and Cu-free Ti-based metallic glasses with potential biomedical application
- auteur
- Y. Guo, I. Bataev, K. Georgarakis, A.M. Jorge, R.P. Nogueira, M. Pons, A.R. Yavari
- article
- Intermetallics, 2015, 63, pp.86-96. ⟨10.1016/j.intermet.2015.04.004⟩
- Accès au bibtex
-
- titre
- On the role of entropy in determining transport properties in metallic melts
- auteur
- A Pasturel, N Jakse
- article
- Journal of Physics: Condensed Matter, 2015, 27 (32), pp.6. ⟨10.1088/0953-8984/27/32/325104⟩
- Accès au bibtex
-
- titre
- Local Plastic-Strain Heterogeneities and Their Impact on the Ductility of Mg
- auteur
- Guilhem Martin, Chad W. Sinclair, Warren J. Poole, Hamid Azizi-Alizamini
- article
- JOM Journal of the Minerals, Metals and Materials Society, 2015, 67 (8), pp.2761-1773. ⟨10.1007/s11837-015-1449-x⟩
- Accès au bibtex
-
- titre
- Correlation between dynamic slowing down and local icosahedral ordering in undercooled liquid Al80Ni20 alloy
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2015, 143 (8), pp.10. ⟨10.1063/1.4929481⟩
- Accès au bibtex
-
- titre
- Optimization of diode pumped solid state ultraviolet laser dicing of silicon carbide chips using design of experiment methodology
- auteur
- Guillaume Savriama, Francis Baillet, Laurent Barreau, Chantal Boulmer-Leborgne, Nadjib Semmar
- article
- Journal of Laser Applications, 2015, 27 (3), pp.9. ⟨10.2351/1.4919886⟩
- Accès au bibtex
-
- titre
- Optimization of DPSS Ultraviolet Laser Dicing of Silicon Carbide Chips Using Design of Experiment Methodology
- auteur
- Guillaume Savriama, Francis Baillet, Laurent Barreau, Chantal Boulmer-Leborgne, Nadjib Semmar
- article
- Journal of Laser Applications, 2015, pp.1-9
- Accès au bibtex
-
- titre
- Cavitation Pitting: Using the Target Material as a Sensor
- auteur
- Samir Chandra Roy, Marc C. Fivel, Jean-Pierre Franc, Christian Pellone, Marc Verdier
- article
- 13th US National Congress on Computational Mechanics, Jul 2015, San Diego, United States. ⟨10.1063/1.4934747⟩
- Accès au bibtex
-
- titre
- Experimental evidence of copper insertion in a crystallographic structure of Ti 3 SiC 2 MAX phase
- auteur
- Olivier Dezellus, Bruno Gardiola, J. Andrieux, Sabine Lay
- article
- Scripta Materialia, 2015, 104, pp.17-20. ⟨10.1016/j.scriptamat.2015.03.015⟩
- Accès au texte intégral et bibtex
-
- titre
- Stabilisation en phase quadratique de zircone déposée par PEALD : application aux capacités MIM
- auteur
- Julien Ferrand
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI065⟩
- Accès au texte intégral et bibtex
-
- titre
- Microwave sinter forging of alumina powder
- auteur
- V. Delobelle, J. Croquesel, D. Bouvard, J.M. Chaix, C.P. Carry
- article
- Ceramics International, 2015, 41 (6), pp.7910-7915. ⟨10.1016/j.ceramint.2015.02.130⟩
- Accès au bibtex
-
- titre
- Chinese Script vs Plate-Like Precipitation of Beta-Al9Fe2Si2 Phase in an Al-6.5Si-1Fe Alloy
- auteur
- Deni Ferdian, Claudie Josse, Patrick N'Guyen, Nathalie Gey, Nicolas Ratel-Ramond, Philippe de Parseval, Yannick Thebault, Benoit Malard, Jacques Lacaze, Luc Salvo
- article
- Metallurgical and Materials Transactions A, 2015, 46A (7), pp.2814-2818. ⟨10.1007/s11661-015-2917-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Structure and Surface Reactivity of Ultra-Thin Pt/W(111) Films
- auteur
- M.K. El Jawad, B. Gilles, F. Maillard
- article
- Electrocatalysis, 2015, 6 (4), pp.398-404. ⟨10.1007/s12678-015-0260-3⟩
- Accès au bibtex
-
- titre
- Travelling magnetic field mixing for particle dispersion in liquid metal
- auteur
- Valdis Bojarevics, Koulis Pericleous, Mariano Garrido Pacheco, Yves Fautrelle, Laurent Davoust
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, ⟨10.22364/mhd⟩
- Accès au bibtex
-
- titre
- Effect of a transverse magnetic field on solidification structure in directionally solidified Al-Cu-Ag ternary alloys
- auteur
- Guang Guan, Dafan Du, Yves Fautrelle, Rene Moreau, Zhongming Ren, Xi Li
- article
- EPL - Europhysics Letters, 2015, 111 (2), pp.6. ⟨10.1209/0295-5075/111/28004⟩
- Accès au bibtex
-
- titre
- Porous metal oxide microspheres from ion exchange resin
- auteur
- S. Picart, P. Parant, M. Caisso, E. Remy, H. Mokhtari, I. Jobelin, J.P. Bayle, C.L. Martin, A. Ayral
- article
- The European Physical Journal. Special Topics, 2015, 224 (9), pp.1675-1687. ⟨10.1140/epjst/e2015-02490-y⟩
- Accès au bibtex
-
- titre
- Fabrication of nanoporous copper surface by leaching of chill-zone Cu–Zr–Hf alloys
- auteur
- Daria Barsuk, Min Zhang, Nikolaos T. Panagiotopoulos, Alberto M. Jorge, Konstantinos Georgarakis, Alain R. Yavari
- article
- Scripta Materialia, 2015, 104, pp.64-66. ⟨10.1016/j.scriptamat.2015.03.026⟩
- Accès au bibtex
-
- titre
- EXTRA MEDULLARY RELAPSE AFTER ALLOGENEIC BONE MARROW TRANSPLANTATION FOR MULTIPLE MYELOMA (MM)
- auteur
- L. Torjemane, M. Chelli, N. Abdejlil, I. Safra, H. Kaabi, A. Lakhal, R. El Fatmi, S. Ladeb, H. Slama, T. Ben Othman
- article
- Haematologica, 2015, 100 (1), pp.745-746
- Accès au bibtex
-
- titre
- Dissolution versus morphological evolution of residual δ-ferrite in model austenitic stainless steel
- auteur
- Mahmoud Saied, Muriel Véron, C. Tassin, Jean-Denis Mithieux, Jessica Delacroix, Y. Duterrail, Emmanuel Rigal
- article
- PTM 2015, Int. Conf. on Solid-Solid Phase Transformations in Inorganic Materials, Jun 2015, Whistler, Canada
- Accès au bibtex
-
- titre
- Coupled carbon diffusion and precipitation in a dissimilar steel weld : 18MND5/309L
- auteur
- Fanny Mas, C. Tassin, Florence Robaut, Charlot Frédéric, Valle Nathalie, Dominique Mangelinck, Marion Descoins, François Roch, Patrick Todeschini, Yves Brechet
- article
- PTM 2015, International Conf. on solid-solid Phase Transformations in inorganic Materials, Jun 2015, Whistler, Canada
- Accès au bibtex
-
- titre
- ZnFe2O4 nanoparticles synthesis by laser pyrolysis: interest as new anode material for lithium-ion batteries
- auteur
- Samantha Bourrioux, Luyuan Paul Wang, Yann Leconte, Srinivasan Madhavi, Z.J. Xu, Alain Pasturel
- article
- LIBD-7 2015 "Electrode Materials", Jun 2015, Arcachon, France
- Accès au bibtex
-
- titre
- Modeling of the effect of a thermoelectric magnetic force onto conducting particles immersed in the liquid metal
- auteur
- y Du Terrail Couvat, O Budenkova, A Gagnoud, G. Salloum Abou Jaoude, H Nguyen-Thi, G Reinhart, J Wang, Z-M Ren, Y Fautrelle
- article
- IOP Conference Series: Materials Science and Engineering, 2015, 84 (012019), ⟨10.1088/1757-899x/84/1/012019⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermoelectric effects on electrically conducting particles in liquid metal
- auteur
- F. Baltaretu, J. Wang, S. Letout, Z.M. Ren, Xiaojian Li, O. Budenkova, Y. Fautrelle
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, 51, 117 (45-55), ⟨10.22364/mhd.51.1.6⟩
- Accès au texte intégral et bibtex
-
- titre
- Signature of dislocations and stacking faults of face-centred cubic nanocrystals in coherent X-ray diffraction patterns: a numerical study
- auteur
- Maxime Dupraz, Guillaume Beutier, David Rodney, Dan Mordehai, Marc Verdier
- article
- Journal of Applied Crystallography, 2015, 48 (3), pp.621-644. ⟨10.1107/S1600576715005324⟩
- Accès au texte intégral et bibtex
-
- titre
- Structural stability of ternary D8(m)-Ti5Sb2X (X=Al, Ga, In, Si, Ge, Sn) compounds
- auteur
- C. Colinet, J.C. Tedenac
- article
- Calphad, 2015, 49, pp.8-1. ⟨10.1016/j.calphad.2015.01.001⟩
- Accès au bibtex
-
- titre
- Working point of the EFG process
- auteur
- L. Carroz, T. Duffar
- article
- Crystal Research and Technology, 2015, 50 (6), pp.473-481. ⟨10.1002/crat.201500021⟩
- Accès au bibtex
-
- titre
- Fast electron diffraction tomography
- auteur
- M. Gemmi, M.G.I. La Placa, A.S. Galanis, E.F. Rauch, S. Nicolopoulos
- article
- Journal of Applied Crystallography, 2015, 48, pp.718-727. ⟨10.1107/s1600576715004604⟩
- Accès au bibtex
-
- titre
- Experimental study of the solidification of Sn-10 wt.%Pb alloy under different forced convection in benchmark experiment
- auteur
- L. Hachani, K. Zaidat, Y. Fautrelle
- article
- International Journal of Heat and Mass Transfer, 2015, 85, pp.438-454. ⟨10.1016/j.ijheatmasstransfer.2015.01.145⟩
- Accès au bibtex
-
- titre
- Thermodynamic study of (U-Pu-Zr-O-Fe) corium system
- auteur
- A. Quaini, C. Gueneau, S. Gosse, T. Alpettaz, Emmanuelle Brackx, A. Chocard, R. Domenger, D. Manara, K. Boboridis, P. Lajarge, F. Hodaj
- article
- International Conference on Computer Coupling of Phase Diagrams and Thermochemistry - CALPHAD XLIV, May 2015, Loano, Italy
- Accès au texte intégral et bibtex
-
- titre
- Synchrotron analysis of lattice rotations and internal stress in individual grains during cyclic stress-induced martensitic transformation in a plolycrystalline SMA alloy: new opportunities of fatigue probing with the 3DXRD method
- auteur
- Younes El Hachi, B. Malard, Sophie Berveiller, J. Wright
- article
- SF2M Journées de Printemps "Microstructure – procédés – propriétés en fatigue", May 2015, Paris, France
- Accès au bibtex
-
- titre
- Size dependent mechanical behavior of Zr-Ni thin metallic glass films
- auteur
- Matteo Ghidelli
- article
- Materials. Université Grenoble Alpes; Université catholique de Louvain (1970-..), 2015. English. ⟨NNT : 2015GREAI021⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation de forme appliquée aux matériaux micro-architecturés incluant la gestion d'interfaces graduées
- auteur
- Alexis Faure, Georgios Michailidis, Rafael Estevez, Guillaume Parry, Yves Bréchet, Natasha Vermaak, Grégoire Allaire, François Jouve
- article
- 12e Colloque national en calcul des structures, CSMA, May 2015, Giens, France
- Accès au texte intégral et bibtex
-
- titre
- Numerical estimation of impact load and prediction of material loss in cavitation erosion
- auteur
- Samir Chandra Roy, Marc C. Fivel, Jean-Pierre Franc, Christian Pellone, Nicolas Ranc
- article
- International Conference on Mechanics of Complex Solids and Fluids, May 2015, Lille, France. ⟨10.1063/1.4934747⟩
- Accès au bibtex
-
- titre
- Numerical modelling of cavitation erosion in UHMWPE
- auteur
- Brunda Kattekola, Tiana Deplancke, Marc C. Fivel, Jean-Pierre Franc
- article
- International Conference on Mechanics of Complex Solids and Fluids, May 2015, Lille, France
- Accès au bibtex
-
- titre
- Evidencing the natural and anthropogenic processes controlling trace metals dynamic in a highly stratified estuary: The Krka River estuary (Adriatic, Croatia)
- auteur
- Ana-Marija Cindric, Cédric Garnier, Benjamin Oursel, Ivanka Pizeta, Dario Omanovic
- article
- Marine Pollution Bulletin, 2015, 94 (1-2), pp.199--216. ⟨10.1016/j.marpolbul.2015.02.029⟩
- Accès au bibtex
-
- titre
- Extrinsic mechanical size effects in thin ZrNi metallic glass films
- auteur
- Matteo Ghidelli, S. Gravier, J.J. Blandin, P. Djemia, Frédéric Mompiou, G. Abadias, J. P. Raskin, T. Pardoen
- article
- Acta Materialia, 2015, 90, pp.23-241. ⟨10.1016/j.actamat.2015.02.038⟩
- Accès au bibtex
-
- titre
- Motion of equiaxed grains during directional solidification under static magnetic field
- auteur
- G. Salloum-Abou-Jaoude, J. Wang, L. Abou-Khalil, G. Reinhart, Z. Ren, Nathalie Mangelinck-Noël, Xiaojian Li, Y. Fautrelle, H. Nguyen-Thi
- article
- Journal of Crystal Growth, 2015, 417, pp.25-30. ⟨10.1016/j.jcrygro.2014.10.058⟩
- Accès au bibtex
-
- titre
- Mass transfer enhancement and surface functionalization in digital microfluidics using AC electrowetting: the smaller, the better
- auteur
- Johannes Theisen, Laurent Davoust
- article
- Microfluidics and Nanofluidics, 2015, 18 (5-6), pp.1373-1389. ⟨10.1007/s10404-014-1536-2⟩
- Accès au texte intégral et bibtex
-
- titre
- Interaction of 〈100〉 dislocation loops with dislocations studied by dislocation dynamics in α-iron
- auteur
- X.J. Shi, L. Dupuy, Benoit Devincre, D. Terentyev, L. Vincent
- article
- Journal of Nuclear Materials, 2015, 460, pp.37 - 43. ⟨10.1016/j.jnucmat.2015.01.061⟩
- Accès au bibtex
-
- titre
- Mechanism of the alpha-epsilon phase transformation in iron
- auteur
- A. Dewaele, C. Denoual, S. Anzellini, F. Occelli, M. Mezouar, P. Cordier, S. Merkel, M. Véron, E. Rauch
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (17), ⟨10.1103/PhysRevB.91.174105⟩
- Accès au bibtex
-
- titre
- Multi-crystalline silicon solidification under controlled forced convection
- auteur
- M. Cablea, K. Zaidat, A. Gagnoud, A. Nouri, G. Chichignoud, Y. Delannoy
- article
- Journal of Crystal Growth, 2015, 417 (44-50), ⟨10.1016/j.jcrysgro.2014.07.042⟩
- Accès au bibtex
-
- titre
- Solidification of Sn-Pb alloys: Experiments on the influence of the initial concentration
- auteur
- L. Hachani, K. Zaidat, B. Saadi, X.D. Wang, Y. Fautrelle
- article
- International Journal of Thermal Sciences, 2015, 91, pp.34-48. ⟨10.1016/j.ijthermalsci.2015.01.007⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation d'une détente quasi-isotherme d'un gaz par contact direct avec un fluide caloporteur
- auteur
- Mathilde Blaise, Denis Maillet, Michel Feidt, Antoine Alemany, Renato Forcinetti
- article
- Congrès Français de Thermique SFT 2015, Société Française Thermique, Apr 2015, La Rochelle, France
- Accès au texte intégral et bibtex
-
- titre
- Through-silicon via-induced strain distribution in silicon interposer
- auteur
- B. Vianne, M.-I. Richard, Stephanie Escoubas, S. Labat, T. Schulli, G. Chahine, V. Fiori, O. Thomas
- article
- Applied Physics Letters, 2015, 106 (14), pp.141905. ⟨10.1063/1.4915604⟩
- Accès au bibtex
-
- titre
- In Situ X-Ray Scattering and Optical Substrate Curvature Studies of ZnO Growth by Atomic Layer and Metal Organic Chemical Vapor Deposition
- auteur
- Hubert Renevier, R. Boichot, A. Crisci, L. Tian, A. Chaker, E. Blanquet, M.I. Richard, T. Ouled, C. Guichet, O. Thomas, G. Ciatto, M. H. Chu, N. Aubert, V. Cantelli, J.L. Deschanvres, D. D. Fong
- article
- MRS spring meeting, Apr 2015, San Francisco, United States
- Accès au bibtex
-
- titre
- Etude de l'intégration du collage direct cuivre/oxyde pour l'élaboration d'une architecture 3D-SIC
- auteur
- Yann Beilliard
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI008⟩
- Accès au texte intégral et bibtex
-
- titre
- Outstanding cavitation erosion resistance of Ultra High Molecular Weight Polyethylene (UHMWPE) coatings
- auteur
- Tiana Deplancke, O. Lame, Jean-Yves Cavaillé, Marc C. Fivel, Michel Riondet, Jean-Pierre Franc
- article
- Wear, 2015, 328-329, pp.301-308. ⟨10.1016/j.wear.2015.01.077⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing the structure of a liquid metal during vitrification
- auteur
- K. Georgarakis, L. Hennet, G.A. Evangelakis, J. Antonowicz, G.B. Bokas, V. Honkimaki, A. Bytchkov, M.W. Chen, A.R. Yavari
- article
- Acta Materialia, 2015, 87, pp.174186. ⟨10.1016/j.actamat.2015.01.005⟩
- Accès au bibtex
-
- titre
- Corrigendum to “Chemical composition of nano-phases studied by anomalous small-angle X-ray: Application to oxide nano-particles in ODS steels” [Mater. Charact. 87 (2013) pp. 138–142]
- auteur
- Myriam Dumont, Lorelei Commin, Isabelle Morfin, Frédéric de Geuser, Fabrice Legendre, Philippe Maugis
- article
- Materials Characterization, 2015, 102, pp.221-221. ⟨10.1016/j.matchar.2015.02.007⟩
- Accès au bibtex
-
- titre
- Impact of surface viscosity upon an annular magnetohydrodynamic flow
- auteur
- Jules Delacroix, Laurent Davoust
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, ⟨10.22364/mhd⟩
- Accès au bibtex
-
- titre
- In situ characterization of β'' precipitation in an Al-Mg-Si alloy by anisotropic small-angle neutron scattering on a single crystal
- auteur
- Cynthia Sin Ting Chang, Frédéric de Geuser, John Banhart
- article
- Journal of Applied Crystallography, 2015, 48 (2), pp.455-463. ⟨10.1107/s1600576715002770⟩
- Accès au texte intégral et bibtex
-
- titre
- Fabrication of nanoporous silver with open pores
- auteur
- M. Zhang, A.M. Jorge Junior, S.J. Pang, Tiantian Zhang, A.R. Yavari
- article
- Scripta Materialia, 2015, 100, pp.21-23. ⟨10.1016/j.scriptamat.2014.11.040⟩
- Accès au bibtex
-
- titre
- Strain and tilt mapping in silicon around copper filled TSVs using advanced X-ray nano-diffraction
- auteur
- B. Vianne, Stephanie Escoubas, M.-I. Richard, S. Labat, G. Chahine, T. Schulli, A. Farcy, P. Bar, V. Fiori, O. Thomas
- article
- Microelectronic Engineering, 2015, 137, pp.117-123. ⟨10.1016/j.mee.2014.12.011⟩
- Accès au bibtex
-
- titre
- Channel formation and multiplication in irradiated FCC metals: a 3D dislocation dynamics investigation
- auteur
- K. Gururaj, C. Robertson, M. Fivel
- article
- Philosophical Magazine, 2015, 95 (12), pp.1368-1389. ⟨10.1080/14786435.2015.1029560⟩
- Accès au bibtex
-
- titre
- Breakaway oxidation of austenitic stainless steels induced by alloyed sulphur
- auteur
- C. Pascal, V. Parry, E. Fedorova, M. Braccini, P. Chemelle, N. Meyer, D. Oquab, D. Monceau, Y. Wouters, M. Mantel
- article
- Corrosion Engineering, Science and Technology, 2015, 93, pp.100-108. ⟨10.1016/j.corsci.2015.01.007⟩
- Accès au bibtex
-
- titre
- Short- and medium-range orders in as-quenched and deformed SiO2 glasses: An atomistic study
- auteur
- P. Koziatek, J.L. Barrat, D. Rodney
- article
- Journal of Non-Crystalline Solids, 2015, 414, pp.7-15. ⟨10.1016/j.jnoncrysol.2015.01.009⟩
- Accès au bibtex
-
- titre
- In situ characterization of microstructural instabilities: Recovery, recrystallization and abnormal growth in nanoreinforced steel powder
- auteur
- N. Sallez, X Boulnat, A. Borbély, J.L. Béchade, D. Fabrègue, Michel Perez, Y. de Carlan, L. Hennet, C. Mocuta, D. Thiaudière, Y. Bréchet
- article
- Acta Materialia, 2015, 87, pp.377-389. ⟨10.1016/j.actamat.2014.11.051⟩
- Accès au bibtex
-
- titre
- Correlative Studies on Sintering of Ni/BaTiO3 Multilayers Using X-ray Computed Nanotomography and FIB-SEM Nanotomograhy
- auteur
- Z. L. Yan, O. Guillon, C.L. Martin, S. Wang, C.S. Lee, F. Charlot, D. Bouvard
- article
- Journal of the American Ceramic Society, 2015, 98 (4), pp.1338-1346. ⟨10.1111/jace.13416⟩
- Accès au bibtex
-
- titre
- Effect of a high axial magnetic field on the structure of directionally solidified Al-Si alloys
- auteur
- D.F. Du, Z.Y. Lu, A. Gagnoud, Y. Fautrelle, Z.M. Ren, X. G. Lu, R. Moreau, Xiaojian Li
- article
- International Journal of Materials Research, 2015, 30, pp.1043-1055. ⟨10.1557/jmr.2015.55⟩
- Accès au bibtex
-
- titre
- A Tool for Local Thickness Determination and Grain Boundary Characterization by CTEM and HRTEM Techniques
- auteur
- Ákos K. Kiss, Edgar F. Rauch, Béla Pécz, János Szívós, János L. Lábár
- article
- Microscopy and Microanalysis, 2015, 21 (02), pp.422-435. ⟨10.1017/s1431927615000112⟩
- Accès au bibtex
-
- titre
- Post-irradiation plastic deformation in bcc Fe grains investigated by means of 3D dislocation dynamics simulations
- auteur
- K. Gururaj, C. Robertson, M. Fivel
- article
- Journal of Nuclear Materials, 2015, 459, pp.194-204. ⟨10.1016/j.jnucmat.2015.01.031⟩
- Accès au bibtex
-
- titre
- Evaluation de la chaine numérique en fabrication par Electron Beam Melting
- auteur
- Nicolas Béraud, Frédéric Vignat, François Villeneuve, Rémy Dendievel
- article
- 14ème Colloque National AIP PRIMECA, Mar 2015, La Plagne, France
- Accès au texte intégral et bibtex
-
- titre
- Place du prototype dans l'étape d'analyse d'un processus de reconception de pièce pour une fabrication additive
- auteur
- Thanh Hoang, Pierre-Thomas Doutre, Guy Prudhomme, Philippe René Marin, Franck Pourroy, Frédéric Vignat
- article
- 14ème Colloque National AIP PRIMECA, Mar 2015, La Plagne, France
- Accès au texte intégral et bibtex
-
- titre
- Orcad modeling of distributed PV architecture feeding a PV-HVDC bus
- auteur
- H. Alouache, A. Dellali, Mohamed Kellal, Michel Aillerie
- article
- 2nd International Conference on Power Electronics and their Applications, ICPEA 2015, Mar 2015, Djerfa, Algeria
- Accès au bibtex
-
- titre
- L'accueil et la prise en charge des jeunes sur les territoires : restitution des travaux de recherche appliquée menée sur la région Bretagne ». Jeunesse(s): tous acteurs, Quelles coopérations possibles ?
- auteur
- L. Davoust
- article
- JE Fédération régionale des centres sociaux et socioculturels de Bretagne, / Labers, Université de Bretagne Sud, Mar 2015, Lorient, France
- Accès au bibtex
-
- titre
- Numerical and experimental studies of magnetic field effects on solidification of metallurgical silicon for photovoltaic applications
- auteur
- Mircea Cablea
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI017⟩
- Accès au texte intégral et bibtex
-
- titre
- Mécanique des milieux fibreux auto-enchevêtrés : application à un alliage à mémoire de forme de type Nickel-Titane
- auteur
- Benjamin Gadot
- article
- Mécanique des structures [physics.class-ph]. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI010⟩
- Accès au texte intégral et bibtex
-
- titre
- Sliding state of the quasi-two dimensional CDW system TbTe3 probed by coherent x-ray diffraction
- auteur
- D. Le Bolloc'H, A.A. Sinchenko, L. Jacques, L. Ortéga, E. Lorenzo, P. Lejay, T. Schulli, G. Chahine, P. Monceau
- article
- Physica B: Condensed Matter, 2015, 460, pp.96 - 99. ⟨10.1016/j.physb.2014.11.048⟩
- Accès au bibtex
-
- titre
- Electron irradiation-enhanced core/shell organization of Al(Cr, Fe, Mn)Si dispersoids in Al–Mg–Si alloys
- auteur
- Camille Flament, Joël Ribis, Jérôme Garnier, Thierry Vandenberghe, Jean Henry, Alexis Deschamps
- article
- Philosophical Magazine, 2015, 95 (8), pp.906-917. ⟨10.1080/14786435.2015.1009959⟩
- Accès au bibtex
-
- titre
- Impact of grain microstructure on the heterogeneity of precipitation strengthening in an Al–Li–Cu alloy
- auteur
- Thomas Dorin, Alexis Deschamps, Frédéric de Geuser, Florence Robaut
- article
- Materials Science and Engineering: A, 2015, 627, pp.51-55. ⟨10.1016/j.msea.2014.12.073⟩
- Accès au texte intégral et bibtex
-
- titre
- Ab-initio study of the stability of the D8m-Nb5Sn2Ga and D8m-Ta5SnGa2 compounds
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Journal of Alloys and Compounds, 2015, 625 (1), pp.57-63. ⟨10.1016/j.jallcom.2014.10.155⟩
- Accès au bibtex
-
- titre
- Cooperative insertion of CO2 in diamine-appended metal-organic frameworks
- auteur
- Thomas M. Mcdonald, Jarad A. Mason, Xueqian Kong, Eric D. Bloch, David Gygi, Alessandro Dani, Valentina Crocellà, Filippo Giordanino, Samuel O. Odoh, Walter S. Drisdell, Bess Vlaisavljevich, Allison L. Dzubak, Roberta Poloni, Sondre K. Schnell, Nora Planas, Kyuho Lee, Tod Pascal, Liwen F. Wan, David Prendergast, Jeffrey B. Neaton, Berend Smit, Jeffrey B. Kortright, Laura Gagliardi, Silvia Bordiga, Jeffrey A. Reimer, Jeffrey R. Long
- article
- Nature, 2015, 519 (7543), ⟨10.1038/nature14327⟩
- Accès au bibtex
-
- titre
- Influence of misfit stresses on dislocation glide in single crystal superalloys: A three-dimensional discrete dislocation dynamics study
- auteur
- Siwen Gao, Marc Fivel, Anxin Ma, Alexander Hartmaier
- article
- Journal of the Mechanics and Physics of Solids, 2015, 76, pp.276-290. ⟨10.1016/j.jmps.2014.11.015⟩
- Accès au bibtex
-
- titre
- On ball-milled ODS ferritic steel recrystallization: From as-milled powder particles to consolidated state
- auteur
- N. Sallez, P. Donnadieu, E. Courtois-Manara, D. Chassaing, C. Kubel, F. Delabrouille, M. Blat-Yrieix, Y. De carlan, Y. Brechet
- article
- Journal of Materials Science, 2015, 50 (5), pp.2202-2217. ⟨10.1007/s10853-014-8783-1⟩
- Accès au bibtex
-
- titre
- Polyoxometalate nanostructured gold surfaces for sensitive biosensing of benzo[a]pyrene
- auteur
- Dimitri Mercier, Maroua Ben Haddada, Maria Huebner, Dietmar Knopp, Reinhard Niessner, Michèle Salmain, Anna Proust, Souhir Boujday
- article
- Sensors and Actuators B: Chemical, 2015, 209, pp.770-774. ⟨10.1016/j.snb.2014.12.015⟩
- Accès au bibtex
-
- titre
- Wetting by Liquid Metals—Application in Materials Processing: The Contribution of the Grenoble Group
- auteur
- N. Eustathopoulos
- article
- Metals, 2015, 5 (1), pp.350-370. ⟨10.3390/met5010350⟩
- Accès au bibtex
-
- titre
- Elaboration et caractérisation de couches minces supraconductrices épitaxiées de rhénium sur saphir
- auteur
- Benjamin Delsol
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI015⟩
- Accès au texte intégral et bibtex
-
- titre
- Open-circuit and doping transients of Cu(In,Ga)Se 2 solar cells with varying Ga content
- auteur
- F. Witte, N. Barreau, W. Witte, R. Scheer
- article
- Journal of Applied Physics, 2015, 117 (5), ⟨10.1063/1.4907391⟩
- Accès au bibtex
-
- titre
- Some patterns of shape change controlled by eigenstrain architectures
- auteur
- Sébastien Turcaud
- article
- Materials. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAI005⟩
- Accès au texte intégral et bibtex
-
- titre
- Effects of high static magnetic field on crystal orientation and magnetic property of Bi-5wt.% Zn alloys
- auteur
- Tianxiang Zheng, Yunbo Zhong, Zuosheng Lei, Weili Ren, Zhongming Ren, Hui Wang, Qiuliang Wang, François Debray, Eric Beaugnon, Yves Fautrelle
- article
- Materials Letters, 2015, 140, pp.68-70. ⟨10.1016/j.matlet.2014.10.125⟩
- Accès au bibtex
-
- titre
- Adhesion and separation models for direct hydrophilic bonding
- auteur
- E. Navarro, Y. Brechet, A. Barthelemy, I. Radu, J.P. Raskin, T. Pardoen
- article
- Japanese Journal of Applied Physics, 2015, 117 (8), ⟨10.1063/1.4913481⟩
- Accès au bibtex
-
- titre
- Low-to-moderate Reynolds number swirling flow in an annular channel with a rotating end wall
- auteur
- Laurent Davoust, Jean-Luc Achard, Laurent Drazek
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2015, 91 (2), pp.;. ⟨10.1103/PhysRevE.91.023019⟩
- Accès au bibtex
-
- titre
- Dispersion, connectivity and tortuosity of hierarchical porosity composite SOFC cathodes prepared by freeze-casting
- auteur
- A.Z. Lichtner, D. Jauffrès, D. Roussel, F. Charlot, C.L. Martin, R.K. Bordia
- article
- Journal of the European Ceramic Society, 2015, 35 (2), pp.585-595. ⟨10.1016/j.jeurceramsoc.2014.09.030⟩
- Accès au bibtex
-
- titre
- Growth of a 3C-SiC layer by carburization of silicon nanopillars
- auteur
- M. Ollivier, Laurence Latu-Romain, E. Latu-Romain
- article
- Materials Letters, 2015, 141, pp.263 - 266. ⟨10.1016/j.matlet.2014.11.094⟩
- Accès au bibtex
-
- titre
- Mesoscopic Rydberg-blockaded ensembles in the superatom regime and beyond
- auteur
- T. Weber, M. Höning, T. Niederprüm, T. Manthey, O. Thomas, V. Guarrera, M. Fleischhauer, G. Barontini, H. Ott
- article
- Nature Physics, 2015, 11 (2), pp.157-161. ⟨10.1038/nphys3214⟩
- Accès au bibtex
-
- titre
- Role of different factors in the glass-forming ability of binary alloys
- auteur
- D. V. Louzguine-Luzgin, N. Chen, A. Yu. Churymov, L. V. Louzguina-Luzgina, V. I. Polkin, L. Battezzati, A. R. Yavari
- article
- Indian Journal of Engineering & Materials Sciences, 2015, 50 (4), pp.1783-1793. ⟨10.1007/s10853-014-8741-y⟩
- Accès au bibtex
-
- titre
- Indicators of terrestrial biogenic hydrocarbon contamination and linear alkyl benzenes as land-base pollution tracers in marine sediments
- auteur
- A. D. Syakti, L. Asia, F. Kanzari, H. Umasangadji, S. Lebarillier, B. Oursel, C. Garnier, L. Malleret, Y. Ternois, G. Mille, Pierre Doumenq
- article
- International Journal of Environmental Science and Technology, 2015, 12 (2), pp.581-594. ⟨10.1007/s13762-013-0430-x⟩
- Accès au bibtex
-
- titre
- The continuing development and expansion of Acta Crystallographica Section B
- auteur
- Alexander J. Blake, Marc de Boissieu
- article
- Materials Science and Engineering: B, 2015, 71 (1), ⟨10.1107/s2052520615001663⟩
- Accès au bibtex
-
- titre
- Effects of high static magnetic field on distribution of solid particles in BiZn immiscible alloys with metastable miscibility gap
- auteur
- T.X. Zheng, Y.B. Zhong, Z.S. Lei, W.L. Ren, Z.M. Ren, F. Debray, E. Beaugnon, Y. Fautrelle
- article
- Journal of Alloys and Compounds, 2015, 623, pp.36-41. ⟨10.1016/j.jallcom.2014.10.095⟩
- Accès au bibtex
-
- titre
- Hypereutectoid steel coatings obtained by thermal flame spraying — Effect of annealing on microstructure, tribological properties and adhesion energy
- auteur
- Abdelatif Belamri, Abdelaziz Ati, Muriel Braccini, Said Azem
- article
- Surface and Coatings Technology, 2015, 263, pp.86-99. ⟨10.1016/j.surfcoat.2014.12.001⟩
- Accès au bibtex
-
- titre
- Optimisation d’architecture d’électrode poreuse pour pile à combustible à oxyde solide
- auteur
- Denis Roussel
- article
- Matériaux. Université Grenoble Alpes, 2015. Français. ⟨NNT : 2015GREAI019⟩
- Accès au texte intégral et bibtex
-
- titre
- Mise en place d’un suivi de la colonisation à plusieurs échelles
- auteur
- P. Claquin, F. Leroy, A.M. Rusig, I Musio, E Feunten, A. Foveau, Jean-Claude Dauvin, R. Gallon, J.L. Le Brun, M. Lestarquit, F. Orvain, A.S. Martinez, E. Desoche, C. Napoleon, D. Roussel, M. Boutouil
- article
- Proceedings of the RECIF Conference on artificial reefs : from materials to ecosystem, ESITC, Jan 2015, Caen, France
- Accès au bibtex
-
- titre
- Etude des spécificités du frittage par micro-ondes de poudres d'alumine alpha et gamma
- auteur
- Jérémy Croquesel
- article
- Matériaux. Université de Grenoble, 2015. Français. ⟨NNT : 2015GRENI013⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase transition in the 1/1 approximant to the Tsai type quasicrystal : atomic structure , diffuse scattering and atomic scale simulation
- auteur
- Dan Liu
- article
- Materials Science [cond-mat.mtrl-sci]. Université Grenoble Alpes, 2015. English. ⟨NNT : 2015GREAY001⟩
- Accès au texte intégral et bibtex
-
- titre
- Discrete dislocation dynamics simulations of fatigue crack initiation and propagation
- auteur
- C. Déprés, C. Robertson, M. Fivel
- article
- Plasticity 2015, Jan 2015, Montego Bay, Jamaica
- Accès au bibtex
-
- titre
- Analysis and Simulation Guided Processing of Hierarchical Porous Ceramics
- auteur
- H. Shang, A. Lichtner, D. Jauffres, D. Roussel
- article
- 39th International Conference and Exposition on Advanced Ceramics and Composites, Jan 2015, Daytona Beach, United States
- Accès au bibtex
-
- titre
- Impact of the cation distribution homogeneity on the americium oxidation state in the U0.54Pu0.45Am0.01O2−x mixed oxide
- auteur
- Romain Vauchy, Anne-Charlotte Robisson, Philippe M. Martin, Renaud C. Belin, Laurence Aufore, Andreas C. Scheinost, Fiqiri Hodaj
- article
- Journal of Nuclear Materials, 2015, 456, pp.115-119. ⟨10.1016/j.jnucmat.2014.09.014⟩
- Accès au bibtex
-
- titre
- Undercooling measurement and nucleation study of silicon droplet solidification
- auteur
- Maria G. Tsoutsouva, Thierry Duffar, Christian Garnier, G. Fournier
- article
- Crystal Research and Technology, 2015, 50 (1), pp.55-61. ⟨10.1002/crat.201400165⟩
- Accès au texte intégral et bibtex
-
- titre
- The IVS data input to ITRF2014
- auteur
- Axel Nothnagel, Walter Alef, Jun Amagai, Per Helge Andersen, Tatiana Andreeva, Thomas Artz, Sabine Bachmann, Christophe Barache, Alain Baudry, Erhard Bauernfeind, Karen Baver, Christopher Beaudoin, Dirk Behrend, A. Bellanger, Anton Berdnikov, Per Bergman, Simone Bernhart, Alessandra Bertarini, Giuseppe Bianco, Ewald Bielmaier, David Boboltz, Johannes Böhm, Sigrid Böhm, Armin Boer, Sergei Bolotin, Mireille Bougeard, G. Bourda, Salvo Buttaccio, Letizia Cannizzaro, Roger Cappallo, Brent Carlson, Merri Sue Carter, P. Charlot, Chenyu Chen, Maozheng Chen, Jungho Cho, Thomas Clark, A. Collioud, Francisco Colomer, Giuseppe Colucci, Ludwig Combrinck, John Conway, Brian Corey, Ronald Curtis, Reiner Dassing, Maria Davis, Pablo de Vicente, Alexey Diakov, John Dickey, Irv Diegel, Koichiro Doi, Hermann Drewes, Maurice Dube, Gunnar Elgered, Gerald Engelhardt, Mark Evangelista, Qingyuan Fan, Leonid Fedotov, Alan Fey, Ricardo Figueroa, Yoshihiro Fukuzaki, Daniel Gambis, Susana Garcia-Espada, Ralph Gaume, Michael Gaylard, Nicole Geiger, John Gipson, Frank Gomez, Jesus Gomez-Gonzalez, David Gordon, Ramesh Govind, Vadim Gubanov, Sergei Gulyaev, Ruediger Haas, David Hall, Sebastian Halsig, Roger Hammargren, Hayo Hase, Robert Heinkelmann, Leif Helldner, Cristian Herrera, Ed Himwich, Thomas Hobiger, Christoph Holst, Xiaoyu Hong, Mareki Honma, Xinyong Huang, Urs Hugentobler, Ryuichi Ichikawa, Andreas Iddink, Johannes Ihde, Gennadiy Ilijin, Alexander Ipatov, Irina Ipatova, Misao Ishihara, D. V. Ivanov, Chris Jacobs, Takaaki Jike, Karl-Ake Johansson, Heidi Johnson, Kenneth Johnston, Hyunhee Ju, Masao Karasawa, Pierre Kaufmann, Ryoji Kawabata, Noriyuki Kawaguchi, Eiji Kawai, Michael Kaydanovsky, Mikhail Kharinov, Hideyuki Kobayashi, Kensuke Kokado, Tetsuro Kondo, Edward Korkin, Yasuhiro Koyama, Hana Krasna, Gerhard Kronschnabl, Sergey Kurdubov, Shinobu Kurihara, Jiro Kuroda, Younghee Kwak, Laura La Porta, Ruth Labelle, Doug Lamb, Sébastien Lambert, Line Langkaas, Roberto Lanotte, Alexey Lavrov, Karine Le Bail, Judith Leek, Bing Li, Huihua Li, Jinling Li, Shiguang Liang, Michael Lindqvist, Xiang Liu, Michael Loesler, Jim Long, Colin Lonsdale, Jim Lovell, Stephen Lowe, Antonio Lucena, Brian Luzum, Chopo Ma, Jun Ma, Giuseppe Maccaferri, Morito Machida, Dan Macmillan, Matthias Madzak, Zinovy Malkin, Seiji Manabe, Franco Mantovani, Vyacheslav Mardyshkin, Dmitry Marshalov, Geir Mathiassen, Shigeru Matsuzaka, Dennis Mccarthy, Alexey Melnikov, Andrey Michailov, Natalia Miller, Donald Mitchell, Julian Andres Mora-Diaz, Arno Mueskens, Yasuko Mukai, Mauro Nanni, Tim Natusch, Monia Negusini, Alexander Neidhardt, George Nicolson, Arthur Niell, Pavel Nikitin, Tobias, Nilsson, Tong Ning, Takashi Nishikawa, Carey Noll, Kentarou Nozawa, Clement Ogaja, Hongjong Oh, Hans Olofsson, Per Erik Opseth, Sandro Orfei, Rosa Pacione, Katherine Pazamickas, William Petrachenko, Lars Pettersson, Pedro Pino, Lucia Plank, Christian Ploetz, Michael Poirier, Markku Poutanen, Zhihan Qian, Jonathan Quick, Ismail Rahimov, Jay Redmond, Brett Reid, John Reynolds, Bernd Richter, Maria Rioja, Andres Romero-Wolf, Chester Ruszczyk, Alexander Salnikov, Pierguido Sarti, Raimund Schatz, Hans-Georg Scherneck, Francesco Schiavone, Ulrich Schreiber, Harald Schuh, Walter Schwarz, Cecilia Sciarretta, Anthony Searle, Mamoru Sekido, Manuela Seitz, Minghui Shao, Kazuo Shibuya, Fengchun Shu, Moritz Sieber, Asmund Skjaeveland, Elena Skurikhina, Sergey Smolentsev, Dan Smythe, Don Sousa, Ojars Sovers, Laura Stanford, Carlo Stanghellini, Alan Steppe, Rich Strand, Jing Sun, Igor Surkis, Kazuhiro Takashima, Kazuhiro Takefuji, Hiroshi Takiguchi, Yoshiaki Tamura, Tadashi Tanabe, Emine Tanir, An Tao, Claudio Tateyama, Kamil Teke, Cynthia Thomas, Volkmar Thorandt, Bruce Thornton, Claudia Tierno Ros, Oleg Titov, Mike Titus, Paolo Tomasi, Vincenza Tornatore, Corrado Trigilio, Dmitriy Trofimov, Masanori Tsutsumi, Gino Tuccari, Tasso Tzioumis, Hideki Ujihara, Dieter Ullrich, Minttu Uunila, Tiziana Venturi, Francesco Vespe, Veniamin Vityazev, Alexandr Volvach, Alexander Vytnov, Guangli Wang, Jinqing Wang, Lingling Wang, Na Wang, Shiqiang Wang, Wenren Wei, Stuart Weston, Alan Whitney, Reiner Wojdziak, Yaroslav Yatskiv, Wenjun Yang, Shuhua Ye, Sangoh Yi, Aili Yusup, Octavio Zapata, Reinhard Zeitlhoefler, Hua Zhang, Ming Zhang, Xiuzhong Zhang, Rongbing Zhao, Weimin Zheng, Ruixian Zhou, Nataliya Zubko
- article
- 2015, ⟨10.5880/GFZ.1.1.2015.002⟩
- Accès au bibtex
-
- titre
- Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications
- auteur
- L. Tian, A. Soum-Glaude, F. Volpi, L. Salvo, G. Berthome, S. Coindeau, A. Mantoux, R. Boichot, S. Lay, V. Brize, E. Blanquet, G. Giusti, D. Bellet
- article
- Journal of Vacuum Science & Technology A, 2015, 33 (1), ⟨10.1116/1.4904025⟩
- Accès au bibtex
-
- titre
- Possible connection between nodule development and presence of niobium and/or titanium during short time thermal oxidation of AISI 441 stainless steel in wet atmosphere
- auteur
- W. Wongpromrat, V. Parry, F. Charlot, A. Crisci, L. Latu-Romain, W. Chandra-Ambhorn, S. Chandra-Ambhorn, A. Galerie, Y. Wouters
- article
- Materials at High Temperatures, 2015, 32 (1–2), pp.22-27. ⟨10.1179/0960340914z.00000000057⟩
- Accès au bibtex
-
- titre
- A new titanium alloy with a combination of high strength, high strain hardening and improved ductility
- auteur
- F. Sun, Jin-Ying Zhang, M. Marteleur, C. Brozek, E.F. Rauch, M. Veron, P. Vermaut, P.J. Jacques, F. Prima
- article
- Scripta Materialia, 2015, 94, pp.17-20. ⟨10.1016/j.scriptamat.2014.09.005⟩
- Accès au bibtex
-
- titre
- Knudsen cell mass spectrometry using restricted molecular beam collimation. I. Optimization of the beam from the vaporizing surface
- auteur
- Ioana Nuta, Christian Chatillon
- article
- Rapid Communications in Mass Spectrometry, 2015, 29 (1), pp.10-18. ⟨10.1002/rcm.7071⟩
- Accès au bibtex
-
- titre
- Effect of plasticity and atmospheric pressure on the formation of donut- and croissantlike buckles
- auteur
- S. Hamade, J. Durinck, G. Parry, C. Coupeau, A. Cimetière, Jean Grilhé, Jérôme Colin
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2015, 91 (1), ⟨10.1103/PhysRevE.91.012410⟩
- Accès au texte intégral et bibtex
-
- titre
- Diffuse multiple scattering
- auteur
- A. G. A. Nisbet, G. Beutier, F. Fabrizi, B. Moser, S. P. Collins
- article
- Acta Crystallographica Section A : Foundations and Advances [2014-..], 2015, 71 (1), pp.20-25. ⟨10.1107/S2053273314026515⟩
- Accès au texte intégral et bibtex
-
- titre
- Improvements in the assessment of the thermodynamic properties of condensed and gaseous phases of the CsOH compound
- auteur
- Fatima-Zhara Roki, Marie-Noelle Ohnet, Sylvie Fillet, Christian Chatillon, Ioana Nuta
- article
- Journal of Chemical Thermodynamics, 2015, 80, pp.147-160. ⟨10.1016/j.jct.2014.08.021⟩
- Accès au bibtex
-
- titre
- Self-organized nanoclusters in solution-processed mesoporous In–Ga–Zn–O thin films
- auteur
- Christine Revenant, M. Benwadih, M. Maret
- article
- Chemical Communications, 2015, 51 (7), pp.1218-1221. ⟨10.1039/C4CC08521C⟩
- Accès au bibtex
-
- titre
- Dewetting of the residual layer of annealed nanoimprinted polystyrene films
- auteur
- Etienne Rognin, Stéfan Landis, Laurent Davoust
- article
- Microelectronic Engineering, 2015, 141, pp.198-202. ⟨10.1016/j.mee.2015.03.063⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase Separation during Long Term Aging of a Complex Stainless Steel
- auteur
- L. Couturier, F. de Geuser, A. Deschamps
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada
- Accès au bibtex
-
- titre
- Site Web grand public et jeunes « Krystallopolis »
- auteur
- Jean-Louis Hodeau, Marc de Boissieu, Dominique Cornuejols, Pascal Moutet, Yannick Lacaze, Thibaut David
- article
- 2015
- Accès au bibtex
-
- titre
- Microstructure and mechanical properties of an Al–TiC metal matrix composite obtained by reactive synthesis
- auteur
- N Samer, J. Andrieux, B Gardiola, N Karnatak, O Martin, H Kurita, L Chaffron, S Gourdet, S Lay, O Dezellus
- article
- Composites Part A: Applied Science and Manufacturing, 2015, 72, pp.50 - 57. ⟨10.1016/j.compositesa.2015.02.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Functionalization of HTCVD Grown Aluminium Nitride
- auteur
- M. Pons, R. Boichot, Florian Mercier, E. Blanquet
- article
- International Conference on Semiconductor Materials and Devices (ISSMD-3), 2015, Chennai, India
- Accès au bibtex
-
- titre
- Time and Composition Resolved Precipitation Characterization and Modelling in (Cu) - (Cu-2%Co) Diffusion Couples
- auteur
- F. de Geuser, M. Styles, C. Hutchinson, A. Deschamps
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada
- Accès au bibtex
-
- titre
- Combinatorial Study of Precipitation Kinetics in Cu-Co Composition Gradients: Time and Space Resolved SAXS Measurements
- auteur
- A. Deschamps, F. de Geuser, C.R. Hutchinson, M. Styles
- article
- TMS conference, 2015, Orlando, FL, USA, United States
- Accès au bibtex
-
- titre
- The Recent Evolution of a Maternally-Inherited Endosymbiont of Ticks Led to the Emergence of the Q Fever Pathogen, Coxiella burnetii
- auteur
- Olivier Duron, Valérie Noël, Karen D. Mccoy, Matteo Bonazzi, Karim Sidi-Boumedine, Olivier Morel, Fabrice Vavre, Lionel Zenner, Elsa Jourdain, Durand Patrick, Céline Arnathau, François Renaud, Jean-François Trape, Abel S. Biguezoton, Julie Cremaschi, Muriel Dietrich, Elsa Léger, Anaïs Appelgren, Marlène Dupraz, Elena Gómez-Díaz, Georges Diatta, Guiguigbaza-Kossigan Dayo, Hassane Adakal, Sébastien Zoungrana, Laurence Vial, Christine Chevillon
- article
- PLoS Pathogens, 2015, 11 (5), ⟨10.1371/journal.ppat.1004892⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimization of silver nanowire-based transparent electrodes: effects of density, size and thermal annealing
- auteur
- M. Lagrange, D. P. Langley, G. Giusti, Carmen Jiménez, Y. Bréchet, Daniel Bellet
- article
- Nanoscale, 2015, 7 (41), pp.17410-17423. ⟨10.1039/c5nr04084a⟩
- Accès au bibtex
-
- titre
- Electrochemical and ab initio investigations to design a new phenothiazine based organic redox polymeric material for metal-ion battery cathodes
- auteur
- T. Godet-Bar, J.-C. Leprêtre, O. Le Bacq, J.-Y. Sanchez, A. Deronzier, A. Pasturel
- article
- Physical Chemistry Chemical Physics, 2015, 17 (38), pp.25283-25296. ⟨10.1039/c5cp01495f⟩
- Accès au bibtex
-
- titre
- High-throughput in-situ characterization and modelling of precipitation kinetics in compositionally graded alloys
- auteur
- F de Geuser, M.J. Styles, A Deschamps, C.R. Hutchinson
- article
- Acta Materialia, 2015, ⟨10.1016/j.actamat.2015.08.061⟩
- Accès au texte intégral et bibtex
-
- titre
- Damage and fracture of dual-phase steels: Influence of martensite volume fraction
- auteur
- Qingquan Lai, Olivier Bouaziz, Mohamed Gouné, L. Brassart, Marc Verdier, Guillaume Parry, Astrid Perlade, Yves Bréchet, Thomas Pardoen
- article
- Materials Science and Engineering: A, 2015, 646, pp.322-331. ⟨10.1016/j.msea.2015.08.073⟩
- Accès au bibtex
-
- titre
- An innovative contactless method for detecting defects in electrical conductors by measuring a change in electromagnetic torque
- auteur
- Yanqing Tan, Xiaodong Wang, René Moreau
- article
- Measurement Science and Technology, 2015, 26, pp.035602
- Accès au bibtex
-
- titre
- SEGREGATION CONTROL AT DIRECTIONAL SOLIDIFICATION USING MAGNETIC FIELD AND ELECTRIC CURRENT
- auteur
- Imants Kaldre, Yves Fautrelle, Jacqueline Etay, Andris Bojarevics, L. Buligins
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, 51 (3), pp.445-451
- Accès au bibtex
-
- titre
- Formation, stability, and atomic structure of the Si ( 111 ) − ( 6 × 6 ) Au surface reconstruction: A quantitative study using synchrotron radiation
- auteur
- R. Daudin, T. Nogaret, A. Vaysset, T. Schulli, A. Pasturel, Gilles Renaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2015, 91 (16), pp.165426. ⟨10.1103/physrevb.91.165426⟩
- Accès au texte intégral et bibtex
-
- titre
- Activation volume in heterogeneous deformation of Mg65Cu12.5Ni12.5(Ce75La25)10 metallic glass
- auteur
- Nicolas Thurieau, Loic Perriere, Mathilde Laurent-Brocq, Yannick Champion
- article
- Journal of Applied Physics Appl. Phys. Lett, 2015, 118, pp.204302 - 21905
- Accès au bibtex
-
- titre
- ANALYTICAL STUDY OF THERMO ACOUSTIC MHD GENERATOR
- auteur
- S.M.H. Mirhoseini, A. Alemany
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, 51 (2), pp.519-530
- Accès au bibtex
-
- titre
- Growth and setting of gas bubbles in a viscoelastic matrix imaged by X-ray microtomography: the evolution of cellular structures in fermenting wheat flour dough
- auteur
- A. Turbin-Orger, P. Babin, E. Boller, Laurent Chaunier, H. Chiron, G. Della Valle, R. Dendievel, A. L. Réguerre, L. Salvo
- article
- Soft Matter, 2015, 11 (17), pp.3373-3384. ⟨10.1039/c5sm00100e⟩
- Accès au bibtex
-
- titre
- Advanced Small-angle X-ray Scattering for Quantifying Complex Precipitation Kinetic Pathways
- auteur
- A. Deschamps, F. de Geuser
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada
- Accès au bibtex
-
- titre
- FP7-OCEAN-2013 - SCHeMA: Integrated in situ chemical mapping probes
- auteur
- M.-L.T. Waeber, E. Bakker, Corinne Nardin, S. Mongin, E. Prado, M.C. Botia, B. Mazaikoff, F. Luxenburger, I. Klimant, G. Mistlberger, B. Mueller, P. van Der Val, M. Fighera, J.F. Sanchez, A.L.M. Castillo, A.V. Navarro, J. Schaefer, M. Abdou, A. Novellino, P. d'Angelo, F. Confalonieri, M. Castellano, E. Magi, F. Massa, P. Povero
- article
- FP7-OCEAN-2013 - SCHeMA: Integrated in situ chemical.., 2015, Unknown, Unknown Region. ⟨10.1109/OCEANS-Genova.2015.7271560⟩
- Accès au bibtex
-
- titre
- Probing the mechanism of CO2 capture in diamine-appended metal-organic frameworks using measured and simulated X-ray spectroscopy.
- auteur
- Walter S. Drisdell, Roberta Poloni, Thomas M. Mcdonald, Tod A. Pascal, Liwen F. Wan, C. Das Pemmaraju, Bess Vlaisavljevich, Samuel O. Odoh, Jeffrey B. Neaton, Jeffrey R. Long, David Prendergast, Jeffrey B. Kortright
- article
- Physical Chemistry Chemical Physics, 2015, 17 (33), pp.21448-21457. ⟨10.1039/c5cp02951a⟩
- Accès au bibtex
-
- titre
- Telephone cord buckles—A relation between wavelength and adhesion
- auteur
- Jean-Yvon Faou, Guillaume Parry, Sergey Grachev, Etienne Barthel
- article
- Journal of the Mechanics and Physics of Solids, 2015, 75, pp.93 - 103. ⟨10.1016/j.jmps.2014.11.008⟩
- Accès au texte intégral et bibtex
-
- titre
- «α-La₂WO₆ : Nouveau composé ferroélectrique sans plomb»
- auteur
- T. Carlier, M-H. Chambrier, A. Ferri, S. Estradé, J.F. Blach, G. Martin, B. Meziane, F. Peiró, P. Roussel, A. Cornet, R. Desfeux
- article
- Congrès SCF’15 - Société Chimique de France, 2015, Lille, France
- Accès au bibtex
-
- titre
- APT data mining : statistical characterization of the decomposition of solid solutions
- auteur
- F. de Geuser
- article
- 7th School on Atom Probe Tomography, 2015, Rouen, France
- Accès au bibtex
-
- titre
- Kinetics of Spinodal Decomposition during Ageing of a Precipitation Hardened Martensitic Stainless Steel
- auteur
- L. Couturier, F. de Geuser, A. Deschamps
- article
- TMS conference, 2015, Orlando, FL, USA, United States
- Accès au bibtex
-
- titre
- High N-content holey few-layered graphene electrocatalysts: scalable solvent-less production
- auteur
- Dan Liu, Weiwei Lei, David Portehault, Si Qina, Ying Chen
- article
- Journal of Materials Chemistry A, 2015, 3 (4), pp.1682-1687. ⟨10.1039/c4ta05008h⟩
- Accès au bibtex
-
- titre
- Effective transport properties of 3D multi-component microstructures with interface resistance
- auteur
- Denis Roussel, A. Lichtner, David Jauffrès, Rajendra K. Bordia, Christophe L. Martin
- article
- Computational Materials Science, 2015, 96, Part A, pp.277-273. ⟨10.1016/j.commatsci.2014.09.027⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure refinement of dual-phase steels with 3.5 wt% Mn : influence on plastic and fracture behavior
- auteur
- Qingquan Lai, Olivier Bouaziz, Mohamed Gouné, Astrid Perlade, Yves Bréchet, Thomas Pardoen
- article
- Materials Science and Engineering: A, 2015, 638, pp.78-89. ⟨10.1016/j.msea.2015.04.044⟩
- Accès au bibtex
-
- titre
- Mechanical equivalent diameter of single struts for the stiffness prediction of lattice structures produced by Electron Beam Melting
- auteur
- Mathieu Suard, Guilhem Martin, Pierre Lhuissier, Rémy Dendievel, Frédéric Vignat, Jean-Jacques Blandin, François Villeneuve
- article
- Additive Manufacturing, 2015, 8, pp.124-131. ⟨10.1016/j.addma.2015.10.002⟩
- Accès au bibtex
-
- titre
- Design, properties and degradation mechanisms of Pt-Al 2 O 3 multilayer coating for high temperature solar thermal applications
- auteur
- Carine Gremion, Christian Seassal, Emmanuel Drouard, A. Gerthoffer, B. Pelissier, C. Ducros
- article
- Surface and Coatings Technology, 2015, 284, pp.31-37
- Accès au bibtex
-
- titre
- Overview of the current issues in austenite to ferrite transformation and the role of migrating interfaces therein for low alloyed steels
- auteur
- Mohamed Gouné, Frederic Danoix, John Ågren, Yves Bréchet, Christopher R. Hutchinson, Matthias Militzer, Gary Purdy, Sybrand van Der Zwaag, Hatem Zurob
- article
- Materials Science and Engineering: R: Reports, 2015, 92, pp.1-38. ⟨10.1016/j.mser.2015.03.001⟩
- Accès au bibtex
-
- titre
- Optimal Design of a Multifunctional Sandwich Panel With Foam Core: Lightweight Design for Flexural Stiffness and Acoustical Transmission Loss
- auteur
- P. Leite, M. Thomas, F. Simon, Y. Brechet
- article
- Advanced Engineering Materials, 2015, 17 (3), p. 311-318. ⟨10.1002/adem.201400075⟩
- Accès au bibtex
-
- titre
- Hydrodynamic coarsening in phase-separated silicate melts
- auteur
- David Bouttes Bouttes, Océane Lambert, Corinne Claireaux Claireaux, William Woelffel, Davy Dalmas, Emmanuelle Gouillart, Pierre Lhuissier, Luc Salvo, Elodie Boller, Damien Vandembroucq
- article
- Acta Materialia, 2015, 92, pp.233-242. ⟨10.1016/j.actamat.2015.03.045⟩
- Accès au texte intégral et bibtex
-
- titre
- «New Lead-Free α-La₂WO₆ Ferroelectric Thin Films»
- auteur
- T. Carlier, M.H. Chambrier, A. Ferri, S. Estradé, Jean-François Blach, G. Martin, B. Meziane, F. Peiró, P. Roussel, A. Cornet, R. Desfeux
- article
- E-MRS 2015 Spring Meeting, 2015, Lille, France
- Accès au bibtex
-
- titre
- The Effect of Pre-deformation on Precipitation in Al-Mg Alloys Containing Small Cu Additions
- auteur
- S. Medrano, C. Sinclair, F. de Geuser, A. Deschamps, D. Kang, B. Raeisinia
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada. 2015
- Accès au bibtex
-
- titre
- Understanding the strengthening of Al-Li-Cu alloys by tailoring their precipitate microstructure
- auteur
- F. de Geuser, T. Dorin, E. Gumbmann, A. Deschamps
- article
- EUROMAT conference, 2015, Warsaw, Poland
- Accès au bibtex
-
- titre
- The role of stress induced martensite in ductile metastable Beta Ti-alloys showing combined TRIP/TWIP effects
- auteur
- F. Sun, J. Y. Zhang, C. Brozek, M. Marteleur, M. Véron, E. Rauch, T. Gloriant, P. Vermaut, C. Curfs, P. J. Jacques, Frédéric Prima
- article
- Materials Today: Proceedings, 2015, International Conference on Martensitic Transformations, ICOMAT-2014, 2, Supplement 3, pp.S505--S510. ⟨10.1016/j.matpr.2015.07.336⟩
- Accès au texte intégral et bibtex
-
- titre
- CVD of silicon on steels for flexible solar cells
- auteur
- M. Pons, G. Chichignoud, K. Zaidat, E. Blanquet
- article
- Indian Conference on Crystal Growth, 2015, Chennai, India
- Accès au bibtex
-
- titre
- Skillex: a graph-based lexical score for measuring the semantic eciency of used verbs by human subjects describing actions
- auteur
- Bruno Gaume, E. Navarro, Y. Desalle, H. Cheung, S.-K. Hsieh, P. Magistry, Laurent Prevot, Karine Duvignau
- article
- Revue TAL : traitement automatique des langues, 2015, 55 (3), pp.97-121
- Accès au bibtex
-
- titre
- FEASIBILITY ANALYSIS OF AN MHD INDUCTIVE GENERATOR COUPLED WITH A THERMOACOUSTIC RESONATOR
- auteur
- A. Alemany, Carcangiu S., R. Forcinetti, A. Montisci, Roux J. P.
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2015, 51 (3), pp.531-541
- Accès au bibtex
-
- titre
- Functionalization of Aluminium Nitride Thin Films and Coatings
- auteur
- M. Pons, R. Boichot, Florian Mercier, S. Lay, E. Blanquet
- article
- International Conference on Metallurgical Coatings and Thin Films (ICMCTF), " Hard Coatings and Vapor Deposition Technology", 2015, San Diego, United States
- Accès au bibtex
-
- titre
- On the role of surface rheology in a magnetohydrodynamic swirling flow
- auteur
- Jules Delacroix, Laurent Davoust
- article
- Physics of Fluids, 2015, 27 (6), pp.22. ⟨10.1063/1.4922711⟩
- Accès au texte intégral et bibtex
-
- titre
- Deformation of the Aluminum Bath Surface in an Induction Melting Furnace
- auteur
- Akshay Bansal, Pierre Chapelle, Yves Delannoy, Emmanuel Waz, Pierre Le Brun, Jean Pierre Bellot
- article
- Light Metals 2015, John Wiley & Sons, Inc., pp.997-1004, 2015, 9781119093435. ⟨10.1002/9781119093435.ch168⟩
- Accès au bibtex
-
- titre
- Dynamic boundary conditions for a coupled convection-diffusion model with heat effects: applications in cross-contamination control
- auteur
- Nirina Santatriniaina, J. Deseure, T.Q. Nguyen, H. Fontaine, C. Beitia, Lalaonirina Rakotomanana-Ravelonarivo
- article
- International Journal of Applied Mathematical Sciences, 2015, 4 (1), pp.58-77. ⟨10.14419/ijamr.v4i1.4053⟩
- Accès au bibtex
-
- titre
- Spontaneous shape transition of thin films into ZnO nanowires with high structural and optical quality
- auteur
- Sophie Guillemin, Eirini Sarigiannidou, Estelle Appert, Fabrice Donatini, Gilles Renou, Georges Bremond, Vincent Consonni
- article
- Nanoscale, 2015, 7 (40), pp.16994-17003. ⟨10.1039/c5nr04394h⟩
- Accès au bibtex
-
- titre
- Contrôle temporel et spatial de la cavitation acoustique pour des tests de thrombolyse ultrasonore extracorporelle
- auteur
- A. Poizat, P. Boulos, F. Varray, B. Gilles, C. Cachard, J.C. Bera
- article
- Congrès Français de Mécanique, 2015, Lyon, France
- Accès au bibtex
-
- titre
- Combinatorial Approach to Investigate the Influence of Minor Alloying Elements in AlCuLi Alloys
- auteur
- E. Gumbmann, F. de Geuser, C. Sigli, Williams Lefebvre, A. Deschamps
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada
- Accès au bibtex
-
- titre
- Kinetics of Solute Clustering in Multi-constituent Aluminum Alloys
- auteur
- R. Ivanov, F. de Geuser, A. Deschamps
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2015, Whistler, BC, Canada
- Accès au bibtex
-
- titre
- Voiding Phenomena in Copper-Copper Bonded Structures: Role of Creep
- auteur
- P. Gondcharton, B. Imbert, L. Benaissa, M. Verdier
- article
- ECS Journal of Solid State Science and Technology, 2015, 4 (3), pp.P77-P82. ⟨10.1149/2.0081503jss⟩
- Accès au bibtex
-
- titre
- A new device for measuring thermal conductivity and heat capacity of metallic alloys by electromagnetic levitation
- auteur
- J. Etay, A. Gagnoud, A. Diarra, Ch. Garnier, S. Massucci, Mazen Alamir, A. Sulpice, S. Rivoirard
- article
- Séminaire France-Japon sur l'EPM, Dec 2014, Pornichet, France
- Accès au bibtex
-
- titre
- Solidification and phase transformations in a dissimilar steel weld 18MND5/309L/308L : evolution of microstructure and mechanical properties
- auteur
- Fanny Mas
- article
- Materials. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI076⟩
- Accès au texte intégral et bibtex
-
- titre
- Recrystallization, abnormal grain growth and ultrafine microstructure of ODS ferritic steels
- auteur
- Nicolas Sallez
- article
- Materials. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI075⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigation of ZrFe2-type materials for metal hydride hydrogen compressor systems by substituting Fe with Cr or V
- auteur
- E. Koultoukis, S.S. Makridis, E. Pavlidou, P. de Rango, A.K. Stubos
- article
- International Journal of Hydrogen Energy, 2014, 39 (36), pp.21380 - 21385. ⟨10.1016/j.ijhydene.2014.03.184⟩
- Accès au bibtex
-
- titre
- A history of the early stages of fatigue in 100 DD simulations
- auteur
- C. Déprés, M. Fivel, C. Robertson, G.R. Vara Prasad
- article
- International workshop on Dislocation Dynamics: Trends and Challenges in DD, Dec 2014, Saclay, France
- Accès au bibtex
-
- titre
- Dislocation Dynamics simulations with climb
- auteur
- B. Bako, D. Mordehai, E. Clouet, L. Dupuy, Marc Bletry, M. Fivel, M. Verdier
- article
- International workshop on Dislocation Dynamics: Trends and Challenges in DD, Saclay, Dec 2014, Saclay, France
- Accès au bibtex
-
- titre
- 3D discrete dislocation dynamics study of plastic deformation in various Ni-base single crystal superalloy with microstructures obtained by phase field method
- auteur
- S. Gao, M. Fivel, A. Hartmaier, A. Ma
- article
- International workshop on Dislocation Dynamics: Trends and Challenges in DD, Dec 2014, Saclay, France
- Accès au bibtex
-
- titre
- Channel formation and multiplication during post-irradiation tensile straining in FCC grains
- auteur
- G. Kadiri, C. Robertson, M. Fivel
- article
- International workshop on Dislocation Dynamics: Trends and Challenges in DD, Dec 2014, Saclay, France
- Accès au bibtex
-
- titre
- The NUMODIS project
- auteur
- Amandine Etcheverry, P. Blanchard, O. Coulaud, Marc Bletry, M. Fivel, E. Ferrié, L. Dupuy
- article
- International workshop on Dislocation Dynamics: Trends and Challenges in DD, Dec 2014, Saclay, France
- Accès au bibtex
-
- titre
- Interplay between the structure and dynamics in liquid and undercooled boron: An ab initio molecular dynamics simulation study
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of the Chemical Society, Faraday Transactions 2: Molecular and Chemical Physics, 2014, 141 (23), pp.6. ⟨10.1063/1.4903452⟩
- Accès au bibtex
-
- titre
- Chemical Segregation of Titanium in Sapphire Single Crystals Grown by Micro-Pulling-Down Technique: Analytical Model and Experiments
- auteur
- A. Nehari, T. Duffar, E.A. Ghezal, K. Lebbou
- article
- Crystal Growth & Design, 2014, 14 (12), pp.6492-6496. ⟨10.1021/cg5013582⟩
- Accès au bibtex
-
- titre
- The role of Sn doping in the β-type Ti–25at%Nb alloys: Experiment and ab initio calculations
- auteur
- J.J. Gutiérrez-Moreno, Y. Guo, K. Georgarakis, A.R. Yavari, G.A. Evangelakis, Ch.E. Lekka
- article
- Journal of Alloys and Compounds, 2014, 615, pp.S676-S679. ⟨10.1016/j.jallcom.2014.05.024⟩
- Accès au bibtex
-
- titre
- Niobium nitride thin films deposited by high temperature chemical vapor deposition
- auteur
- Frédéric Mercier, Stéphane Coindeau, Sabine Lay, Alexandre Crisci, Matthieu Benz, Thierry Encinas, Raphaël Boichot, Arnaud Mantoux, Carmen Jimenez, François Weiss, Elisabeth Blanquet
- article
- Surface and Coatings Technology, 2014, 260, pp.126-132. ⟨10.1016/j.surfcoat.2014.08.084⟩
- Accès au bibtex
-
- titre
- Influence of the V/III ratio in the gas phase on thin epitaxial AlN layers grown on (0001) sapphire by high temperature hydride vapor phase epitaxy
- auteur
- A. Claudel, V. Fellmann, I. Gélard, N. Coudurier, D. Sauvage, M. Balaji, E. Blanquet, R. Boichot, G. Beutier, S. Coindeau, A. Pierret, B. Attal-Trétout, S. Luca, A. Crisci, K. Baskar, M. Pons
- article
- Thin Solid Films, 2014, 573 (12), pp.140-147. ⟨10.1016/j.tsf.2014.11.022⟩
- Accès au bibtex
-
- titre
- Compositional-induced structural change in ZrxNi100−x thin film metallic glasses
- auteur
- Matteo Ghidelli, Sébastien Gravier, Jean-Jacques Blandin, Thomas Pardoen, Jean-Pierre Raskin, Frédéric Mompiou
- article
- Journal of Alloys and Compounds, 2014, 615, pp.S348-S351. ⟨10.1016/j.jallcom.2013.12.054⟩
- Accès au bibtex
-
- titre
- In situ studies of temperature-dependent behaviour and crystallisation of Ni36.5Pd36.5P27 metallic glass
- auteur
- I. Kaban, K. Khalouk, F. Gasser, J. -G. Gasser, J. Bednarcik, O. Shuleshova, I. Okulov, T. Gemming, N. Mattern, J. Eckert
- article
- Journal of Alloys and Compounds, 2014, 615 (1), pp.S208-S212. ⟨10.1016/j.jallcom.2013.12.259⟩
- Accès au bibtex
-
- titre
- Interfacial Structure and Photocatalytic Activity of Magnetron Sputtered TiO2 on Conducting Metal Substrates
- auteur
- Svava Davíđsdóttir, Jean-Pierre Petit, Michel Mermoux, Rajashekhara Shabadi, Stela Canulescu, Klaus P. Almtoft, Kai Dirscherl, Rajan Ambat
- article
- ACS Applied Materials & Interfaces, 2014, 6 (24), pp.22224-22234. ⟨10.1021/am5059298⟩
- Accès au bibtex
-
- titre
- Numerical study of single droplet impact onto liquid metal film under a uniform magnetic field
- auteur
- Jin-Jin Wang, Jie Zhang, Ming-Jiu Ni, René Moreau
- article
- Physics of Fluids, 2014, 26 (12), pp.16. ⟨10.1063/1.4903821⟩
- Accès au bibtex
-
- titre
- Exploring the mechanical size effects in Zr65Ni35 thin film metallic glasses
- auteur
- Matteo Ghidelli, Antoine Volland, Jean-Jacques Blandin, Thomas Pardoen, Jean-Pierre Raskin, Frédéric Mompiou, Philippe Djemia, Sébastien Gravier
- article
- Journal of Alloys and Compounds, 2014, 615, pp.S90-S92. ⟨10.1016/j.jallcom.2013.11.154⟩
- Accès au bibtex
-
- titre
- Icosahedral quasicrystals: Assembled with one component
- auteur
- M. De Boissieu
- article
- Nature Materials, 2014, 14 (1), pp.18-19. ⟨10.1038/nmat4183⟩
- Accès au bibtex
-
- titre
- Time and temperature dependence of the adhesion of oxide scales formed on phosphorus-containing steels during short term oxidation
- auteur
- E. Ahtoy, M. Picard, G. Leprince, A. Galerie, Y. Wouters, X. Wang, A. Atkinson
- article
- Materials Chemistry and Physics, 2014, 148 (3), pp.1157-1162. ⟨10.1016/j.matchemphys.2014.09.038⟩
- Accès au bibtex
-
- titre
- Room temperature strain recovery into non-stoichiometric intermetallic compound Ti3Sn
- auteur
- Olga Ivanova, Alain R. Yavari, Konstantinos Georgarakis, Yuriy Podrezov
- article
- Journal of Alloys and Compounds, 2014, 617, pp.34-38. ⟨10.1016/j.jallcom.2014.03.195⟩
- Accès au bibtex
-
- titre
- Surface precipitation on engineering alloys
- auteur
- Y. Chen, X.Y. Fang, Y. Brechet, C.R. Hutchinson
- article
- Acta Materialia, 2014, 81, pp.291-303. ⟨10.1016/j.actamat.2014.08.044⟩
- Accès au bibtex
-
- titre
- Automated crystal orientation and phase mapping in TEM
- auteur
- E.F. Rauch, M. Veron
- article
- Materials Characterization, 2014, 98, pp.1-9. ⟨10.1016/j.matchar.2014.08.010⟩
- Accès au bibtex
-
- titre
- Experimental Determination of Solid-Liquid Equilibria with Reactive Components: Example of the Fe-Ti-B Ternary System
- auteur
- A. Antoni-Zdziobek, M. Gospodinova, Fabrice Bonnet, F. Hodaj
- article
- Journal of Phase Equilibria and Diffusion, 2014, 35 (6), pp.701-710. ⟨10.1007/s11669-014-0355-1⟩
- Accès au bibtex
-
- titre
- Relationship Between Microstructure, Strength, and Fracture in an Al-Zn-Mg Electron Beam Weld: Part II: Mechanical Characterization and Modeling
- auteur
- Quentin Puydt, Sylvain Flouriot, Sylvain Ringeval, Frédéric de Geuser, Rafael Estevez, Guillaume Parry, Alexis Deschamps
- article
- Metallurgical and Materials Transactions A, 2014, 45 (13), pp.6141-6152. ⟨10.1007/s11661-014-2567-8⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation des évolutions microstructurales de l'acier inoxydable martensitique à durcissement structural 15-5PH au cours du vieillissement thermique
- auteur
- Laurent Couturier
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI045⟩
- Accès au texte intégral et bibtex
-
- titre
- Application de la technique ACOM-TEM à la plasticité des métaux
- auteur
- E.F. Rauch
- article
- Matériaux 2014, Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Chemical composition of nano-phases studied by anomalous small-angle X-ray scattering (ASAXS)
- auteur
- Myriam Dumont, I. Morfin, F. de Geuser, L. Commin, F. Legendre, Philippe Maugis, Sébastien Jégou, Laurent Barrallier
- article
- Chemical composition of nano-phases studied by anomalous small-angle X-ray scattering (ASAXS), Nov 2014, Montpellier, France
- Accès au bibtex
-
- titre
- Etude du rapport O/M dans des nouveaux combustibles oxydes à base d'U et Pu : élaboration et caractérisation de matériaux modèles U1-y PuyO2-x
- auteur
- Romain Vauchy
- article
- Autre. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI053⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation électromagnétique 3D d'inducteurs multibrins - Développement d'une méthode intégrale parallélisée
- auteur
- Raphaël Scapolan
- article
- Génie des procédés. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI049⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation électromagnétique 3D d’inducteurs multibrins – Développement d’une méthode intégrale parallélisée
- auteur
- Raphaël Scapolan
- article
- Physique Numérique [physics.comp-ph]. Université de Grenoble, 2014. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Crystal Growth of Silicon for Photovoltaics
- auteur
- L. Lhomond, A. Nouri, G. Chichignoud, Y. Delannoy, F. Richard, M. Albaric, V. Brize, B. Helifa, K. Lefkaier, K. Zaïdat
- article
- Collaborative Conference on Crystal Growth (3CG), Nov 2014, Phuket, Thailand
- Accès au bibtex
-
- titre
- Microstructure optimization of ferrite-martensite steels with 3.5wt% Mn : from phase transformation to micromechanics
- auteur
- Qingquan Lai
- article
- Chemical and Process Engineering. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI086⟩
- Accès au texte intégral et bibtex
-
- titre
- Stability of the D8m-Ti5Sn2Ga compound. Experimental determinations and first principle calculations
- auteur
- Iuliia Fartushna, Marina Bulanova, Catherine Colinet, Jean-Claude Tedenac
- article
- Journal of Chemical Thermodynamics, 2014, 78, pp.269-277. ⟨10.1016/j.jct.2014.04.008⟩
- Accès au bibtex
-
- titre
- Effect of Multi-Scale Thermoelectric Magnetic Convection on Solidification Microstructure in Directionally Solidified Al-Si Alloys Under a Transverse Magnetic Field
- auteur
- Xiaojian Li, D. Du, A. Gagnoud, Zhuoxiang Ren, Y. Fautrelle, R. Moreau
- article
- Metallurgical and Materials Transactions A, 2014, 45 (12), ⟨10.1007/s11661-014-2496-6⟩
- Accès au bibtex
-
- titre
- Constraining the neutrino emission of gravitationally lensed Flat-Spectrum Radio Quasars with ANTARES data
- auteur
- S. Adrián-Martínez, A. Albert, M. Andre, G. Anton, M. Ardid, J. J. Aubert, B. Baret, J. Barrios-Marti, S. Basa, V. Bertin, S. Biagii, C. Bogazzi, R. Bormuth, M. Bou-Cabo, M. C. Bouwhuis, R. Bruijn, J. Brunner, J. Busto, A. Capone, L. Caramete, J. Carr, T. Chiarusi, M. Circella, R. Coniglione, L. Core, H. Costantini, P. Coyle, A. Creusot, G. de Rosa, I. Dekeyser, A. Deschamps, G. de Bonis, C. Distefano, C. Donzaud, D. Dornic, Q. Dorosti, D. Drouhin, A. Dumas, T. Eberl, D. Elsaesser, A. Enzenhoefer, S. Escoffier, K. Fehn, I. Fells, P. Fermani, F. Folger, L. A. Fusco, S. Galata, P. Gay, S. Geisselsoeder, K. Geyer, V. Giordano, A. Gleixner, J. P. Gomez-Gonzalez, K. Graf, G. Guillard, H. Van Haren, A. J. Heijboer, Y. Hello, J. J. Hernandez-Rey, B. Herold, A. Herrero, J. Hoessl, J. Hofestaedt, C. Hugon, C. W. James, M. De Jong, M. Kadler, O. Kalekin, A. Kappes, U. Katz, D. Kiessling, P. Kooijman, A. Kouchner, I. Kreykenbohm, V. Kulikovskiy, R. Lahmann, E. Lambard, G. Lambard, Dominique Lefèvre, E. Leonora, H. Loehner, S. Loucatos, S. Mangano, M. Marcelin, A. Margiotta, J. A. Martinez-Mora, S. Martini, A. Mathieu, T. Michael, P. Migliozzi, C. Mueller, M. Neff, E. Nezri, D. Palioselitis, G. E. Pavalas, C. Perrina, V. Popa, T. Pradier, C. Racca, G. Riccobene, R. Richter, K. Roensch, A. Rostovtsev, M. Saldana, D. F. E. Samtleben, A. Sanchez-Losa, M. Sanguineti, J. Schmid, J. Schnabel, S. Schulte, F. Schuessler, T. Seitz, C. Sieger, A. Spies, M. Spurioi, J. J. M. Steijger, Th. Stolarczyk, M. Taiuti, Christian Tamburini, Y. Tayalati, A. Trovato, M. Tselengidou, C. Toennis, B. Vallage, Corentin Vallée, V. van Elewyck, E. Visser, D. Vivolo, S. Wagner, J. Wilms, E. Wolf, K. Yatkin, H. Yepes, J. D. Zornoza, J. Zúñiga, E. E. Falco, Antares Collaboration
- article
- JOURNAL OF COSMOLOGY AND ASTROPARTICLE PHYSICS, 2014, 11, ⟨10.1088/1475-7516/2014/11/017⟩
- Accès au bibtex
-
- titre
- Kinetic roughening of a soft dewetting line under quenched disorder: A numerical study
- auteur
- B. Tyukodi, Y. Bréchet, Z. Néda
- article
- Physical Review Online Archive (PROLA), 2014, 90 (5), pp.9. ⟨10.1103/PhysRevE.90.052404⟩
- Accès au bibtex
-
- titre
- Inclined dislocations in plates
- auteur
- Roland Bonnet, Salem Neily, Sami Youssef
- article
- physica status solidi (b), 2014, 251 (11), pp.2307-2313. ⟨10.1002/pssb.201451118⟩
- Accès au bibtex
-
- titre
- Crystallization kinetics of Cu38Zr46Ag8Al8 bulk metallic glass in different heating conditions
- auteur
- J. Cui, J.S. Li, J. Wang, H.C. Kou, J.C. Qiao, S. Gravier, J.J. Blandin
- article
- Journal of Non-Crystalline Solids, 2014, 404, pp.7-12. ⟨10.1016/j.jnoncryso1.2014.07.029⟩
- Accès au bibtex
-
- titre
- Properties of TiSiN coatings deposited by hybrid HiPIMS and pulsed-DC magnetron co-sputtering
- auteur
- Mohammad Arab Pour Yazdi, F. Lomello, J. Wang, Frédéric Sanchette, Z. Dong, T. White, Y. Wouters, F. Schuster, Alain Billard
- article
- Vacuum Solutions, 2014, 109, pp.43-51. ⟨10.1016/j.vacuum.2014.06.023⟩
- Accès au texte intégral et bibtex
-
- titre
- Probing Self-Assembly of Cylindrical Morphology Block Copolymer Using in Situ and ex Situ Grazing Incidence Small-Angle X-ray Scattering: The Attractive Case of Graphoepitaxy
- auteur
- Mireille Maret, Raluca Tiron, Xavier Chevalier, Patrice Gergaud, Ahmed Gharbi, Céline Lapeyre, Jonathan Pradelles, Vincent Jousseaume, Guillaume Fleury, Georges Hadziioannou, Nathalie Boudet, Christophe Navarro
- article
- Macromolecules, 2014, 47 (20), pp.7221-7229. ⟨10.1021/ma501453k⟩
- Accès au bibtex
-
- titre
- 3D Numerical modelling of multistrands inductors
- auteur
- R. Scapolan, A. Gagnoud, Y. Du Terrail
- article
- CNRS-JSPS France-Japan Seminar, Oct 2014, Pornichet, France
- Accès au bibtex
-
- titre
- E–H mode transition of an inductively coupled plasma torch at atmospheric pressure
- auteur
- Guy Chichignoud, Jochen Altenberend, Yves Delannoy
- article
- Japan-France EPM Seminar 2014, Oct 2014, Pornichet, France
- Accès au bibtex
-
- titre
- E–H mode transition of an inductively coupled plasma torch at atmospheric pressure
- auteur
- G. Chichignoud, J. Altenberend, Y. Delannoy
- article
- Japan-France EPM Seminar 2014, Oct 2014, Pornichet, France
- Accès au bibtex
-
- titre
- Transparent electrodes composed of silver nanowire networks: physical properties and potential applications
- auteur
- D. P. Langley, M. Lagrange, D. Muñoz-Rojas, C. Jiménez, M. Jouvert, N. D. Nguyen, Y. Bréchet, D. Bellet
- article
- 5th International symposium on Transparent Conductive Materials (TCM2014),, Oct 2014, Crete, Greece
- Accès au bibtex
-
- titre
- Cavitation induced damage: FEM inverse modeling of the flow aggressiveness
- auteur
- Samir Chandra Roy, Marc C. Fivel, Jean-Pierre Franc, Christian Pellone
- article
- 11th International Conference on Flow Dynamics, Oct 2014, Sendai, Japan
- Accès au texte intégral et bibtex
-
- titre
- Cavitation Induced Damage: FEM Inverse Modeling of the Flow Aggressiveness
- auteur
- S.C. Roy, M. Fivel, Jean-Pierre Franc, C. Pellone
- article
- Eleventh International Conference on Flow Dynamics,, Oct 2014, Sendai, Japan
- Accès au bibtex
-
- titre
- Effet des défauts d'implantation sur la corrosion des aciers inoxydables austénitiques en milieu primaire des réacteurs à eau pressurisée
- auteur
- Marie Dumerval
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI046⟩
- Accès au texte intégral et bibtex
-
- titre
- Crack initiation and propagation in 316L stainless steels: a 3D Discrete Dislocation Dynamics investigation
- auteur
- M.C. Fivel, C. Déprés, C. Robertson
- article
- International Workshop on Computational Micromechanics of Materials (IWCMM24), Oct 2014, Madrid, Spain
- Accès au bibtex
-
- titre
- Crack initiation and propagation in 316 stainless steels: a 3d discrete dislocation dynamics investigation
- auteur
- M. Fivel, Christophe Depres, C. Robertson
- article
- XXIV International Workshop on Computational Micromechanics of Materials, Oct 2014, Madrid, Spain
- Accès au bibtex
-
- titre
- Size-dependent failure mechanisms in ZrNi thin metallic glass films
- auteur
- Matteo Ghidelli, Sébastien Gravier, Jean-Jacques Blandin, Jean-Pierre Raskin, Frédéric Lani, Thomas Pardoen
- article
- Scripta Materialia, 2014, 89, pp.9-12. ⟨10.1016/j.scriptamat.2014.06.011⟩
- Accès au bibtex
-
- titre
- Hierarchical and Anisotropic Porous Ceramics for Energy Applications
- auteur
- H. Shang, A. Lichtner, D. Jauffres, D. Roussel, C.L. Martin, R.K. Bordia
- article
- CellMat 2014, Oct 2014, DRESDEN, Germany
- Accès au bibtex
-
- titre
- Semiconducting properties of thermal scales grown on a chromia-forming alloy under controlled oxygen partial pressures
- auteur
- Y. Madi, Laurence Latu-Romain, Stéphane Mathieu, V. Parry, J.-P. Petit, Michel Vilasi, Y. Wouters
- article
- Corrosion Science, 2014, 87, pp.218 - 223. ⟨10.1016/j.corsci.2014.06.029⟩
- Accès au bibtex
-
- titre
- Oxidation of simulated recycled steels with 0.23 and 1.03wt.% Si in Ar–20%H2O at 900°C
- auteur
- S. Chandra-Ambhorn, T. Nilsonthi, Y. Wouters, A. Galerie
- article
- Corrosion Engineering, Science and Technology, 2014, 87, pp.101-110. ⟨10.1016/j.corsci.2014.06.018⟩
- Accès au bibtex
-
- titre
- Phase growth competition in solid/liquid reactions between copper or Cu3Sn compound and liquid tin-based solder
- auteur
- Oleksii Liashenko, Andriy M. Gusak, Fiqiri Hodaj
- article
- Journal of Materials Science: Materials in Electronics, 2014, 25 (10), pp.4664-4672. ⟨10.1007/s10854-014-2221-7⟩
- Accès au bibtex
-
- titre
- Structural properties of solid foams
- auteur
- P. Lhuissier
- article
- Comptes Rendus. Physique, 2014, 15 (8-9), pp.696-704. ⟨10.1016/j.crhy.2014.09.003⟩
- Accès au bibtex
-
- titre
- Processing and structures of solids foams
- auteur
- Luc Salvo, Guilhem Martin, Mathieu Suard, Ariane Marmottant, Rémy Dendievel, Jean-Jacques Blandin
- article
- Comptes Rendus. Physique, 2014, 15 (8-9), pp.662-673. ⟨10.1016/j.crhy.2014.10.006⟩
- Accès au bibtex
-
- titre
- Foreword: Some thoughts on the art and science of using holes…
- auteur
- Yves Bréchet, Luc Salvo, Arnaud Saint-Jalmes
- article
- Comptes Rendus. Physique, 2014, 15 (8-9), pp.649-652. ⟨10.1016/j.crhy.2014.11.001⟩
- Accès au bibtex
-
- titre
- The Effect of Water Vapor on Thermal Oxide Grown on Inconel 690
- auteur
- Anusara Srisrual, Jean Pierre Petit, Yves Wouters, Alain Galerie
- article
- Applied Mechanics and Materials, 2014, 670-671, pp.74-81. ⟨10.4028/www.scientific.net/AMM.670-671.74⟩
- Accès au bibtex
-
- titre
- Initial stage of creep in Ni superalloys: a 3D discrete dislocation dynamics investigation
- auteur
- M.C. Fivel, Jean-Loup Strudel, H.J. Chang
- article
- International Workshop on Modelling and Simulation of Superalloys, Sep 2014, Bochum, Germany
- Accès au bibtex
-
- titre
- Silver nanowire networks: study of physical properties and applications as transparent electrodes and heaters
- auteur
- Mélanie Lagrange, Daniel P. Langley, Gaël Giusti, Carmen Jimenez, Mikhail Anikin, Matthieu Jouvert, Yves Bréchet, Daniel Bellet
- article
- Nanosmat, Sep 2014, Dublin, Ireland
- Accès au bibtex
-
- titre
- Growth of zinc whiskers
- auteur
- Juan Manuel Cabrera-Anaya
- article
- Materials. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI039⟩
- Accès au texte intégral et bibtex
-
- titre
- Study of EM void nucleation and mechanic relaxation effects
- auteur
- G. Marti, L. Arnaud, Y. Wouters
- article
- Microelectronics Reliability, 2014, 54 (9-10), pp.1692-1696. ⟨10.1016/j.microrel.2014.07.130⟩
- Accès au bibtex
-
- titre
- Initial stages of silicon–crucible interactions in crystallisation of solar grade silicon: Kinetics of coating infiltration
- auteur
- C. Huguet, C. Dechamp, R. Voytovych, D. Camel, B. Drevet, N. Eustathopoulos
- article
- Acta Biomaterialia, 2014, 76, pp.151-167. ⟨10.1016/j.actamat.2014.04.072⟩
- Accès au bibtex
-
- titre
- Dynamic properties and local order in liquid Al-Ni alloys
- auteur
- N. Jakse, A. Pasturel
- article
- Japanese Journal of Applied Physics, part 2 : Letters, 2014, 105 (13), pp.4. ⟨10.1063/1.4896403⟩
- Accès au bibtex
-
- titre
- Corrosion properties of Fe–Cr–Nb–B amorphous alloys and coatings
- auteur
- G.Y. Koga, R.P. Nogueira, V. Roche, A.R. Yavari, A.K. Melle, J. Gallego, C. Bolfarini, C.S. Kiminami, W.J. Botta
- article
- Surface and Coatings Technology, 2014, 254, pp.238-243. ⟨10.1016/j.surfcoat.2014.06.022⟩
- Accès au bibtex
-
- titre
- Nano-scale orientation mapping of graphite in cast irons
- auteur
- Koenraad Theuwissen, Jacques Lacaze, Muriel Véron, Lydia Laffont
- article
- Materials Characterization, 2014, 95 (9), pp.187-191. ⟨10.1016/j.matchar.2014.06.021⟩
- Accès au bibtex
-
- titre
- Scanning force microscope for in situ nanofocused X-ray diffraction studies
- auteur
- Zhe Ren, Francesca Mastropietro, Anton Davydok, Simon Langlais, Marie-Ingrid Richard, Jean-Jacques Furter, Olivier Thomas, Maxime Dupraz, Marc Verdier, Guillaume Beutier, Peter Boesecke, Thomas W. Cornelius
- article
- Journal of Synchrotron Radiation, 2014, 21 (5), pp.1128-1133. ⟨10.1107/s1600577514014532⟩
- Accès au texte intégral et bibtex
-
- titre
- Directional solidification of silicon under the influence of travelling magnetic field
- auteur
- M. Cablea, K. Zaidat, A. Gagnoud, A. Nouri, Y. Delannoy
- article
- Journal of Crystal Growth, 2014, 401, pp.883-887. ⟨10.1016/j.jcrysgro.2013.11.062⟩
- Accès au bibtex
-
- titre
- High temperature X-ray diffraction study of the kinetics of phase separation in hypostoichiometric uranium–plutonium mixed oxides
- auteur
- Romain Vauchy, Renaud C. Belin, Anne-Charlotte Robisson, Fiqiri Hodaj
- article
- Journal of the European Ceramic Society, 2014, 34 (10), pp.2543-2551. ⟨10.1016/j.jeurceramsoc.2014.02.028⟩
- Accès au bibtex
-
- titre
- A new closed-form model for solid state sintering kinetics
- auteur
- J.M. Missiaen
- article
- International Conference on Sintering 2014, Aug 2014, DRESDEN, Germany
- Accès au bibtex
-
- titre
- Analysis and simulation guided processing of hierarchical porous and multi-layered ceramics
- auteur
- R.K Bordia, C.L. Martin
- article
- International Conference on SINTERING 2014,, Aug 2014, DRESDEN, Germany
- Accès au bibtex
-
- titre
- 2 and 3-D nanometric characterization of the sintering of multi-layer ceramic capacitors
- auteur
- Z. Yan, O. Guillon, C.L. Martin, F. Charlot, D. Bouvard
- article
- Sintering 2014 Conference, Aug 2014, DRESDEN, Germany
- Accès au bibtex
-
- titre
- Depth-resolved impact of integration process on porosity and solvent diffusion in a SiOCH low-k material
- auteur
- M. Lépinay, N. Djourelov, H. Marinov, L. Broussous, K. Courouble, C. Licitra, F. Bertin, V. Rouessac, A. Ayral
- article
- Journal of Porous Materials, 2014, 21 (4), pp.475 - 484. ⟨10.1007/s10934-014-9794-7⟩
- Accès au bibtex
-
- titre
- Ceramic processing of uranium–plutonium mixed oxide fuels (U1−yPuy)O2 with high plutonium content
- auteur
- Romain Vauchy, Anne-Charlotte Robisson, F. Audubert, Fiqiri Hodaj
- article
- Ceramics International, 2014, 40 (7), pp.10991-10999. ⟨10.1016/j.ceramint.2014.03.104⟩
- Accès au bibtex
-
- titre
- Quantification and modelling of the microstructure/strength relationship by tailoring the morphological parameters of the T-1 phase in an Al-Cu-Li alloy
- auteur
- Dorin T., A. Deschamps, F. de Geuser, C. Sigli
- article
- Acta Materialia, 2014, 75, pp.134-136. ⟨10.1016/j.actamat.2014.04.046⟩
- Accès au bibtex
-
- titre
- Effect of intermetallic compound thickness on shear strength of 25 μm diameter Cu-pillars
- auteur
- Julien Bertheau, Fiqiri Hodaj, Nicolas Hotellier, Jean Charbonnier
- article
- Intermetallics, 2014, 51, pp.37-47. ⟨10.1016/j.intermet.2014.02.012⟩
- Accès au bibtex
-
- titre
- Structural and crystallographic information determined through off-line analysis of electron diffraction patterns
- auteur
- E.F. Rauch
- article
- Electron Crystallography Workshop, Jul 2014, Cambridge, France
- Accès au bibtex
-
- titre
- The French Chronic Kidney Disease-Renal Epidemiology and Information Network (CKD-REIN) cohort study
- auteur
- B. Stengel, C. Combe, C. Jacquelinet, S. Briançon, D. Fouque, M. Laville, L. Frimat, C. Pascal, Y.-E. Herpe, Jean-Francois Deleuze, J. Schanstra, R. Pisoni, B. Robinson, Z. Massy
- article
- Nephrology Dialysis Transplantation, 2014, 29 (8), pp.1500 - 1507. ⟨10.1093/ndt/gft388⟩
- Accès au bibtex
-
- titre
- Highly accurate dispersion relation preserving schemes for incompressible flows
- auteur
- Frédéric Bauer, Sedat F. Tardu, Olivier Léon Doche
- article
- Joint IACM and ECCOMAS organization, 6th European Conference on Computational Fluid Dynamics, Jul 2014, Barcelona, Spain
- Accès au bibtex
-
- titre
- Carbon Corrosion in Proton-Exchange Membrane Fuel Cells: From Model Experiments to Real-Life Operation in Membrane Electrode Assemblies
- auteur
- Luis Castanheira, Laetitia Dubau, Michel Mermoux, Gregory Berthomé, Nicolas Caqué, Elisabeth Rossinot, Marian Chatenet, Frédéric Maillard
- article
- ACS Catalysis, 2014, 4 (7), pp.2258 - 2267. ⟨10.1021/cs500449q⟩
- Accès au bibtex
-
- titre
- Effect of a high magnetic field on the microstructures in directionally solidified Zn–Cu peritectic alloys
- auteur
- Xi Li, Annie Gagnoud, Jiang Wang, Xiaolong Li, Yves Fautrelle, Zhongming Ren, Xionggang Lu, Guillaume Reinhart, Henri Nguyen-Thi
- article
- Acta Materialia, 2014, 73, pp.83 - 96. ⟨10.1016/j.actamat.2014.03.057⟩
- Accès au bibtex
-
- titre
- XRMON-GF Experiments Devoted to the in Situ X-ray Radiographic Observation of Growth Process in Microgravity Conditions
- auteur
- H. Nguyen-Thi, G. Reinhart, G. Salloum-Abou-Jaoude, D. Browne, G. Murphy, Y. Houltz, J. Li, D. Voss, A. Verga, R. Mathiesen, G. Zimmermann
- article
- Microgravity Science and Technology, 2014, 26 (1), pp.37 - 50. ⟨10.1007/s12217-014-9370-4⟩
- Accès au bibtex
-
- titre
- Twins in asymmetrically rolled AZ31 analyzed with a Transmission Electron Microscope Orientation mapping tool
- auteur
- E.F Rauch, M Forget, G Kapelski
- article
- NanoSPD6, Jun 2014, METZ, France
- Accès au bibtex
-
- titre
- A Novel Superelastic Fibrous Material Made of a Single Entangled NiTi Wire
- auteur
- B. Gadot, L. Orgeas, S. Rolland Du Roscoat, D. Rodney, D. Bouvard
- article
- International Congress on 3D Materials Science 2014, Jun 2014, Annecy, France. pp.1-4
- Accès au bibtex
-
- titre
- High temperature oxidation of austenitic stainless steels: effect of sulfur content on scale adhesion
- auteur
- V. Parry, E. Fedorova, C. Pascal, M. Braccini, M. Mantel, D. Oquab, D. Monceau, Y. Wouters
- article
- International Symposium On High Temperature Oxidation And Corrosion (ISHOC 2014), Jun 2014, Hakodate, Japan
- Accès au texte intégral et bibtex
-
- titre
- Solidification du Si photovoltaïque
- auteur
- E. Pihan, T. Duffar
- article
- Ecole thématique CNRS « Solidification des alliages métalliques, CNRS, Jun 2014, Saint Pierre d'Oléron, France
- Accès au bibtex
-
- titre
- Etude des liaisons entre éléments Nickel-Titane en vue d'élaboration de matériaux architecturés : réalisation, caractérisation, métallurgique et mécanique
- auteur
- Thanh Dung Do
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI022⟩
- Accès au texte intégral et bibtex
-
- titre
- Sciences in micro-gravity
- auteur
- J. Etay
- article
- Summer school on thermos-acoustic and space technologies, Jun 2014, RIGA, Latvia
- Accès au bibtex
-
- titre
- Grain structure of multi-crystalline silicon : x-ray synchrotron imaging characterization
- auteur
- Amina Tandjaoui, R. Reboud, C. Garnier, Thierry Duffar
- article
- International Workshop of Crystal Growth Technology, Jun 2014, Berlin, France
- Accès au bibtex
-
- titre
- Grain structure of multi-crystalline silicon : x-ray synchrotron imaging characterization
- auteur
- Amina Tandjaoui, R. Reboud, C. Garnier, Thierry Duffar
- article
- International Workshop of Crystal Growth Technology, Jun 2014, Berlin, France
- Accès au bibtex
-
- titre
- Une politique jeunesse en 2014 ?
- auteur
- L. Davoust
- article
- Congrès National de le Fédération des Centres Sociaux de France, Jun 2014, Lorient, France
- Accès au bibtex
-
- titre
- Densification and Microstructural Development in Anisotropic and Hierarchical Porous Ceramics
- auteur
- A. Lichtner, H. Shang, D. Roussel, D. Jauffres, C.L. Martin, R.K. Bordia
- article
- 13th International Ceramics Congress, CIMTEC 2014, Jun 2014, FAENZA, Italy
- Accès au bibtex
-
- titre
- Characterization of the swelling during sintering of uniaxially pressed copper powders by in situ X-ray microtomography
- auteur
- Luis Olmos, Didier Bouvard, Luc Salvo, Daniel Bellet, Marco Di Michiel
- article
- Journal of Materials Science, 2014, 49 (12), pp.4225-4235. ⟨10.1007/s10853-014-8117-3⟩
- Accès au bibtex
-
- titre
- Influence of the bonding front propagation on the wafer stack curvature
- auteur
- E. Navarro, Y. Brechet, A. Barthelemy, I. Radu, T. Pardoen, J.P. Raskin
- article
- Applied Physics Letters, 2014, 105 (6), pp.4. ⟨10.1063/1.4893462⟩
- Accès au bibtex
-
- titre
- Coupled system of PDEs to predict the sensitivity of some materials constituents of FOUP with the AMCs cross-contamination
- auteur
- Nirina Santatriniaina, J. Deseure, T.Q. Nguyen, H. Fontaine, C. Beitia, Lalaonirina Rakotomanana-Ravelonarivo
- article
- International Journal of Applied Mathematical Sciences, 2014, 3 (3), pp.233-243. ⟨10.14419/ijamr.v3i3.2829⟩
- Accès au bibtex
-
- titre
- Atomistic contribution to the understanding of metallic and silica glasses
- auteur
- Pawel Koziatek
- article
- Materials. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI024⟩
- Accès au texte intégral et bibtex
-
- titre
- Transparent electrodes composed of silver nanowire networks for photovoltaic applications
- auteur
- Daniel P. Langley, Mélanie Lagrange, David Muñoz-Rojas, Carmen Jimenez, Y. Pellegrin, N. D. Nguyen, Yves Bréchet, Daniel Bellet
- article
- 2014 E-MRS spring meeting, May 2014, Lille, France, France
- Accès au bibtex
-
- titre
- Thermal properties of silver nanowire networks
- auteur
- Sophie Sorel, Mélanie Lagrange, Daniel P. Langley, N. D. Nguyen, Yves Bréchet, Daniel Bellet, J. N. Coleman
- article
- E-MRS Spring Meeting, Symposium D, May 2014, Lille, France
- Accès au bibtex
-
- titre
- Interplay between defects in metallic nanowire networks and their physical properties: a modeling and experimental approach
- auteur
- Mélanie Lagrange, Daniel P. Langley, David Muñoz-Rojas, Carmen Jimenez, N. D. Nguyen, Y. Bréchet, Daniel Bellet
- article
- 2014 E-MRS spring meeting, May 2014, Lille, France
- Accès au bibtex
-
- titre
- Metallic nanowire networks for energy applications: experimental and modelling approaches
- auteur
- Daniel P. Langley, Mélanie Lagrange, David Muñoz-Rojas, N. D. Nguyen, Y. Bréchet, Daniel Bellet
- article
- 2014 E-MRS spring meeting,, May 2014, Lille, France
- Accès au bibtex
-
- titre
- Large scale t-EBSD phase mapping in lithium-ion battery electrodes
- auteur
- Donatien Robert, Adrien Boulineau, Cyril Cayron, Pascale Bayle-Guillemaud, Guillaume Brunetti, E.F. Rauch, Thierry Douillard, Pawel Nowakowski
- article
- Oxford Instrument symposium, May 2014, Bordeaux, France
- Accès au bibtex
-
- titre
- Simulations de l’amorçage et de la propagation de fissures de fatigue par la dynamique des dislocations
- auteur
- M.C. Fivel, C. Déprés, C. Robertson
- article
- Aspects cristallographiques de la déformation et de la rupture des métaux, Ecole des Mines de Paris, May 2014, PARIS, France
- Accès au bibtex
-
- titre
- Direct wafer bonding dynamics
- auteur
- Etienne Navarro
- article
- Mechanics [physics.med-ph]. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI023⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de la vidange d'une fonte verrière chauffée par induction
- auteur
- Marcio Lima da Silva
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI015⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanisms of plasticity in Ni base superalloys
- auteur
- Loic Nazé, M.C. Fivel, Jean-Loup Strudel
- article
- Eurosuperalloys 2014, May 2014, Giens, France
- Accès au bibtex
-
- titre
- Bio-Functionalization of Silicon Carbide Nanostructures for SiC Nanowire-Based Sensors Realization
- auteur
- L. Fradetal, V. Stambouli, E. Bano, B. Pelissier, J. Choi, M. Ollivier, Laurence Latu-Romain, T. Boudou, Isabelle Pignot-Paintrand
- article
- Journal of Nanoscience and Nanotechnology, 2014, 14 (5), pp.3391 - 3397. ⟨10.1166/jnn.2014.8223⟩
- Accès au bibtex
-
- titre
- Phase transition of KDP observed by Resonant X-ray Diffraction at forbidden reflections
- auteur
- G. Beutier, S. P. Collins, E. N. Ovchinnikova, G. Nisbet, V. E. Dmitrienko
- article
- Journal of Physics: Conference Series, 2014, 519, pp.2006. ⟨10.1088/1742-6596/519/1/012006⟩
- Accès au texte intégral et bibtex
-
- titre
- Coherent magnetic diffraction from the uranium M4 edge in the multi-k magnet, USb
- auteur
- J. A. Lim, E. Blackburn, G. Beutier, F. Livet, N. Magnani, A. Bombardi, R. Caciuffo, G. H. Lander
- article
- Journal of Physics: Conference Series, 2014, 519, pp.2010. ⟨10.1088/1742-6596/519/1/012010⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental clues of soft glassy rheology in strained filled elastomers
- auteur
- Françoise Ehrburger-Dolle, Isabelle Morfin, Françoise Bley, Frédéric Livet, Gert Heinrich, Luc Piché, Mark Sutton
- article
- Journal of Polymer Science Part B: Polymer Physics, 2014, 52, pp.647-656. ⟨10.1002/POLB.23463⟩
- Accès au texte intégral et bibtex
-
- titre
- Reliability of Gate Oxide Stacks Studied by Scanning Probe Microscopy under Ultra High Vacuum
- auteur
- M. Kogelschatz, R. Foissac, S. Blonkowski, P. Delcroix, M. Gros-Jean
- article
- 9th International Materials Technology Conference & Exhibition , May 2014, Kuala Lumpur, Malaysia
- Accès au bibtex
-
- titre
- Control of the interfacial abruptness of Au-catalyzed Si-Si 1−x Ge x heterostructured nanowires grown by vapor–liquid–solid
- auteur
- Priyanka Periwal, Thierry Baron, Laurence Latu-Romain, Bassem Salem, Franck Bassani, Gilles Patriarche, P. Gentile
- article
- Journal of Vacuum Science & Technology A, 2014, 32 (3), ⟨10.1116/1.4867264⟩
- Accès au bibtex
-
- titre
- Magnetic Fields, Convection and Solidification
- auteur
- Lakhdar Hachani, Jiang Wang, Imants Kaldre, Georges Salloum-Abou-Jaoude, Olga Budenkova, Guillaume Reinhart, Kader Zaidat, Nathalie Mangelinck-Noël, Xi Li, Henri Nguyen Thi, Andris Bojarevics, Zhong Ming Ren, Leonids Buligins, Yves Fautrelle
- article
- Materials Science Forum, 2014, 790-791 (1), pp.375 - 383. ⟨10.4028/www.scientific.net/MSF.790-791.375⟩
- Accès au bibtex
-
- titre
- An X-ray study of the Dzyaloshinskii-Moriya interaction in the weak ferromagnet FeBO3
- auteur
- V. E. Dmitrienko, E. N. Ovchinnikova, S. P. Collins, G. Nisbet, G. Beutier
- article
- Journal of Physics: Conference Series, 2014, 519, pp.2003. ⟨10.1088/1742-6596/519/1/012003⟩
- Accès au texte intégral et bibtex
-
- titre
- In Situ and Real-Time Analysis of TEM Forces Induced by a Permanent Magnetic Field during Solidification of Al-4wt%Cu
- auteur
- Henri Nguyen-Thi, Jiang Wang, Georges Salloum-Abou-Jaoude, Guillaume Reinhart, Imants Kaldre, Nathalie Mangelinck-Noël, Zhong Ming Ren, Leonids Buligins, Andris Bojarevics, Yves Fautrelle, Olga Budenkova, Tamzin A. Lafford
- article
- Materials Science Forum, 2014, 790-791, pp.420 - 425. ⟨10.4028/www.scientific.net/MSF.790-791.420⟩
- Accès au bibtex
-
- titre
- Instabilities in electromagnetic quasilevitation
- auteur
- Kirk Spragg, Sebastien Letout, R. Ernst, Alfred Sneyd, Yves Fautrelle
- article
- Physical Review Online Archive (PROLA), 2014, 89 (5), pp.13. ⟨10.1103/PhysRevE.89.053014⟩
- Accès au bibtex
-
- titre
- Towards Stiffness Prediction of Cellular Structures Made by Electron Beam Melting (EBM)
- auteur
- Mathieu Suard, P. Lhuissier, Rémy Dendievel, Jean-Jacques Blandin, Frédéric Vignat, François Villeneuve
- article
- Powder Metallurgy, 2014, pp.Advance Articles. ⟨10.1179/1743290114Y.0000000093⟩
- Accès au bibtex
-
- titre
- Silicon carbide based one-dimensional nanostructure growth: towards electronics and biology perspectives
- auteur
- L Latu-Romain, M Ollivier
- article
- Journal of Physics D: Applied Physics, 2014, 47 (20), pp.203001. ⟨10.1088/0022-3727/47/20/203001⟩
- Accès au bibtex
-
- titre
- Composites eutectiques et hypo-eutectiques Mg/gamma-Mg17Al12 : microstructures et comportement mécanique à l’ambiante et à chaud
- auteur
- Souad Benrhaiem
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI094⟩
- Accès au texte intégral et bibtex
-
- titre
- New Trajectories in Electron Beam Melting Manufacturing to Reduce Curling Effect
- auteur
- Nicolas Béraud, Frédéric Vignat, François Villeneuve, Rémy Dendievel
- article
- CIRP CMS 2014, Apr 2014, Windsor, Canada. pp.738-743, ⟨10.1016/j.procir.2014.02.038⟩
- Accès au bibtex
-
- titre
- High Magnetic Moment of FeCo Nanoparticles Produced in Polyol Medium
- auteur
- Karim Zehani, R. Bez, Jacques Moscovici, Frederic Mazaleyrat, N. Mliki, Lotfi Bessais
- article
- IEEE Transactions on Magnetics, 2014, 50 (4), pp.2002505. ⟨10.1109/TMAG.2013.2288411⟩
- Accès au bibtex
-
- titre
- Contraintes thermomécaniques et dislocations dans les lingots de silicium pour applications photovoltaïques
- auteur
- Benjamin Gallien
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI027⟩
- Accès au texte intégral et bibtex
-
- titre
- beta-bcc and amorphous Ti-based biocompatible alloys for human body implants
- auteur
- Yaofeng Guo
- article
- Materials. Université de Grenoble, 2014. English. ⟨NNT : 2014GRENI017⟩
- Accès au texte intégral et bibtex
-
- titre
- Corrosion and metallurgical investigation of two supermartensitic stainless steels for oil and gas environments
- auteur
- Thiago J. Mesquita, Eric Chauveau, Marc Mantel, Nicole Bouvier, Diana Koschel
- article
- Corrosion Science, 2014, 81, pp.152-161. ⟨10.1016/j.corsci.2013.12.015⟩
- Accès au bibtex
-
- titre
- Etude et caractérisation d'interconnexions intermétalliques à partir de plot de cuivre et d'alliage SnAgCu pour l'empilement tridimentionnel de composants actifs
- auteur
- Julien Bertheau
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI043⟩
- Accès au texte intégral et bibtex
-
- titre
- Impact of wafer quality on boron-diffused n-type bifacial solar cells
- auteur
- Maxime Forster, O. Nichiporuk, J. Degoulange, E. Picard, B. Semmache, A. Focsa, Erwann Fourmond, B. Pelissier, Mustapha Lemiti
- article
- SiliconPV 2014, the 4th International Conference on Crystallin Silicon Photovoltaics, Mar 2014, s-Hertogenbosch, Netherlands. , 2014
- Accès au bibtex
-
- titre
- Impact of EBM Fabrication Strategies on Geometry and Mechanical Properties of Titanium Cellular Structures
- auteur
- Mathieu Suard, Pierre Lhuissier, Rémy Dendievel, Frédéric Vignat, Jean-Jacques Blandin, François Villeneuve
- article
- Direct Digital Manufacturing Conference 2014, Mar 2014, Berlin, Germany
- Accès au bibtex
-
- titre
- Early fatigue crack propagation in high cycle fatigue of ductile FCC poly-crystals
- auteur
- C. Déprés, M. Fivel, C. Robertson G.V Prasad Reddy
- article
- , International workshop on Dislocation based plasticity, Mar 2014, Kloster Schöntal, France
- Accès au bibtex
-
- titre
- Dislocation microstructure and crack initiation in fatigued 316L : A 3D dislocation dynamics investigation
- auteur
- M.C. Fivel, C. Déprés, C. Robertson
- article
- International workshop on Dislocation based plasticity,, Mar 2014, Kloster Schöntal, Germany
- Accès au bibtex
-
- titre
- Magnetically induced femtoscale strain modulations in HoMn2O5
- auteur
- C. Vecchini, A. Bombardi, L. C. Chapon, G. Beutier, P. G. Radaelli, S. Park, S.-W. Cheong
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89, pp.125114. ⟨10.1103/PHYSREVB.89.125114⟩
- Accès au texte intégral et bibtex
-
- titre
- Understanding Trends in CO2 Adsorption in Metal-Organic Frameworks with Open-Metal Sites
- auteur
- Roberta Poloni, Kyuho Lee, Robert F. Berger, Berend Smit, Jeffrey B. Neaton
- article
- Journal of Physical Chemistry Letters, 2014, 5 (5), pp.861-865. ⟨10.1021/jz500202x⟩
- Accès au bibtex
-
- titre
- Measuring the Dzyaloshinskii-Moriya interaction in a weak ferromagnet
- auteur
- V. E. Dmitrienko, E. N. Ovchinnikova, S. P. Collins, G. Nisbet, G. Beutier, Y. O. Kvashnin, V. V. Mazurenko, A. I. Lichtenstein, M. I. Katsnelson
- article
- Nature Physics, 2014, 10, pp.202-206. ⟨10.1038/NPHYS2859⟩
- Accès au bibtex
-
- titre
- Early fatigue crack propagation in high cycle fatigue of ductile FCC poly-crystals : a 3D dislocation dynamics investigation
- auteur
- Christophe Depres, M. Fivel, C. Robertson, G.V.R. Prasad
- article
- Dislocation based Plasticity (DFG Forschergruppe FOR 1650), Feb 2014, Schöntal, Germany
- Accès au bibtex
-
- titre
- Modélisation et étude numérique de la fissuration lente des céramiques : influence de la microstructure et de l'environnement. Application aux céramiques élaborées par projection plasma
- auteur
- Bassem El Zoghbi
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI007⟩
- Accès au texte intégral et bibtex
-
- titre
- Fiabilité des diélectriques low-k SiOCH poreux dans les interconnexions CMOS avancées
- auteur
- Emmanuel Chery
- article
- Micro et nanotechnologies/Microélectronique. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI011⟩
- Accès au texte intégral et bibtex
-
- titre
- Kinetic Model for Gaz-liquid Extraction of Boron from Solar Silicon: the role of Hydrogen
- auteur
- Yves Delannoy, Guy Chichignoud, Mathieu Vadon
- article
- TMS 143rd meeting, Feb 2014, San Diego, United States
- Accès au bibtex
-
- titre
- Mechanics of Materials with Discrete Element Simulations
- auteur
- C.L. Martin
- article
- Discrete Element Method for compaction workshop., KTH Stockholm, Feb 2014, Stokholm, France
- Accès au bibtex
-
- titre
- Caractérisation et modélisation mécaniques de couches minces pour la fabrication de dispositifs microélectronoiques-application au domaine de l'intégration 3D
- auteur
- Hélène Isselé
- article
- Micro et nanotechnologies/Microélectronique. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI004⟩
- Accès au texte intégral et bibtex
-
- titre
- Interfacial abruptness in axial Si/SiGe heterostructures in nanowires probed by scanning capacitance microscopy
- auteur
- Laurence Latu-Romain, P. Periwal, F. Bassani, G. Patriarche, V. Brouzet, B. Salem, T. Baron
- article
- physica status solidi (a), 2014, 211 (2), pp.509 - 513. ⟨10.1002/pssa.201300208⟩
- Accès au bibtex
-
- titre
- Comparison of Thermodynamic Databases for the Modeling of SiC Growth by PVT
- auteur
- Kanaparin Ariyawong, Elisabeth Blanquet, Jean Marc Dedulle, Thierry Ouisse, Didier Chaussende
- article
- Materials Science Forum, 2014, 778-780, pp.778-780. ⟨10.4028/www.scientific.net/MSF.778-780.35⟩
- Accès au bibtex
-
- titre
- Study of the electromagnetic pumping systems of molten metals and molten salts
- auteur
- Cristian Robert Roman
- article
- Materials. Université de Grenoble; Universitatea politehnica (Bucarest), 2014. English. ⟨NNT : 2014GRENI001⟩
- Accès au texte intégral et bibtex
-
- titre
- High Magnetic Moment CoFe Nanoparticles
- auteur
- L. Bessais, K. Zehani, R. Bez, J. Moscovici, H. Lassri, K. Hlil, N. Mliki
- article
- TMS 2014 Supplemental Proceedings, John Wiley & Sons, Inc., pp.15-22, 2014
- Accès au bibtex
-
- titre
- Contribution à l'épitaxie des nitrures d'aluminium et de bore par dépôt chimique en phase vapeur à haute température
- auteur
- Nicolas Coudurier
- article
- Matériaux. Université de Grenoble, 2014. Français. ⟨NNT : 2014GRENI009⟩
- Accès au texte intégral et bibtex
-
- titre
- Grain Refinement and Nanoparticle Dispersion Using Traveling Magnetic Field
- auteur
- Mariano Garrido Pacheco, Yves Fautrelle, Laurent Davoust, Valdis Bojarevics, Koulis Pericleous, Mustafa Megahed, Ole Koeser
- article
- Light Metals 2014, 2014
- Accès au bibtex
-
- titre
- Influence of Water Vapor on High-Temperature Oxidation of Chromia-Forming Materials
- auteur
- A. Galerie, M.R. Ardigo, P. Berthod, W. Chandra-Ambhorn, S. Chevalier, F. Rouillard, P.Y. Hou
- article
- Trans Tech Publications Ltd. French Activity on High Temperature Corrosion in Water Vapor, 76, , 2014, Foundations of Materials Science and Engineering, 978-3-03785-996-4. ⟨10.4028/www.scientific.net/MSFo.76⟩
- Accès au bibtex
-
- titre
- Chemical composition of nano-phases studied by anomalous small-angle X-ray scattering: Application to oxide nano-particles in ODS steels
- auteur
- Myriam Dumont, Lorelei Commin, Isabelle Morfin, Frédéric Degeuser, Fabrice Legendre, Philippe Maugis
- article
- Materials Characterization, 2014, 87, pp.138-142. ⟨10.1016/j.matchar.2013.11.008⟩
- Accès au bibtex
-
- titre
- Quasicrystals: Stucture et dynamics
- auteur
- M. de Boissieu
- article
- Workshop LLB, Soleil, User meeting Soleil, Jan 2014, Paris, France
- Accès au bibtex
-
- titre
- Reflow processes in micro-bumps studied by synchrotron X-ray projection nanotomography
- auteur
- J. Bertheau, P. Bleuet, F. Hodaj, P. Cloetens, N. Martin, J. Charbonnier, N. Hotellier
- article
- Microelectronic Engineering, 2014, 113, pp.123-129. ⟨10.1016/j.mee.2013.07.013⟩
- Accès au bibtex
-
- titre
- Tools for Studying Water Vapor at High Temperatures
- auteur
- Y. Wouters
- article
- S. Chevalier and J. Favergeon. French Activity on High Temperature Corrosion in Water Vapor, Trans Tech Publications, pp.72, 2014, 978-3-03785-996-4
- Accès au bibtex
-
- titre
- Experimental investigation and discrete simulation of fragmentation in expanded breakfast cereals
- auteur
- L. Hedjazi, C.L. Martin, S. Guessasma, G. Della Valle, R. Dendievel
- article
- Food Research International, 2014, 55, pp.28-36. ⟨10.1016/j.foodres.2013.10.025⟩
- Accès au bibtex
-
- titre
- High Temperature Coatings
- auteur
- A. Galerie, B. Pint, D. Monceau
- article
- Oxidation of Metals, 2014, 81 (1-2), pp.1-1. ⟨10.1007/s11085-013-9462-3⟩
- Accès au bibtex
-
- titre
- An easy implementation of displacement calculations in 3D discrete dislocation dynamics codes
- auteur
- Marc Fivel, Christophe Depres
- article
- Philosophical Magazine, 2014, 94 (28), pp.3206-3214. ⟨10.1080/14786435.2014.949326⟩
- Accès au bibtex
-
- titre
- Effect of slowly rotating transverse magnetic field on a directionally solidified binary metallic alloy
- auteur
- I. Kaldre, Y. Fautrelle, J. Etay, A. Bojarevics, L. Buligins
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2014, 50 (2), pp.179-185
- Accès au bibtex
-
- titre
- On the use of atomic force microscopy for structural mapping of metallic-glass thin films
- auteur
- J.F. Zeng, J.P. Chu, Y.C. Chen, A. Volland, J.J. Blandin, S. Gravier, Y. Yang
- article
- Intermetallics, 2014, 44, pp.121-127. ⟨10.1016/j.intermet.2013.09.006⟩
- Accès au bibtex
-
- titre
- Hydrogen diffusion in liquid aluminum from ab initio molecular dynamics
- auteur
- N. Jakse, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (17), ⟨10.1103/PhysRevB.89.174302⟩
- Accès au bibtex
-
- titre
- Correlation between hydrogen storage properties and textures induced in magnesium through ECAP and cold rolling
- auteur
- A.M. Jorge, G.F. de Lima, M.R.M. Triques, W.J. Botta, C.S. Kiminami, R.P. Nogueira, A.R. Yavarí, T.G. Langdon
- article
- International Journal of Hydrogen Energy, 2014, 39 (8), pp.3810-3824. ⟨10.1016/j.ijhydene.2013.12.154⟩
- Accès au bibtex
-
- titre
- High Efficiency Process for Industrial Manufacturing of p-Type Crystalline Silicon Solar Cells Developed in the Frame of PROTERRA Project
- auteur
- N. Le Quang, P. Gall, R. Monna, M. Gauthier, Davy Gérard, S. Williatte, A. Rambaud, G. Goaer, Mustapha Lemiti, B. Pelissier, D. Conte, J. Moyroud, Jean-Pierre Vilcot, M. Pawlik, Mathieu Halbwax
- article
- 29th E-PVSEC, 2014, Amsterdam, The, Netherlands
- Accès au bibtex
-
- titre
- The hydrogen diffusion in liquid aluminum alloys from ab initio molecular dynamics
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2014, 9, pp.5. ⟨10.1063/1.4894225⟩
- Accès au bibtex
-
- titre
- Numerical modeling of CdTe crystallization from Te solution under terrestrial and microgravity conditions
- auteur
- C. Stelian, T. Duffar
- article
- Journal of Crystal Growth, 2014, 400, pp.67-75. ⟨10.1016/j.jcrysgro.2014.05.001AccessionNumber:WOS:000338413⟩
- Accès au bibtex
-
- titre
- Twins in asymmetrically rolled AZ31 analyzed with a Transmission Electron Microscope Orientation mapping tool
- auteur
- E F Rauch, M Forget, G Kapelski
- article
- IOP Conference Series: Materials Science and Engineering, 2014, 63, pp.012150. ⟨10.1088/1757-899x/63/1/012150⟩
- Accès au bibtex
-
- titre
- First principles calculations in V-Si system. Defects in A15-V3Si phase
- auteur
- C. Colinet, J.C. Tedenac
- article
- Computational Materials Science, 2014, 85, pp.94-101. ⟨10.1016/j.commatsci.2013.12.044⟩
- Accès au bibtex
-
- titre
- Numerical simulation of the RMF stirring of molten Ga-In alloy using RANS k- and LES turbulence models
- auteur
- Csaba Nagy, Yves Fautrelle, Olga Budenkova, Arnold Rónaföldi, András Roósz
- article
- Materials Science Forum, 2014, 790-791 (402-407), pp.402-407
- Accès au bibtex
-
- titre
- Anharmonic Damping of Terahertz Acoustic Waves in a Network Glass and Its Effect on the Density of Vibrational States
- auteur
- G. Baldi, Valentina M. Giordano, B. Ruta, R. Dal Maschio, A. Fontana, G. Monaco
- article
- Physical Review Letters, 2014, 112, pp.125502. ⟨10.1103/PhysRevLett.112.125502⟩
- Accès au texte intégral et bibtex
-
- titre
- Precipitation kinetics in multicomponent structural hardening alloys: how to get the full picture?
- auteur
- F. de Geuser
- article
- Junior Euromat, 2014, EPFL, Lausanne, Switzerland
- Accès au bibtex
-
- titre
- Complementarity of atom probe, small angle scattering and differential scanning calorimetry for the study of precipitation in aluminium alloys
- auteur
- F. de Geuser, T. Dorin, Williams Lefebvre, B. Gault, A. Deschamps
- article
- International Conference on Aluminium Alloys (ICAA 14), 2014, Trondheim, Norway. ⟨10.4028/www.scientific.net/MSF.794-796.926⟩
- Accès au bibtex
-
- titre
- Pressurized honeycombs as soft-actuators: a theoretical study
- auteur
- L. Guiducci, P. Fratzl, Y. J. M. Brechet, J. W. C. Dunlop
- article
- Journal of the Royal Society Interface, 2014, 11 (98), pp.0458. ⟨10.1098/rsif.2014.0458⟩
- Accès au bibtex
-
- titre
- Quantitative measurements of dynamic precipitation during fatigue of an Al–Zn–Mg–(Cu) alloy using small-angle X-ray scattering
- auteur
- C.R. Hutchinson, F. de Geuser, Y. Chen, A. Deschamps
- article
- Acta Materialia, 2014, 74, pp.96-109. ⟨10.1016/j.actamat.2014.04.027⟩
- Accès au bibtex
-
- titre
- Mesoporosity in Photocatalytically Active Oxynitride Single Crystals
- auteur
- Simone Pokrant, Marie C. Cheynet, Stephan Irsen, Alexandra E. Maegli, Rolf Erni
- article
- Journal of Physical Chemistry C, 2014, 118 (36), pp.20940-7. ⟨10.1021/jp506597h⟩
- Accès au bibtex
-
- titre
- The influence of artificial ageing on the corrosion behaviour of a 2050 aluminium-copper-lithium alloy
- auteur
- V. Proton, Joel Alexis, E. Andrieu, J. Delfosse, A. Deschamps, F. de Geuser, Marie Christine Lafont, Christine Blanc
- article
- Corrosion Science, 2014, 80, pp.494-502. ⟨10.1016/j.corsci.2013.11.060⟩
- Accès au bibtex
-
- titre
- Rheological behavior of Cu-Zr-based metallic glass in the supercooled liquid region
- auteur
- J. Cui, J.S. Li, J. Wang, H.C. Kou, J.C. Qiao, S. Gravier, J.J. Blandin
- article
- Journal of Alloys and Compounds, 2014, 592, pp.189-195. ⟨10.1016/j.jallcom.2014.01.014⟩
- Accès au bibtex
-
- titre
- Dynamics of quasicrystals
- auteur
- T. Janssen, De Boissieu M.
- article
- Comptes Rendus. Physique, 2014, 15 (1), pp.58-69
- Accès au bibtex
-
- titre
- Structure and deformation behavior of Zr-Cu thin films deposited on Kapton substrates
- auteur
- I. Bataev, N.T. Panagiotopoulos, F. Charlot, A.M. Jorge, M. Pons, G. Evangelakis, A.R. Yavari
- article
- Surface and Coatings Technology, 2014, 239, pp.171-176. ⟨10.1016/j.surfcoat.2013.11.036⟩
- Accès au bibtex
-
- titre
- Nanoscale Precipitation, Recovery and Grain Growth in ODS Steel Particles
- auteur
- N. Sallez, L. Couturier, F. de Geuser, A. Deschamps, F. Delabrouille, M. Blat-Yrieix, P. Donnadieu, Y. Brechet
- article
- TMS conference, 2014, San Diego, CA, USA, United States
- Accès au bibtex
-
- titre
- Scale factor and punch shape effects on the expansion capacities of an aluminum alloy during deep-drawing operations
- auteur
- Rémi Boissiere, P. Vacher, J. J. Blandin
- article
- Mechanics & Industry, 2014, 15 (2), pp.159-166. ⟨10.1051/meca/2014015⟩
- Accès au bibtex
-
- titre
- Densification and microstructure changes of micron size nickel powder during direct induction sintering
- auteur
- A. Guyon, D. Bouvard, J.M. Chaix, C.P. Carry
- article
- Powder Metallurgy, 2014, 57 (1), pp.54-60. ⟨10.1179/1743290113y.0000000069⟩
- Accès au bibtex
-
- titre
- Two-dimensional numerical modeling of grain structure in multi-crystalline silicon ingot
- auteur
- A. Nadri, Y. Duterrail-Couvat, T. Duffar
- article
- Journal of Crystal Growth, 2014, 385, pp.16-21. ⟨10.1016/j.jcrysgro.2013.04.013⟩
- Accès au bibtex
-
- titre
- Multi-scale 3D imaging of absorbing porous materials for solid oxide fuel cells.
- auteur
- J. Villanova, P. Cloetens, H. Suhonen, J. Laurencin, F. Usseglio-Viretta, E. Lay, G. Delette, P. Bleuet, D. Jauffres, D. Roussel, A.Z. Lichtner, C.L. Martin
- article
- Journal of Materials Science, 2014, 49 (16), pp.5626-5634. ⟨10.1007/s10853-014-8275-3⟩
- Accès au bibtex
-
- titre
- Experimental study of grain boundary orientations in multi-crystalline silicon
- auteur
- T. Duffar, C.T. Nwosu, I.M. Asuo, J. Muzy, N.D.Q. Chau, Y. Du Terrail-Couvat, F. Robaut
- article
- Journal of Crystal Growth, 2014, 401, pp.404-408. ⟨10.1016/j.jcrysgro.2013.12.047⟩
- Accès au bibtex
-
- titre
- First principles calculations of the stability of the T2 and D8(8) phases in the V-Si-B system
- auteur
- C. Colinet, J.C. Tedenac
- article
- Intermetallics, 2014, 50, pp.108-116. ⟨10.1016/j.intermet.2014.02.008⟩
- Accès au bibtex
-
- titre
- Microscale plastic strain heterogeneity in slip dominated deformation of magnesium alloy containing rare earth
- auteur
- G. Martin, C.W. Sinclair, R.A. Lebensohn
- article
- Materials Science and Engineering: A, 2014, 603, pp.37-51. ⟨10.1016/j.msea.2014.01.102⟩
- Accès au bibtex
-
- titre
- Thermoelectric magnetic flows in melt during directional solidification.
- auteur
- J. Wang, Y. Fautrelle, Z.M. Ren, H. Nguyen-Thi, G.S. Abou Jaoude, G. Reinhart, N. Mangelinck-Noel, Xiaojian Li, I. Kaldre
- article
- Applied Physics Letters, 2014, 104 (12), ⟨10.1063/1.4870099⟩
- Accès au bibtex
-
- titre
- Responsive materials: A novel design for enhanced machine-augmented composites
- auteur
- E. Bafekrpour, A. Molotnikov, J.C. Weaver, Y. Brechet, Y. Estrin
- article
- Scientific Reports, 2014, 4, pp.3783. ⟨10.1038/srep03783⟩
- Accès au bibtex
-
- titre
- Material interface effects on the topology optimization of multi-phase structures using a level set method
- auteur
- Natasha Vermaak, Georgios Michailidis, Guillaume Parry, Raphael Estevez, Grégoire Allaire, Yves Brechet
- article
- Structural and Multidisciplinary Optimization, 2014, 50 (4), pp.623-644. ⟨10.1007/s00158-014-1074-2⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of a transverse magnetic field on solidification structure in directionally solidified Sn-Pb hypoeutectic alloys
- auteur
- D.F. Du, Z.Y. Lu, A. Gagnoud, Y. Fautrelle, Z.M. Ren, X.G. Lu, R. Moreau, Xiaojian Li
- article
- Journal of Crystal Growth, 2014, 402, pp.319-324. ⟨10.1016/j.jcrysgro.2014.06.031⟩
- Accès au bibtex
-
- titre
- Modeling of Al-7wt%Si-1wt%Fe ternary alloy: application to space experiments with a rotating magnetic fields
- auteur
- L. Ratke, A. Roósz, a A. Rónaföldi, J. Kovács, A-M Bianchi, Y. Fautrelle
- article
- Materials Science Forum, 2014, 790-791, pp.46-51
- Accès au bibtex
-
- titre
- Various Applications of (Ti,Al)N Thin films Grown from a Vapor Phase
- auteur
- E. Blanquet, Florian Mercier, H. Shimoda, S. Lay, M. Pons
- article
- 3CG Collaborative Conference on Crystal Growth, 2014, Phuket, Thailand
- Accès au bibtex
-
- titre
- Microstructural evolution during ageing of Al-Cu-Li-x alloys
- auteur
- V. Araullo-Peters, B. Gault, F. de Geuser, A. Deschamps, J.M. Cairney
- article
- Acta Materialia, 2014, 66, pp.199-208. ⟨10.1016/j.actamat.2013.12.001⟩
- Accès au bibtex
-
- titre
- Precipitation kinetics in a severely plastically deformed 7075 aluminium alloy
- auteur
- A. Deschamps, F. de Geuser, Z. Horita, S. Lee, G. Renou
- article
- Acta Materialia, 2014, 66, pp.105-117. ⟨10.1016/j.actamat.2013.11.071⟩
- Accès au bibtex
-
- titre
- Dynamic interactions between precipitation and plastic deformation in Aluminium alloys
- auteur
- A. Deschamps, F. de Geuser, C.R. Hutchinson, S. Lee, Z. Horita
- article
- International Conference on Aluminium Alloys (ICAA 14), 2014, Trondheim, Norway. ⟨10.4028/www.scientific.net/MSF.794-796.1133⟩
- Accès au bibtex
-
- titre
- Monitoring of precipitation in severely plastically deformed Aluminum alloys using in-situ small-angle X-ray scattering
- auteur
- F. de Geuser, S. Lee, Z. Horita, A. Deschamps
- article
- TMS conference, 2014, San Diego, CA, USA, United States
- Accès au bibtex
-
- titre
- Electrical activity of the Hartmann layers relative to surface viscous shearing in an annular magnetohydrodynamic flow.
- auteur
- J. Delacroix, L. Davoust
- article
- Physics of Fluids, 2014, 26 (3), ⟨10.1063/1.4869327⟩
- Accès au bibtex
-
- titre
- Enthalpies of formation and electronic densities of states of vanadium bodies
- auteur
- Colinet Catherine, Jean-Claude Tedenac
- article
- Journal of Phase Equilibria and Diffusion, 2014, 35 (2), pp.220. ⟨10.1007/s11669-014-0293-y⟩
- Accès au bibtex
-
- titre
- Striking role of non-bridging oxygen on glass transition temperature of calcium aluminosilicate glass-formers Journal: Journal of Chemical Physics
- auteur
- M. Bouhadja, N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2014, 140 (23), pp.7. ⟨10.1063/1.4882283⟩
- Accès au bibtex
-
- titre
- Quasicrystals and atomic clusters
- auteur
- P. Guyot, M. Audier
- article
- Comptes Rendus. Physique, 2014, 15 (1), pp.12-17
- Accès au bibtex
-
- titre
- Metallic nanowire networks: effects of thermal annealing on electrical resistance
- auteur
- D. P. Langley, M. Lagrange, G. Giusti, Carmen Jiménez, Y. Bréchet, N. D. Nguyen, Daniel Bellet
- article
- Nanoscale Research Letters, 2014, 6 (22), pp.13535-13543. ⟨10.1039/c4nr04151h⟩
- Accès au bibtex
-
- titre
- Predicting low-k zeolite materials
- auteur
- Roberta Poloni, Jihan Kim
- article
- Journal of Materials Chemistry, 2014, 2 (13), pp.2298-2300. ⟨10.1039/c3tc32358g⟩
- Accès au bibtex
-
- titre
- Ru-core/Cu-shell bimetallic nanoparticles with controlled size formed in one-pot synthesis
- auteur
- I. Helgadottir, G. Freychet, P. Arquilliere, M. Maret, P. Gergaud, P. H. Haumesser, C. C. Santini
- article
- Nanoscale, 2014, 6 (24), pp.14856-14862
- Accès au bibtex
-
- titre
- Microstructural Aging of a Precipitation Hardened Martensitic Stainless Steel
- auteur
- L. Couturier, F. de Geuser, A. Deschamps, J. Hugues, E. Andrieu
- article
- TMS conference, 2014, San Diego, United States
- Accès au bibtex
-
- titre
- Stokes-Einstein violation and fragility in calcium aluminosilicate glass formers: a molecular dynamics study
- auteur
- M. Bouhadja, N. Jakse, A. Pasturel
- article
- Molecular Simulation, 2014, 40 (1-3), pp.251-259. ⟨10.1080/08927022.2013.840893⟩
- Accès au bibtex
-
- titre
- Device comprising a three-dimensional integrated structure with simplified thermal dissipation, and corresponding fabrication method
- auteur
- Sandrine Lhostis, Olga Kokshagina, Yann Beilliard, Vincent Fiori
- article
- United States, Patent n° : US2015200151. 2014
- Accès au bibtex
-
- titre
- Simulation, élaboration et caractérisation de cellules photovoltaïques
- auteur
- Céline Ternon, Anne Kaminski, Delphine Constantin, Lionel Claudon, Fabien Volpi, Loïc Vincent, Quentin Rafhay, Ahmad Bsiesy
- article
- 2014, pp.0011. ⟨10.1051/j3ea/2015013⟩
- Accès au bibtex
-
- titre
- Selective Area Growth of Well-Ordered ZnO Nanowire Arrays with Controllable Polarity
- auteur
- Vincent Consonni, Eirini Sarigiannidou, Estelle Appert, Amandine Bocheux, Sophie Guillemin, Fabrice Donatini, Ivan-Christophe Robin, Joseph Kioseoglou, Florence Robaut
- article
- ACS Nano, 2014, 8 (5), pp.4761-4770. ⟨10.1021/nn500620t⟩
- Accès au bibtex
-
- titre
- Impedance Spectroscopy Properties of Pr0.67A0.33MnO3 (A = Ba or Sr) Perovskites
- auteur
- S. Hcini, S. Khadhraoui, A. Triki, S. Zemni, Michel Boudard, M. Oumezzine
- article
- Journal of Superconductivity and Novel Magnetism, 2014, 27 (1), pp.195-201. ⟨10.1007/s10948-013-2240-2⟩
- Accès au bibtex
-
- titre
- Interphase precipitation in vanadium-alloyed steels: Strengthening contribution and morphological variability with austenite to ferrite transformation
- auteur
- M.-Y. Chen, Mohamed Gouné, Marc Verdier, Yves Bréchet, J.-Y. Rang
- article
- Acta Materialia, 2014, 64, pp.78-92. ⟨10.1016/j.actamat.2013.11.025⟩
- Accès au bibtex
-
- titre
- Quantitative Characterization and Modeling of Precipitation during Quench in the Heat Treatable 7449 Aluminium Alloy
- auteur
- P. Schloth, J. Repper, C.H. Gandin, F. de Geuser, A. Deschamps, H. van Swygenhoven, J.M. Drezet
- article
- TMS conference, 2014, San Diego, United States
- Accès au bibtex
-
- titre
- High magnetic field induction of the formation of twinned dendrites during directional solidification of Al-4.5wt%Cu alloy
- auteur
- Xiaojian Li, Y. Fautrelle, A. Gagnoud, G.H. Cao, Y.D. Zhang, Z.M. Ren, X.G. Lu, C. Esling
- article
- Philosophical Magazine Letters, 2014, 94 (3), pp.118-126. ⟨10.1080/09500839.2013.873549⟩
- Accès au bibtex
-
- titre
- Ab initio based understanding of diffusion mechanisms of hydrogen in liquid aluminum.
- auteur
- N. Jakse, A. Pasturel
- article
- Applied Physics Letters, 2014, 104 (15), ⟨10.1063/1.4871469⟩
- Accès au bibtex
-
- titre
- Effect of an axial high magnetic field on Sn dendrite morphology of Pb-Sn alloys during directional solidification
- auteur
- D.F Du, L. Hou, A. Gagnoud, Z.M. Zen, Y. Fautrelle, G.H. Cao, Xiaojian Li
- article
- Journal of Alloys and Compounds, 2014, 588, pp.190-198
- Accès au bibtex
-
- titre
- Relationship between microstructure, strength, and fracture in an Al-Zn-Mg electron beam weld: Part I: microstructure characterization
- auteur
- Quentin Puydt, Sylvain Flouriot, Sylvain Ringeval, Frédéric de Geuser, Guillaume Parry, Alexis Deschamps
- article
- Metallurgical and Materials Transactions A, 2014, 45, pp.6129-6140. ⟨10.1007/s11661-014-2566-9⟩
- Accès au texte intégral et bibtex
-
- titre
- Hydrogen absorption associated with the corrosion mechanism of 316L stainless steels in primary medium of Pressurized Water Reactor (PWR)
- auteur
- M. Dumerval, S. Perrin, Loic Marchetti, M. Tabarant, F. Jomard, Y. Wouters
- article
- Corrosion Science, 2014, 85, pp.251-257. ⟨10.1016/j.corsci.2014.04.025⟩
- Accès au bibtex
-
- titre
- Tools for studying water vapor at high temperatures
- auteur
- Pascal Berger, Bonnet Gilles, Henri Buscail, Sébastien Chevalier, Harold Evin, Loic Favergeon, Olivier Heintz, Christophe Issartel, Vincent Ji, Laure Martinelli, Djar Oquab, Jean-Pierre Petit, Michèle Pijolat, Sébastien Perrier, Olivier Politano, Ioana Popa, Nathalie Prud'Homme, Françoise Rabaste, Frédéric Riffard, Yves Wouters
- article
- Sébastien Chevalier ; Jérôme Favergeon. French activity on high temperature corrosion in water vapor, 76, Trans Tech Publications, pp.131-188, 2014, Materials Science Foundations, 978-3-03785-996-4
- Accès au bibtex
-
- titre
- Coupled precipitation and yield strength modelling for non-isothermal treatments of a 6061 aluminium alloy
- auteur
- D. Bardel, Michel Perez, Daniel Nelias, A. Deschamps, C.R. Hutchinson, D. Maisonnette, T. Chaise, Josselin Garnier, F. Bourlier
- article
- Acta Materialia, 2014, 62, pp.129-140. ⟨10.1016/j.actamat.2013.09.041⟩
- Accès au texte intégral et bibtex
-
- titre
- Potential-based and non-potential-based cohesive zone formulations under mixed-mode separation and over-closure. Part I: Theoretical analysis
- auteur
- J.P. Mcgarry, E.O. Mairtin, G. Parry, G.E. Beltz
- article
- Journal of the Mechanics and Physics of Solids, 2014, 63, pp.336-362. ⟨10.1016/j.jmps.2013.08.020⟩
- Accès au bibtex
-
- titre
- Le pain : une histoire de bulles et de fibres
- auteur
- Guy G. Della Valle, Perrine Babin, Maren Bonnand-Ducasse, Laurent Chaunier, Hubert H. Chiron, Rémy Dendievel, Anne-Laure A.-L. Reguerre, Luc Salvo
- article
- Science culinaire : matière, procédés, dégustation, Editions Belin, 478 p., 2014, Echelles, 978-2701175331
- Accès au bibtex
-
- titre
- The ExoMet Project: EU/ESA Research on High-Performance Light-Metal Alloys and Nanocomposites.
- auteur
- W.H. Sillekens, D.J. Jarvis, A. Vorozhtsov, V. Bojarevics, C.F. Badini, M. Pavese, S. Terzi, L. Salvo, L. Katsarou, H. Dieringa
- article
- Metallurgical and Materials Transactions A, 2014, 45A (8), pp.3349-3361. ⟨10.1007/s11661-014-2321-2⟩
- Accès au bibtex
-
- titre
- Dual mechanical behaviour of hydrogen in stressed silicon nitride thin films
- auteur
- F. Volpi, M. Braccini, Arnaud Devos, G. Raymond, A. Pasturel, Pascal Morin
- article
- Journal of Applied Physics, 2014, 116 (4), pp.043506. ⟨10.1063/1.4887814⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ high temperature X-ray diffraction study of the kinetics of phase separation in the uranium-plutonium mixed oxide (U 0.55 Pu 0.45 )O 2-x
- auteur
- Romain Vauchy, Renaud.C. Belin, Anne-Charlotte Robisson, Fiqiri Hodaj
- article
- MRS Online Proceedings Library, 2014, 1645, ⟨10.1557/opl.2014.89⟩
- Accès au bibtex
-
- titre
- Influence of Water Vapor on High-Temperature Oxidation of Titanium and Zirconium and their Alloys
- auteur
- Y. Wouters
- article
- S. Chevalier and J. Favergeon. French Activity on High Temperature Corrosion in Water Vapor, Trans Tech Publications, pp.59-72, 2014, 978-3-03785-996-4
- Accès au bibtex
-
- titre
- The ability to control and limit the dispersion of radioactive material during a nuclear accident
- auteur
- R. Dautray, J. Friedel, Y. Brechet
- article
- Comptes Rendus. Physique, 2014, 15 (6), pp.481-508
- Accès au bibtex
-
- titre
- Ab Initio Study of Water Related Defects in Cr2O3 and the Consequences for the Stability of Passive Films of Stainless Steels
- auteur
- B. Malki, O. Le Bacq, A. Pasturel, B. Baroux
- article
- Journal of The Electrochemical Society, 2014, 161 (10), pp.C486-C493. ⟨10.1149/2.0701410jes⟩
- Accès au bibtex
-
- titre
- Cu3Sn suppression criterion for solid copper/molten tin reaction
- auteur
- F. Hodaj, O. Liashenko, A.M. Gusak
- article
- Philosophical Magazine Letters, 2014, 94 (4), pp.217-224. ⟨10.1080/09500839⟩
- Accès au bibtex
-
- titre
- Superledge model for interphase precipitation during austenite-to-ferrite transformation
- auteur
- Meng-Yang Chen, Mohamed Gouné, Matthias Militzer, Yves Bréchet, Jer-Ren Yang
- article
- Metallurgical and Materials Transactions A, 2014, 45 (12), pp.5351-5361. ⟨10.1007/s11661-014-2486-8⟩
- Accès au bibtex
-
- titre
- X-ray photoelectron spectroscopy analysis of the effect of temperature upon surface composition of InP etched in Cl-2-based inductively coupled plasma
- auteur
- R. Chanson, S. Bouchoule, Christophe Cardinaud, C. Petit-Etienne, E. Cambril, A. Rhallabi, S. Guilet, E. Blanquet
- article
- Journal of Vacuum Science and Technology, 2014, 32 (1), pp.011219. ⟨10.1116/1.4862256⟩
- Accès au bibtex
-
- titre
- Quantitative description of the T-1 formation kinetics in an Al-Cu-Li alloy using differential scanning calorimetry, small-angle X-ray scattering and transmission electron microscopy
- auteur
- T. Dorin, A. Deschamps, F. de Geuser, Williams Lefebvre, C. Sigli
- article
- Philosophical Magazine, 2014, 94 (10), pp.1012-1030. ⟨10.1080/14786435.2013.878047⟩
- Accès au bibtex
-
- titre
- On the detachment of Si ingots from SiO2 crucibles.
- auteur
- B. Gallien, T. Duffar, J.P. Garandet
- article
- Journal of Crystal Growth, 2014, 390, pp.125-128. ⟨10.1016/j.jcrysgro.2013.05.044⟩
- Accès au bibtex
-
- titre
- Validity of the Stokes-Einstein relation between Diffusion and Viscosity in Liquid Metal and Alloys: An Ab Initio Molecular Dynamics Simulation Study
- auteur
- N. Jakse
- article
- DSL2014 International Conference, 2014, Paris, France
- Accès au bibtex
-
- titre
- Functionalization of HTCVD Grown Aluminium Nitride
- auteur
- M. Pons, R. Boichot, Florian Mercier, E. Blanquet, S. Lay, G. Gusti, D. Pique
- article
- 3CG Collaborative Conference on Crystal Growth, 2014, Phuket, Thailand
- Accès au bibtex
-
- titre
- Surface reactivity modification by pre-oxidation treatment for a nickel based alloy to minimize nickel release in PWR primary medium
- auteur
- M. Moeglen, S. Perrin, Loic Marchetti, M. Foucault, Y. Wouters
- article
- Microscopy of oxidation 9, 2014, Nottingham, United Kingdom
- Accès au bibtex
-
- titre
- Liquid Boron: Interplay Between the Structure and Dynamics Studied by Ab Initio Molecular Dynamics Simulation
- auteur
- N. Jakse
- article
- IBBS2014 International Conference, 2014, Honolulu, United States
- Accès au bibtex
-
- titre
- Atomistic simulations of the decomposition kinetics in Fe-Cr alloys: Influence of magnetism
- auteur
- O. Senninger, E. Martinez, F. Soisson, M. Nastar, Y. Brechet
- article
- Acta Materialia, 2014, 73, pp.97-106. ⟨10.1016/j.actamat.2014.03.019⟩
- Accès au bibtex
-
- titre
- Silver nanowire networks: Physical properties and potential integration in solar cells
- auteur
- D. P. Langley, Gael Giusti, Mélanie Lagrange, Rachel Collins, Carmen Jiménez, Y. Bréchet, D. Bellet
- article
- Solar Energy Materials and Solar Cells, 2014, 125, pp.318-324. ⟨10.1016/j.solmat.2013.09.015⟩
- Accès au bibtex
-
- titre
- Virtual dark-field images reconstructed from electron diffraction patterns
- auteur
- E.F. Rauch, M. Veron
- article
- European Physical Journal: Applied Physics, 2014, 66 (1), ⟨10.1051/epjap/2014130556⟩
- Accès au bibtex
-
- titre
- Relationship between T1 phase precipitate microstructure and strength in an AA2198 Al-Cu-Li alloy
- auteur
- T. Dorin, F. de Geuser, A. Deschamps, C. Sigli
- article
- International Conference on Aluminium Alloys (ICAA 14), 2014, Trondheim, Norway
- Accès au bibtex
-
- titre
- Formation of Hot Tear Under Controlled Solidification Conditions.
- auteur
- T. Subroto, A. Miroux, L. Bouffier, C. Josserond, L. Salvo, M. Suery, D.G. Eskin, L. Katgerman
- article
- Metallurgical and Materials Transactions A, 2014, 45A (6), pp.2855-2862. ⟨10.1007/s11661-014-2220-6⟩
- Accès au bibtex
-
- titre
- M. Cablea, K. Zaidat, A. Gagnoud, A. Nouri and Y. Delannoy
- auteur
- M. Cablea, K. Zaidat, A. Gagnoud, A. Nouri, Y. Delannoy
- article
- Journal of Crystal Growth, 2014, 401, pp.883-887. ⟨10.1016/j.jcrysgro.2014.11.062⟩
- Accès au bibtex
-
- titre
- Influence of the surface morphology of smooth platinum electrodes for the sodium borohydride oxidation reaction.
- auteur
- P.Y. Olu, B. Gilles, N. Job, M. Chatenet
- article
- Electrochemistry Communications, 2014, 43, pp.47-50. ⟨10.1016/j.elecom.2014.02.018⟩
- Accès au bibtex
-
- titre
- 3-D Multistrands Inductor Modeling: Influence of Complex Geometrical Arrangements
- auteur
- R. Scapolan, A. Gagnoud, Y. Du Terrail
- article
- IEEE Transactions on Magnetics, 2014, 50 (2), ⟨10.1109/tmag.2013.2282502⟩
- Accès au bibtex
-
- titre
- Lattice dynamics of the icosahedral quasicrystals i-ZnMgSc and i-ZnAgSc and the cubic 1/1-approximant Zn6Sc
- auteur
- H. Euchner, T. Yamada, S. Rols, T. Ishimasa, J. Ollivier, H. Schober, M. Mihalkovic, M. de Boissieu
- article
- Journal of Physics: Condensed Matter, 2014, 26 (5), pp.055402
- Accès au bibtex
-
- titre
- Effect of C content on the microstructure evolution during early solid state sintering of WC-Co alloys
- auteur
- V. Bounhoure, S. Lay, F. Charlot, A. Antoni-Zdziobek, E. Pauty, J.M. Missiaen
- article
- International Journal of Refractory Metals and Hard Materials, 2014, 44, pp.27-34. ⟨10.1016/j.ijrmhm.2013.12.012⟩
- Accès au bibtex
-
- titre
- Correlation between sol-gel reactivity and wettability of silica films deposited on stainless steel
- auteur
- M. Houmard, E.H.M. Nunes, D.C.L. Vasconcelos, G. Berthome, J.C. Joud, M. Langlet, W.L. Vasconcelos
- article
- Applied Surface Science, 2014, 289, pp.218-223. ⟨10.1016/j.apsusc.2013.10.137⟩
- Accès au bibtex
-
- titre
- The influence of Mg and Ag on the precipitation kinetics and the formation of the T1 phase in Al-Cu-Li alloys
- auteur
- E. Gumbmann, F. de Geuser, Williams Lefebvre, C. Sigli, A. Deschamps
- article
- International Conference on Aluminium Alloys (ICAA 14), 2014, Trondheim, Norway. ⟨10.4028/www.scientific.net/MSF.794-796.945⟩
- Accès au bibtex
-
- titre
- Microstructure and morphology of hardmetals
- auteur
- S. Lay, J.M. Missiaen
- article
- V. K. Sarin, D. Mari, L. Llanes, C.E. Nebel. Comprehensive Hard Materials, 1 (1), Elsevier, pp.91-120, 2014, 978-0-08-096527-7
- Accès au bibtex
-
- titre
- Some guidelines for promoting high temperature deformation of metallic alloys
- auteur
- Jean-Jacques Blandin
- article
- Materials Science and Engineering Technology / Materialwissenschaft und Werkstofftechnik, 2014, 45 (9), pp.793-798. ⟨10.1002/mawe.201400283⟩
- Accès au bibtex
-
- titre
- Strengthening mechanisms of T-1 precipitates and their influence on the plasticity of an Al-Cu-Li alloy.
- auteur
- T. Dorin, F. de Geuser, Williams Lefebvre, C. Sigli, A. Deschamps
- article
- Materials Science and Engineering: A, 2014, 605, pp.119-126. ⟨10.1016/j.msea.2014.03.024⟩
- Accès au bibtex
-
- titre
- Microstructure evolution during the co-sintering of Ni/BaTiO3 multilayer ceramic capacitors modeled by discrete element simulations.
- auteur
- Z. Yan, C.L. Martin, O. Guillon, D. Bouvard, C.S. Lee
- article
- Journal of the European Ceramic Society, 2014, 34 (13), pp.3167-3179. ⟨10.1016/j.jeurceramsoc.2014.04.013⟩
- Accès au bibtex
-
- titre
- In-situ and real-time analysis of TEM forces induced by a permanent magnetic field during solidification of Al-4wr%Cu
- auteur
- H. Nguyen-Thi, J. Wang, G. Salloum Abou-Jaoude, G. Reinhart, I. Kaldre, N. Mangelinck, L. Buligins, A. Bojarevics, Y. Fautrelle, O. Budenkova, T. Lafford
- article
- Materials Science Forum, 2014, 790-791, pp.420-425
- Accès au bibtex
-
- titre
- Elastic properties of tensile nitrogen-plasma-treated multilayer silicon nitride films
- auteur
- M. Braccini, F. Volpi, Arnaud Devos, G. Raymond, D. Benoit, Pascal Morin
- article
- Thin Solid Films, 2014, 551, pp.120-126. ⟨10.1016/j.tsf.2013.11.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Critical assessment of thermodynamic properties of CsI solid, liquid and gas phases
- auteur
- F.Z. Roki, M.N. Ohnet, S. Fillet, C. Chatillon, I. Nuta
- article
- Journal of Chemical Thermodynamics, 2014, 70, pp.46-72. ⟨10.1016/j.jct.2013.09.038⟩
- Accès au bibtex
-
- titre
- Niobium Nitride Thin Films deposited by High Temperature Chemical Vapor Deposition
- auteur
- Florian Mercier, S. Coindeau, S. Lay, A. Crisci, M. Benz, T. Encinas, R. Boichot, A. Mantoux, Carmen Jimenez, F. Weiss, E. Blanquet
- article
- 3CG Collaborative Conference on Crystal Growth, 2014, Phuket, Thailand
- Accès au bibtex
-
- titre
- Influence on the macrosegregation of binary metallic alloys by thermoelectromagnetic convection and electromagnetic stirring combination
- auteur
- I. Kaldre, Y. Fautrelle, J. Etay, A. Bojarevics, L. Buligins
- article
- Journal of Crystal Growth, 2014, 402, pp.230-233. ⟨10.1010/j.jcrysgro.2014.00.029⟩
- Accès au bibtex
-
- titre
- Improvement of the physical properties of ZnO/CdTe core-shell nanowire arrays by CdCl2 heat treatment for solar cells
- auteur
- Vincent Consonni, Sebastien Renet, Jerome Garnier, Patrice Gergaud, Lluis Artus, Jerome Michallon, Laetitia Rapenne, Estelle Appert, Anne Kaminski-Cachopo
- article
- Nanoscale Research Letters, 2014, 9 (1), pp.222. ⟨10.1186/1556-276X-9-222⟩
- Accès au bibtex
-
- titre
- Modeling of fully coupled MHD flows in annular linear induction pumps
- auteur
- C. Roman, M. Dumont, S. Letout, C. Courtessole, S. Vitry, F. Rey, Y. Fautrelle
- article
- International Journal of Applied Electromagnetics and Mechanics, 2014, 44 (2), pp.155-162. ⟨10.3233/jae-141755⟩
- Accès au bibtex
-
- titre
- Viscoelastic Leveling of Annealed Thin Polystyrene Films.
- auteur
- E. Rognin, S. Landis, L. Davoust
- article
- Langmuir, 2014, 30 (23), pp.6963-6969. ⟨10.1021/la5009279⟩
- Accès au bibtex
-
- titre
- Thermal annealing effects on silver nanowire networks
- auteur
- Gael Giusti, D.P. Langley, Mélanie Lagrange, Rachel Collins, Carmen Jiménez, Y. Bréchet, D. Bellet
- article
- International Journal of Nanotechnology, 2014, Vol. 11 (Nos. 9/10/11), pp.785-795. ⟨10.1504/IJNT.2014.063788⟩
- Accès au bibtex
-
- titre
- Computation of the thermal conductivity using methods based on classical and quantum molecular dynamics
- auteur
- O.N. Bedoya-Martinez, J.L. Barrat, D. Rodney
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2014, 89 (1), pp.014303. ⟨10.1103/PhysRevB.89.014303⟩
- Accès au bibtex
-
- titre
- In-situ high-pressure X-ray diffraction on the Zn6Sc 1/1 periodic cubic approximant to a quasicrystal
- auteur
- T. Yamada, G. Garbarino, H. Takakura, C.P. Gomez, R. Tamura, M. de Boissieu
- article
- Zeitschrift für Kristallographie, 2014, 229 (3), pp.230-235. ⟨10.1515/zkri-2013-1684⟩
- Accès au bibtex
-
- titre
- An extensive 3D dislocation dynamics investigation of stage-I fatigue crack propagation
- auteur
- C. Déprés, G.V. Prasad Reddy, C. Robertson, M. Fivel
- article
- Philosophical Magazine, 2014, 94 (36), pp.4115-4137. ⟨10.1080/14786435.2014.978830⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of water vapor on high-temperature oxidation of titanium and zirconium and their alloys
- auteur
- Clara Desgranges, Marc Tupin, Yves Wouters, Michele Pijolat, Yacoub Dali, Benoît Mazères, Caroline Toffolon, Jean-Claude Brachet
- article
- Sébastien Chevalier ; Jérôme Favergeon. French activity on high temperature corrosion in water vapor, 76, Trans Tech Publications, pp.75-129, 2014, Materials Science Foundations, 978-3-03785-996-4
- Accès au bibtex
-
- titre
- Localization of Propagative Phonons in a Perfectly Crystalline Solid
- auteur
- Stéphane Pailhès, H. Euchner, Valentina. M Giordano, Régis Debord, A. Assy, S. Gomès, A. Bosak, Denis Machon, S. Paschen, M. de Boissieu
- article
- Physical Review Letters, 2014, 113 (2), pp.025506. ⟨10.1103/PhysRevLett.113.025506⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermoelectric magnetic force acting on the solid during directional solidification under a static magnetic field
- auteur
- J. Wang, Y. Fautrelle, Z.M. Ren, H. Nguyen-Thi, G. Salloum Abou Jaoude, G. Reinhart, Nathalie Mangelinck-Noël, Xiaojian Li, Imants Kaldre
- article
- Applied Physics Letters, 2014, 104, pp.121916. ⟨10.1063/1.4870099⟩
- Accès au bibtex
-
- titre
- Metallurgical challenges in microelectronic three-dimensional integrated circuits packaging technology
- auteur
- F. Hodaj
- article
- Conference of Engineering and Information Technology Sciences, 2014, Pristina, France
- Accès au bibtex
-
- titre
- In situ X-ray diffraction study of the phase transformation in the non-stoichiometric intermetallic compound Ti3Sn
- auteur
- O. Ivanova, M. Karpets, A.R. Yavari, K. Georgarakis, Y. Podrezov
- article
- Journal of Alloys and Compounds, 2014, 582, pp.360-363. ⟨10.1016/j.jallcom.2013.07.198⟩
- Accès au bibtex
-
- titre
- Effect of a weak transverse magnetic field on solidification structure during directional solidification
- auteur
- Xiaojian Li, Y. Fautrelle, A. Gagnoud, D. Du, J. Wang, Zhuoxiang Ren, H. Nguyen-Thi, N. Mangelinck-Noel
- article
- Acta Materialia, 2014, 64, pp.367-381. ⟨10.1016/j.actamat.2013.11.025⟩
- Accès au bibtex
-
- titre
- Enhancements of homogenous anisotropic hardening model and application to mild and dual-phase steels.
- auteur
- F. Barlat, G. Vincze, J.J. Gracio, M.G. Lee, E.F. Rauch, C.N. Tome
- article
- International Journal of Plasticity, 2014, 58, pp.201-218. ⟨10.1016/j.ijplas.2013.11.002⟩
- Accès au bibtex
-
- titre
- Deformation at ambient and high temperature of in situ Laves phases-ferrite composites.
- auteur
- P. Donnadieu, C. Pohlmann, S. Scudino, J.J. Blandin, K.B. Surreddi, J. Eckert
- article
- Science and Technology of Advanced Materials, 2014, 15 (3), pp.8. ⟨10.1088/1468-6996/15/3/034801⟩
- Accès au bibtex
-
- titre
- Proposal for a multi-material design procedure
- auteur
- H. Wargnier, F.X. Kromm, M. Danis, Y. Brechet
- article
- Materials & Design, 2014, 56, pp.44-49. ⟨10.1016/j.matdes.2013.11.004⟩
- Accès au bibtex
-
- titre
- Oxidation Kinetics of AISI 441 Ferritic Stainless Steel at High Temperatures in CO2 Atmosphere
- auteur
- P. Promdirek, G. Lothongkum, S. Chandra-Ambhorn, Y. Wouters, A. Galerie
- article
- Oxidation of Metals, 2014, 81 (3-4), pp.315-329. ⟨10.1007/s11085-013-9432-9⟩
- Accès au bibtex
-
- titre
- Microstructure mapping of a friction stir welded AA2050 Al-Li-Cu in the T8 state.
- auteur
- F. de Geuser, B. Malard, A. Deschamps
- article
- Philosophical Magazine, 2014, 94 (13), pp.1451-1462. ⟨10.1080/14786435.2014.887862⟩
- Accès au bibtex
-
- titre
- Anharmonicity, mechanical instability, and thermodynamic properties of the Cr-Re sigma-phase.
- auteur
- M. Palumbo, S.G. Fries, A. Pasturel, D. Alfe
- article
- Journal of Chemical Physics, 2014, 140 (14), ⟨10.1063/1.4869800⟩
- Accès au bibtex
-
- titre
- Growth temperature effect on the structure of CoPt islands on NaCl(001) studied by grazing-incidence small-angle X-ray scattering
- auteur
- M. Maret, F. Liscio, D. Makarov, B. Doisneau-Cottignies, F. Ganss, J.M. Missiaen, M. Albrecht
- article
- Journal of Applied Crystallography, 2014, 47, pp.102-109. ⟨10.1107/S1600576713028112⟩
- Accès au bibtex
-
- titre
- La corrosion des métaux
- auteur
- B. Baroux
- article
- Dunod, pp.293, 2014
- Accès au bibtex
-
- titre
- Quantum Peierls stress of straight and kinked dislocations and effect of non-glide stresses.
- auteur
- B. Barvinschi, L. Proville, D. Rodney
- article
- Modelling and Simulation in Materials Science and Engineering, 2014, 22 (2), pp.125006. ⟨10.1088/0965-0393/22/2/025006⟩
- Accès au bibtex
-
- titre
- NiTiSn a material of technological interest: Ab initio calculations of phase stability and defects
- auteur
- Colinet Catherine, Philippe Jund, Jean-Claude Tedenac
- article
- Intermetallics, 2013, 46, pp.103-110. ⟨10.1016/j.intermet.2013.10.016⟩
- Accès au bibtex
-
- titre
- Induced Superconductivity in Graphene Grown on Rhenium
- auteur
- Charlène Tonnoir, Amina Kimouche, Johann Coraux, Laurence Magaud, Benjamin Delsol, Bruno Gilles, Claude Chapelier
- article
- Physical Review Letters, 2013, 111, pp.246805. ⟨10.1103/PhysRevLett.111.246805⟩
- Accès au bibtex
-
- titre
- Efficiency of high accuracy DRP schemes in Direct Numerical Simulations of incompressible turbulent flows
- auteur
- Frédéric Bauer, Olivier Léon Doche, Sedat F. Tardu
- article
- International conference on scientific computing, Dec 2013, Paphos, Cyprus
- Accès au bibtex
-
- titre
- Solidification of metallic alloys under travelling magnetic field
- auteur
- T. Duffar, K. Zaidat, L. Hachani, R. Boussaa, B. Saadi, G. Chichignoud, V. Botton, Dominique Henry, H. Ben Hadid, Y. Fautrelle
- article
- THERMEC 2013, Dec 2013, Las Vegas, United States
- Accès au bibtex
-
- titre
- TEM Orientation and Phase Maps in Alloys with TRIP behavior
- auteur
- M. Veron, E.F. Rauch, F. Prima
- article
- Thermec 2013, Dec 2013, Las Vegas, United States
- Accès au bibtex
-
- titre
- Damage developed during high temperature deformation of magnesium alloys: a continuous 3D characterisation by X-ray micro tomography
- auteur
- P. Lhuissier, M. Scheel, L. Salvo, E. Boller, M. Di Michiel, J.J. Blandin
- article
- THERMEC 2013, Dec 2013, Las Vegas, France
- Accès au bibtex
-
- titre
- New titanium alloys with a combination of high strength, strain hardening and high ductility, induced by TRIP and TWIP effects
- auteur
- F. Prima, F. Sun, J. Zhang, M. Marteleur, M. Veron, E.F. Rauch, T. Gloriant, P. Vermaut, P.J. Jacques
- article
- Thermec 2013, Dec 2013, Las Vegas, United States
- Accès au bibtex
-
- titre
- Phase equilibria in the aluminium-rich side of the Al-Zr system.
- auteur
- Amin Janghorban, A. Antoni-Zdziobek, Marc Lomello-Tafin, Caroline Antion, Thomas Mazingue, A. Pisch
- article
- Journal of Thermal Analysis and Calorimetry, 2013, 114, pp. 1015-1020. ⟨10.1007/s10973-013-3113-4⟩
- Accès au bibtex
-
- titre
- Strengthening mechanisms associated to anisotropic precipitates in an Al-Li-Cu alloy of third generation
- auteur
- Thomas Dorin
- article
- Materials. Université de Grenoble, 2013. English. ⟨NNT : 2013GRENI031⟩
- Accès au texte intégral et bibtex
-
- titre
- Lois de comportement des matériaux utilisés dans les contacts électriques pour application " flip chip
- auteur
- David Mercier
- article
- Matériaux. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI083⟩
- Accès au texte intégral et bibtex
-
- titre
- Dégradation par électromigration dans les interconnexions en cuivre : étude des facteurs d'amélioration des durées de vie et analyse des défaillances précoces
- auteur
- Franck Lionel Bana
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI081⟩
- Accès au texte intégral et bibtex
-
- titre
- Hot pressing of thermoelectric materials for high temperature energy harvesting
- auteur
- Achraf Kallel
- article
- Materials. Université de Grenoble, 2013. English. ⟨NNT : 2013GRENI096⟩
- Accès au texte intégral et bibtex
-
- titre
- Revision of the Ge-Ti Phase Diagram and Structural Stability of the new Phase Ge4Ti5
- auteur
- Roland W. Bittner, Colinet Catherine, Jean-Claude Tedenac, Klaus W. Richter
- article
- Journal of Alloys and Compounds, 2013, 577, pp.211-216. ⟨10.1016/j.jallcom.2013.04.114⟩
- Accès au bibtex
-
- titre
- Strain capacities limits of wrought Magnesium alloys: tension vs. expansion.
- auteur
- Rémi Boissière, Pierre Vacher, Jean-Jacques Blandin, A. Khelil
- article
- Materials Sciences and Applications, 2013, pp. 768-772. ⟨10.4236/msa.2013.412097⟩
- Accès au bibtex
-
- titre
- Modélisation de la fissuration à chaud lors du soudage de l'alliage base nickel IN600
- auteur
- Lionel Bouffier
- article
- Matériaux. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI073⟩
- Accès au texte intégral et bibtex
-
- titre
- Imaging in−plane magnetic structures by x−ray holography
- auteur
- G. Beutier
- article
- International workshop on Soft X−ray Resonant Elastic Scattering (SoXRES−2013), Synchrotron Soleil, Nov 2013, Paris, France
- Accès au bibtex
-
- titre
- New experimental insight into the mechanisms of nanoplasticity
- auteur
- W. Skrotzki, A. Eschke, B. Joni, T. Ungar, Laszlo S. Toth, Yu. Ivanisenko, L. Kurmanaeva
- article
- Acta Materialia, 2013, 61 (19), pp.7271-7284. ⟨10.1016/j.actamat.2013.08.032⟩
- Accès au bibtex
-
- titre
- Lattice Dynamics in the Type-I Clathrates
- auteur
- M. de Boissieu, S. Pailhes, H. Euchner, L.T.K. Nguyen, W. Assmus, F. Ritter, A. Haghighira, Y. Grin, S. Paschen
- article
- CECAM workshop international, Nov 2013, Lausanne, Switzerland
- Accès au bibtex
-
- titre
- Etude de l'effect thermoélectrique magnétique en solidification directionnelle d'alliages Al-Cu.
- auteur
- Jiang Wang
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI051⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution de la microstructure lors du frittage de capacités céramiques multicouches : nanotomographie et simulations discrètes.
- auteur
- Zilin Yan
- article
- Autre. Université de Grenoble; Technische Universität (Darmstadt, Allemagne), 2013. Français. ⟨NNT : 2013GRENI054⟩
- Accès au texte intégral et bibtex
-
- titre
- Conception architecturale appliquée aux matériaux sandwichs pour propriétés multifonctionnelles.
- auteur
- Pierre Leite
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI053⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de l'influence de la convection naturelle et forcée sur le processus de la solidification : cas d'un alliage métallique binaire.
- auteur
- Lakhdar Hachani
- article
- Autre. Université de Grenoble; 352 Université Hadj Lakhdar de Batna, 2013. Français. ⟨NNT : 2013GRENI067⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de procédés de compaction par vibrations horizontales en milieu ouvert ou fermé - Approches expérimentales et numériques.
- auteur
- Olivier Bonnefoy, Sébastien Nadler, Gérard Thomas, Jean-Marc Chaix, Jean-Louis Gelet
- article
- XIV° Congrès de la Société Française de Génie des Procédés, Oct 2013, Lyon, France. pp.No 496
- Accès au texte intégral et bibtex
-
- titre
- Recent researchs on EPM
- auteur
- J. Etay
- article
- International Symposium on Electromagnetic Flow control in Metallurgy, Crystal Growth and Electrochemistry, Oct 2013, Dresden, Germany
- Accès au bibtex
-
- titre
- Automated Orientation mapping in TEM (ASTAR)
- auteur
- M. Veron, E.F. Rauch
- article
- Workshop on Electron Crystallography, Nelson mandela Metropolitan University, Oct 2013, South Africa
- Accès au bibtex
-
- titre
- Electromagnetic Flow Control in Metallurgy
- auteur
- J. Etay
- article
- Wilhelm und Else Heraeus-Conference, Physikzentrum Bad Honnef, Oct 2013, Germany
- Accès au bibtex
-
- titre
- Meso-scale modelling of directional solidification and comparison with in situ X-ray radiographic observations made during the MASER-12 XRMON microgravity experiment
- auteur
- G. Murphy, G. Reinhart, H. Nguyen-Thi, G. Salloum Abou Jaoude, D.J. Browne
- article
- Journal of Alloys and Compounds, 2013, 573, pp.170 - 176. ⟨10.1016/j.jallcom.2013.04.027⟩
- Accès au bibtex
-
- titre
- TEM Orientation and Phase Maps in TRIP steels: Microstructure &Mechanical behaviour
- auteur
- M. Veron, E.F. Rauch
- article
- XXIV Congresso da Sociedade Brasileira de Microscopia e Microanàlise, Oct 2013, Brazil
- Accès au bibtex
-
- titre
- Porous Electrochemical Ceramics: Simulation Guided Microstructure Design
- auteur
- A. Lichtner, D. Roussel, D. Jauffres, C.L. Martin
- article
- Materials Science & Technology Conference, Oct 2013, Montreal, Canada
- Accès au bibtex
-
- titre
- Modélisation des verres d 'aluminosilmicates de calcium par dynamique moléculaire : Structure et dynamique.
- auteur
- Mohammed Bouhadja
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI026⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation Physico-chimique et adhérence de couches d'oxydes thermiques sur des aciers recyclés.
- auteur
- Thanasak Nilsonthi
- article
- Autre. Université de Grenoble; King Mong kut's Univ.Tech.Nth Bangkok, 2013. Français. ⟨NNT : 2013GRENI034⟩
- Accès au texte intégral et bibtex
-
- titre
- Low electrical percolation threshold in multiwalled carbon nanotube polymer nanocomposites and charge carrier transport
- auteur
- Gisèle Boiteux, Volodymyr Levchenko, Yevgen Mamunya, Eugene Lebedev, Mohammad Jouni, Jérôme Faure-Vincent, D. Djurado, Valérie Massardier
- article
- 2nd Ukrainian-French Conference "Carbon Nanomaterials: Structure and Properties", Sep 2013, Ukraine
- Accès au bibtex
-
- titre
- Design Rules for Additive Manufacturing of Titanium Cellular Structures by Electron Beam Melting
- auteur
- Mathieu Suard, Rémy Dendievel, Benjamin Vayre, Pierre Lhuissier, Frédéric Vignat, Jean-Jacques Blandin, François Villeneuve
- article
- EUROMAT 2013, Sep 2013, madrid, Spain
- Accès au bibtex
-
- titre
- Automated phase detection resolution using TEM spot diffraction patterns
- auteur
- M. Veron, E.F. Rauch, Zhen Zhang
- article
- Euromat 2013, Sep 2013, Seville, Spain
- Accès au bibtex
-
- titre
- Keynote lecture on Bulk Metallic Glasses
- auteur
- A.R. Yavari
- article
- International Symposium on Metastable and Nanostructured Materials ISMANAM, Sep 2013, Turin, Italy
- Accès au bibtex
-
- titre
- Magnetic fields, Convection and solidification
- auteur
- Y. Fautrelle
- article
- Plenary lecture, International Conference On Solidification and Gravity, Sep 2013, Miskolc, Hungary
- Accès au bibtex
-
- titre
- Production of polycristalline silicon by natural sintering for photovoltaic applications
- auteur
- J.M. Lebrun, J.M. Missiaen, C. Pascal, J.P. Garandet, F. Servant
- article
- Patent n° : EP 2627467 (A1). SIR. 2013
- Accès au bibtex
-
- titre
- Abdominal aortic aneurysm imaging with 3-D ultrasound: 3-D-based maximum diameter measurement and volume quantification.
- auteur
- A. Long, L. Rouet, A. Debreuve, R. Ardon, C. Barbe, J. P. Becquemin, E. Allaire
- article
- Ultrasound in Medicine & Biology, 2013, 39 (8), pp.1325-36. ⟨10.1016/j.ultrasmedbio.2013.03.008⟩
- Accès au bibtex
-
- titre
- Creep in Ni superalloys: a 3D discrete dislocation dynamics investigation
- auteur
- M. Fivel
- article
- Congress on Computational Mechanics (IUSNCCM12), Aug 2013, Raleigh, United States
- Accès au bibtex
-
- titre
- Off-line analysis of structural features using diffraction patterns acquired with the TEM attachment ASTAR
- auteur
- E.F. Rauch
- article
- Electron Crystallography for the Characterization of Materials, Aug 2013, Kiel, Germany
- Accès au bibtex
-
- titre
- Thermodynamics of Crystal Growth
- auteur
- T. Duffar
- article
- 15th International Summer School on Crystal Growth, Aug 2013, Gdansk, Poland
- Accès au bibtex
-
- titre
- Précipitations de carbure de vanadium (fibre, interphase) dans des aciers
- auteur
- Meng-Yang Chen
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI016⟩
- Accès au texte intégral et bibtex
-
- titre
- Initial stage of creep in Ni superalloys: a 3D discrete dislocation dynamics investigation
- auteur
- M.C. Fivel, Jean-Loup Strudel, H.J. Chang
- article
- U.S. National Congress on Computational Mechanics (IUSNCCM12), Jul 2013, Raleigh United States
- Accès au bibtex
-
- titre
- Antiferromagnetic order and the structural order-disorder transition in the Cd6Ho quasicrystal approximant
- auteur
- A. Kreyssig, Guillaume Beutier, T. Hiroto, M.G. Kim, Gregory S. Tucker, Marc de Boissieu, R. Tamura, A.I. Goldman
- article
- Philosophical Magazine Letters, 2013, 93 (9), pp.512-520. ⟨10.1080/09500839.2013.815375⟩
- Accès au texte intégral et bibtex
-
- titre
- Effet thermoélectrique dans les métaux liquides sous champ magnétique.
- auteur
- Imants Kaldre
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI020⟩
- Accès au texte intégral et bibtex
-
- titre
- Simulations numériques et mesures expérimentales du comportement mécanique de films minces, effets d'echelles
- auteur
- Sinh Vu Hoang
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI105⟩
- Accès au texte intégral et bibtex
-
- titre
- Frittage ultra-rapide naturel : chauffage par micro-ondes et par induction
- auteur
- Audrey Guyon
- article
- Matériaux. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI087⟩
- Accès au texte intégral et bibtex
-
- titre
- Photoelectrochemical characterization of thermal oxide developed on metal and model alloys
- auteur
- Anusara Srisrual
- article
- Other. Université de Grenoble, 2013. English. ⟨NNT : 2013GRENI012⟩
- Accès au texte intégral et bibtex
-
- titre
- Applications for TEM materials science of orientation /phase imaging- ASTAR
- auteur
- M. Veron, E.F. Rauch
- article
- Indian Institut of Science, Bangalore, Jul 2013, Bangalore, India
- Accès au bibtex
-
- titre
- Impact of Ge proportion on advanced SiGe bulk P-MOSFET matching performances
- auteur
- L. Rahhal, A. Bajolet, C. Diouf, F. Kergomard, J. Rosa, G. Bidal, R.A. Bianchi, G. Ghibaudo
- article
- Solid-State Electronics, 2013, 85, pp.15-22. ⟨10.1016/j.sse.2013.03.001⟩
- Accès au bibtex
-
- titre
- Nanoscale TEM orientation: Introduction to ASTAR system
- auteur
- M. Veron, E.F. Rauch
- article
- International Conference on Electron Microscopy and XXXIV Annual Meeting of the Electron Microscope Society of India (EMSI),, Jul 2013, Kolkata, India
- Accès au bibtex
-
- titre
- Detailed Analysis of the Role of Thin-HfO2 Interfacial Layer in Ge2Sb2Te5-Based PCM
- auteur
- Q. Hubert, C. Jahan, A. Toffoli, V. Delaye, D. Lafond, H. Grampeix, B. de Salvo
- article
- IEEE Transactions on Electron Devices, 2013, 60 (7), pp.2268-2275. ⟨10.1109/TED.2013.2264323⟩
- Accès au bibtex
-
- titre
- XRMON-GF: A novel facility for solidification of metallic alloys with in situ and time-resolved X-ray radiographic characterization in microgravity conditions
- auteur
- H. Nguyen-Thi, G. Reinhart, G. Salloum Abou Jaoude, R.H. Mathiesen, G. Zimmermann, Y. Houltz, D. Voss, A. Verga, D.J. Browne, A.G. Murphy
- article
- Journal of Crystal Growth, 2013, 374, pp.23 - 30. ⟨10.1016/j.jcrysgro.2013.03.032⟩
- Accès au bibtex
-
- titre
- Procédé d'assemblage de pièces en matériaux à base de SiC par brasage non réactif sous atmosphère oxydante. Composition de brasure, et joint et assemblage obtenus par ce procédé.
- auteur
- V. Chaumat, J.F. Henne, F. Hodaj
- article
- France, Patent n° : FR2984784. TOP. 2013
- Accès au bibtex
-
- titre
- Procédé d'assemblage de pièces en matériaux à base de SiC par brasage non réactif sous atmosphère oxydante. Composition de brasure, et joint et assemblage obtenus par ce procédé.
- auteur
- V. Chaumat, J.F. Henne, F. Hodaj
- article
- France, Patent n° : WO 2013092931. TOP. 2013
- Accès au bibtex
-
- titre
- Effect of grain disorientation on early fatigue crack propagation in face-centred-cubic polycristals: A three-dimensional dislocation dynamics investigation.
- auteur
- Gv Prasad Reddy, Christian Robertson, Christophe Déprés, Marc Fivel
- article
- Acta Materialia, 2013, 61 (14), pp.5300-5310. ⟨10.1016/j.actamat.2013.05.021⟩
- Accès au texte intégral et bibtex
-
- titre
- Mass Spectrometric Studies of Non-Equilibrium Vaporizations in Knudsen Cells: A Way to the Determination of the Nature of Kinetic Processes
- auteur
- C. Chatillon, I. Nuta
- article
- ECS Transactions, 2013, 46 (1), pp.1-22
- Accès au bibtex
-
- titre
- Finite Element Analysis of cavitation pits to estimate bubble collapse pressure
- auteur
- Samir Chandra Roy, Marc C. Fivel, Jean-Pierre Franc, Christian Pellone
- article
- SHF Conference on Hydraulic Machines and Cavitation / Air in water pipes, Jun 2013, Grenoble, France
- Accès au bibtex
-
- titre
- Cavitation erosion resistance of ultra high molecular weight polyethylene coatings
- auteur
- Pavel Bizi-Bandoki, Tiana Deplancke, O. Lame, Jean-Yves Cavaillé, Marc C. Fivel, Jean-Pierre Franc
- article
- SHF Conference on Hydraulic Machines and Cavitation /Air in Water Pipes, Jun 2013, Grenoble, France
- Accès au bibtex
-
- titre
- TEM Automated Orientation and Phase Mapping of Nanomaterials
- auteur
- M. Veron, E.F. Rauch, P. Collins, A. Dharbal, J. Portillo, M. Gemmi
- article
- 55th EMC conference, Notre Dame University in South Bend, Jun 2013, Indiana, United States
- Accès au bibtex
-
- titre
- Comparison of the Microwave and Conventional Sintering of Alumina: Effect of MgO Doping and Particle Size
- auteur
- Fei Zuo, Claude Carry, Sébastien Saunier, Sylvain Marinel, Dominique Goeuriot
- article
- Journal of the American Ceramic Society, 2013, 96 (6), pp.1732-1737. ⟨10.1111/jace.12320⟩
- Accès au bibtex
-
- titre
- Thermoelectric effects applied to solidification in materials processing
- auteur
- Y. Fautrelle, A.M. Bianchi
- article
- Plenary lecture, International Conference Thermotehnica SRT 2013, Jun 2013, Constanza, Romania
- Accès au bibtex
-
- titre
- Comparison of the Microwave and Conventional Sintering of Alumina: Effect of MgO Doping and Particle Size
- auteur
- Fei Zuo, Claude Carry, Sébastien Saunier, Sylvain Marinel, Dominique Gœuriot
- article
- Journal of the American Ceramic Society, 2013, 96 (6), pp.1732-1737. ⟨10.1111/jace.12320⟩
- Accès au bibtex
-
- titre
- Study of carrier transport in strained and unstrained SOI tri-gate and omega-gate silicon nanowire MOSFETs
- auteur
- M. Koyama, M. Casse, R. Coquand, S. Barraud, C. Vizioz, C. Comboroure, P. Perreau, V. Maffini-Alvaro, C. Tabone, L. Tosti, S. Barnola, V. Delaye, F. Aussenac, G. Ghibaudo, H. Iwai, G. Reimbold
- article
- Solid-State Electronics, 2013, 84, pp.46-52. ⟨10.1016/j.sse.2013.02.024⟩
- Accès au bibtex
-
- titre
- Effectiveness of wafer level test for electromigration wear out reporting in advanced CMOS interconnects reliability assessment
- auteur
- Franck Lionel Bana, Emmanuel Petitprez, David Ney, Lucile Arnaud, Yves Wouters
- article
- Microelectronic Engineering, 2013, 106, pp.195-199. ⟨10.1016/j.mee.2013.01.022⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparison of the Microwave and Conventional Sintering of Alumina: Effect of MgO Doping and Particle Size
- auteur
- Fei Zuo, Claude Carry, Sébastien Saunier, Sylvain Marinel, Dominique Goeuriot
- article
- Journal of the American Ceramic Society, 2013, 96 (6), pp.1732-1737. ⟨10.1111/jace.12320⟩
- Accès au bibtex
-
- titre
- Ab initio investigation of the Peierls potential of screw dislocations in bcc Fe and W
- auteur
- Lisa Ventelon, François Willaime, Emmanuel Clouet, David Rodney
- article
- Acta Materialia, 2013, 61 (11), pp.3973-3985. ⟨10.1016/j.actamat.2013.03.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Amorphous metal alloy
- auteur
- M. Aljerf, K. Georgarakis, Th. Gyger, A. Le Moulec, V. von Niederhäusern, A. Yavari
- article
- France, Patent n° : EP 2596141. TOP. 2013
- Accès au bibtex
-
- titre
- Règles de Conception pour la Fabrication Additive de Matériaux Cellulaires en Titane par " Electron Beam Melting
- auteur
- Mathieu Suard, Benjamin Vayre, Pierre Lhuissier, Rémy Dendievel, Frédéric Vignat, Jean-Jacques Blandin, François Villeneuve
- article
- Poudre & Matériaux Frittés, May 2013, Belfort, France
- Accès au bibtex
-
- titre
- Research on Energy in Region Rhone-Alpes
- auteur
- Y. Fautrelle
- article
- Plenary lecture, AIIR International Conference, May 2013, Bucharest, Romania
- Accès au bibtex
-
- titre
- Capteur d'humidité basé sur l'utilisation de nanofils de Silicium
- auteur
- K. Samaâli, Darine Kaddour, Smail Tedjini, T. Baron, M. Pons
- article
- 18èmes Journées Nationales Microondes, May 2013, Paris, France
- Accès au bibtex
-
- titre
- ASTAR orientation imaging at nm scale and metallurgical applications
- auteur
- M. Veron, E.F. Rauch
- article
- Workshop NEW TEM Nanomaterial Characterization Techniques, May 2013, Krakow, Poland
- Accès au bibtex
-
- titre
- TEM Automated Orientation & Phase Mapping of Nanomaterials
- auteur
- M. Veron, E.F. Rauch
- article
- Workshop "NEW TEM Nanomaterial Characterization Techniques, Apr 2013, Baltimore, United States
- Accès au bibtex
-
- titre
- SiC-based 1D Nanostructures for Bio-nano-technologies
- auteur
- Laurence Latu-Romain, Maelig Ollivier, Mickael Martin, Arnaud Mantoux, Edwige Bano, Louis Fradetal, Valérie Stambouli, Odette Chaix-Pluchery, Thierry Baron
- article
- MRS, Materials Research Society, Apr 2013, San Francisco, United States
- Accès au bibtex
-
- titre
- Atomic structure of the Σ = 2 twist carbide grain boundary in WC–Co alloys
- auteur
- Jean-Michel Missiaen, Sabine Lay
- article
- Philosophical Magazine, 2013, 93 (10-12), pp.1146 - 1157. ⟨10.1080/14786435.2012.733826⟩
- Accès au bibtex
-
- titre
- New methodology for drain current local variability characterization using Y function method
- auteur
- L. Rahhal, A. Bajolet, C. Diouf, A. Cros, J. Rosa, N. Planes, G. Ghibaudo
- article
- IEEE ICMTS 2013, Mar 2013, Osaka, Japan. pp.99-103, ⟨10.1109/ICMTS.2013.6528153⟩
- Accès au bibtex
-
- titre
- Carotid artery stenting in patients with symptomatic carotid stenosis: A single-center series.
- auteur
- I. Kessler, B. Gory, Francisco Macian-Montoro, G. Nakiri, M. Al-Khawaldeh, R. Riva, Marie Paule Boncoeur-Martel, C. Mounayer
- article
- Journal de Neuroradiologie / Journal of Neuroradiology, 2013, 40 (1), pp.38-44. ⟨10.1016/j.neurad.2012.03.003⟩
- Accès au bibtex
-
- titre
- Combined coherent x-ray micro-diffraction and local mechanical loading on copper nanocrystals
- auteur
- G. Beutier, M. Verdier, M. de Boissieu, B. Gilles, F. Livet, M.-I. Richard, T. W. Cornelius, S. Labat, O. Thomas
- article
- Journal of Physics: Conference Series, 2013, 425, pp.132003. ⟨10.1088/1742-6596/425/13/132003⟩
- Accès au texte intégral et bibtex
-
- titre
- Strain inhomogeneity in copper islands probed by coherent X-ray diffraction
- auteur
- Guillaume Beutier, Marc Verdier, Guillaume Parry, Bruno Gilles, Stéphane Labat, Marie-Ingrid Richard, Thomas W. Cornelius, Pierre-François Lory, Sinh Vu Hoang, Frédéric Livet, Olivier Thomas, Marc de Boissieu
- article
- Thin Solid Films, 2013, 530, pp.120-124. ⟨10.1016/J.TSF.2012.02.032⟩
- Accès au texte intégral et bibtex
-
- titre
- Expériences et simulations de matériaux autobloquants
- auteur
- Magali Dugue Dugué
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI002⟩
- Accès au texte intégral et bibtex
-
- titre
- Laminage asymétrique de l'alliage de magnésium AZ31
- auteur
- Mathilde Forget
- article
- Matériaux. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI021⟩
- Accès au texte intégral et bibtex
-
- titre
- Joint use of dual-frequency electrowetting and interferometry in a digital microsystem : application to evaporation and surface ageing at drop scale
- auteur
- Johannes Theisen
- article
- Fluids mechanics [physics.class-ph]. Université de Grenoble, 2013. English. ⟨NNT : 2013GRENI084⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D discrete dislocation dynamics investigations
- auteur
- M. Fivel
- article
- Meeting on Evolution of Dislocation Microstructure and Dislocation Obstacle Interaction, Feb 2013, Hyderabad, India
- Accès au bibtex
-
- titre
- Holographic imaging of interlayer coupling in Co/Pt/NiFe
- auteur
- Thomas A. Duckworth, Feodor Y. Ogrin, Guillaume Beutier, Sarnjeet S. Dhesi, Stuart A. Cavill, Sean Langridge, Amy Whiteside, Thomas Moore, Maxime Dupraz, Flora Yakhou, Gerrit van Der Laan
- article
- New Journal of Physics, 2013, 15, pp.3045. ⟨10.1088/1367-2630/15/2/023045⟩
- Accès au texte intégral et bibtex
-
- titre
- Bulk metallic glasses
- auteur
- A.R. Yavari
- article
- World Premier Institute-AIMR Annual Conference, Feb 2013, Sendai, Japan
- Accès au bibtex
-
- titre
- Growth and interaction of dendritic equiaxed grains: In situ characterization by synchrotron X-ray radiography
- auteur
- A. Bogno, H. Nguyen-Thi, G. Reinhart, B. Billia, J. Baruchel
- article
- Acta Materialia, 2013, 61 (4), pp.1303 - 1315. ⟨10.1016/j.actamat.2012.11.008⟩
- Accès au bibtex
-
- titre
- Direct Simulation of a Solidification Benchmark Experiment
- auteur
- Tommy Carozzani, Charles-André Gandin, Hugues Digonnet, Michel Bellet, Kader Zaidat, Yves Fautrelle
- article
- Metallurgical and Materials Transactions A, 2013, 44 (2), pp.873-887. ⟨10.1007/s11661-012-1465-1⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation thermomécanique et analyse de la durabilité d'échangeurs thermiques à plaques soudées
- auteur
- Mathieu Laurent
- article
- Autre. Université de Grenoble, 2013. Français. ⟨NNT : 2013GRENI019⟩
- Accès au texte intégral et bibtex
-
- titre
- Expanding the scope and influence of Acta Crystallographica Section B
- auteur
- Alexander J. Blake, Marc de Boissieu
- article
- Acta Crystallographica Section B : Structural Science [1968-2013], 2013, 69, pp.311-312. ⟨10.1107/s205251921301909x⟩
- Accès au bibtex
-
- titre
- Indentation of interlocked assemblies: 3D discrete simulations and experiments.
- auteur
- M. Dugue, M. Fivel, Y. Brechet, R. Dendievel
- article
- Computational Materials Science, 2013, 79, pp.591-598. ⟨10.1016/j.commatsci.2013.07.014⟩
- Accès au bibtex
-
- titre
- H-sorption properties and structural evolution of Mg processed by severe plastic deformation
- auteur
- W.J. Botta, A.M. Jorge, M. Veron, E.F. Rauch, E. Ferrie, A.R. Yavari, J. Huot, D.R. Leiva
- article
- Journal of Alloys and Compounds, 2013, 580, pp.S187-S191. ⟨10.1016/j.jallcom.2013.03.013⟩
- Accès au bibtex
-
- titre
- All but diamonds Biological materials are not forever
- auteur
- R. Weinkamer, J.W.C. Dunlop, Y. Brechet, P. Fratzl
- article
- Acta Materialia, 2013, 61 (3), pp.880-889. ⟨10.1016/j.actamat.2012.10.035⟩
- Accès au bibtex
-
- titre
- The influence of precipitation on plastic deformation of Al-Cu-Li alloys
- auteur
- A. Deschamps, B. Decreus, F. de Geuser, T. Dorin, M. Weyland
- article
- Acta Materialia, 2013, 61 (11), pp.4010-4021. ⟨10.1016/j.actamat.2013.03.015⟩
- Accès au bibtex
-
- titre
- Kinetic evolution of blistering in hydrogen-implanted silicon
- auteur
- C. Coupeau, G. Parry, Jérôme Colin, M.-L. David, J. Labanowski, J. Grilhé
- article
- Applied Physics Letters, 2013, 103 (3), pp.031908. ⟨10.1063/1.4813858⟩
- Accès au texte intégral et bibtex
-
- titre
- Advanced Characterization Techniques in High-Temperature Oxidation and Corrosion Studies
- auteur
- Y. Wouters, B. Pint, D. Monceau
- article
- Oxidation of Metals, 2013, 79 (3-4), pp.225-226. ⟨10.1007/s11085-012-9329-z⟩
- Accès au bibtex
-
- titre
- Tailored substrate for tunable reactive wetting
- auteur
- Q. Lai, L. Zhang, N. Eustathopoulos
- article
- EUROMAT 2013, 2013, Seville, Spain
- Accès au bibtex
-
- titre
- Liquid Aluminum: Atomic diffusion and viscosity from ab initio molecular dynamics
- auteur
- N. Jakse, A. Pasturel
- article
- Scientific Reports, 2013, 3, pp.3135. ⟨10.1038/srep03135⟩
- Accès au bibtex
-
- titre
- Probing Adsorption Interactions in Metal-Organic Frameworks using X-ray Spectroscopy
- auteur
- W.S. Drisdell, R. Poloni, T.M. Mcdonald, J.R. Long, B. Smit, J.B. Neaton, D. Prendesgast, J.B. Kortright
- article
- Journal of the American Ceramic Society, 2013, 135 (48), pp.18183-18190. ⟨10.1021/ja408972f⟩
- Accès au bibtex
-
- titre
- Mechanical and structural characterization of nonsintered and sintered steel wools by x-ray tomography: Description of the techniques and validation on virtual materials
- auteur
- J.P. Masse, C. Barbier, L. Salvo, Y. Brechet, O. Bouaziz, D. Bouvard
- article
- Journal of Materials Research, 2013, 28 (20), pp.2852-2860. ⟨10.1557/jmr.2013.280⟩
- Accès au bibtex
-
- titre
- Lattice stability and formation energies of intrinsic defects in Mg2Si and Mg2Ge via first principles simulations
- auteur
- Philippe Jund, Romain Viennois, Catherine Colinet, Gilles Hug, Mathieu Fèvre, Jean-Claude Tedenac
- article
- Journal of Physics: Condensed Matter, 2013, 25 (3), pp.035403. ⟨10.1088/0953-8984/25/3/035403⟩
- Accès au bibtex
-
- titre
- Modelling the Mechanical Behaviour and Fracture of an Al-Zn-Mg Electron Beam Weld Based on a Multi-Scale Microstructural Analysis
- auteur
- Q. Puydt, S. Flouriot, S. Ringeval, A. Deschamps, Parry G., F. de Geuser
- article
- TMS conference, 2013, San Antonio, United States
- Accès au bibtex
-
- titre
- Local Tomography Study of the Fracture of an ERG Metal Foam
- auteur
- Tao Zhang, Eric Maire, Jérôme Adrien, Patrick Onck, Luc Salvo
- article
- Advanced Engineering Materials, 2013, 15 (8), pp.767-772. ⟨10.1002/adem.201300004⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic resolution imaging by STEM-HAADF of the shearing mechanism of nanoscale precipitates in an Al-Cu-Li alloy
- auteur
- T. Dorin, F. de Geuser, Williams Lefebvre, A. Deschamps
- article
- EUROMAT conference, 2013, Sevilla, Spain. 2013
- Accès au bibtex
-
- titre
- Effect of the cold forming processes on the sintering of alumina nano-powders
- auteur
- A. Arellano, J. Lemus-Ruiz, D. Bouvard, S.D. de La Torre, L. Olmos
- article
- Boletín de la Sociedad Española de Cerámica y Vidrio, 2013, 52 (5), pp.XIX-XXII
- Accès au bibtex
-
- titre
- Epitaxial growth of graphene on 6H-silicon carbide substrate by simulated annealing method
- auteur
- T.L. Yoon, T.L. Lim, T.K. Min, S.H. Hung, N. Jakse, S.K. Lai
- article
- Journal of Chemical Physics, 2013, 139 (20), ⟨10.1063/1.4832043⟩
- Accès au bibtex
-
- titre
- Joining of ceramics by brazing alloys: wetting and interfacial reactions
- auteur
- F. Hodaj
- article
- . The International Conference on High-Performance Ceramics (CICC-8), 2013, Quangquing, China
- Accès au bibtex
-
- titre
- Defect kinetics on experimental timescales using atomistic simulations
- auteur
- H. Wang, D. Rodney, D.S. Xu, R. Yang, P. Veyssiere
- article
- Philosophical Magazine, 2013, 93 (1-3), pp.186-202. ⟨10.1080/14786435.2012.674224⟩
- Accès au bibtex
-
- titre
- Inverse Meyer-Neldel behavior for activated processes in model glasses
- auteur
- P. Koziatek, J.L. Barrat, P. Derlet, D. Rodney
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (22), ⟨10.1103/PhysRevB.87.224105⟩
- Accès au bibtex
-
- titre
- Quasicrystals Model structures
- auteur
- M. de Boissieu
- article
- Nature Materials, 2013, 12 (8), pp.692-693. ⟨10.1038/nmat3725⟩
- Accès au bibtex
-
- titre
- Green strength of binder-free ceramics
- auteur
- P. Pizette, C.L. Martin, G. Delette, F. Sans, T. Geneves
- article
- Journal of the European Ceramic Society, 2013, 33 (5), pp.975-984. ⟨10.1016/j.jeurceramsoc.2012.11.018⟩
- Accès au bibtex
-
- titre
- TEM investigations of the oxide layers formed on a 316L alloy in simulated PWR environment
- auteur
- R. Soulas, M. Cheynet, E. Rauch, T. Neisius, L. Legras, C. Domain, Y. Brechet
- article
- Journal of Materials Science, 2013, 48 (7), pp.2861-2871. ⟨10.1007/s10853-012-6975-0⟩
- Accès au bibtex
-
- titre
- Atomistic investigation of the annihilation of non-screw dislocation dipoles in Al, Cu, Ni and gamma-TiAl
- auteur
- H. Wang, D.S. Xu, D. Rodney, P. Veyssiere, R. Yang
- article
- Modelling and Simulation in Materials Science and Engineering, 2013, 21 (2), ⟨10.1088/0965-0393/21/2/025002⟩
- Accès au bibtex
-
- titre
- Growth of boron nitride on (0001) AlN templates by High Temperature- Hydride Vapor Phase Epitaxy (HT-HVPE)
- auteur
- N. Coudurier, R. Boichot, Florian Mercier, R. Reboud, S. Lay, E. Blanquet, M. Pons
- article
- Physics Procedia, 2013, 46, pp.102-106. ⟨10.1016/j.phpro.2013.07.050⟩
- Accès au bibtex
-
- titre
- Serrated Flow Model for Metallic Glasses under Compressive Loading
- auteur
- M.A. Yousfi, K. Hajlaoui, Z. Tourki, A.R. Yavari
- article
- Acta Metallurgica Sinica, 2013, 26 (5), pp.503-508. ⟨10.1007/s40195-013-0041-z⟩
- Accès au bibtex
-
- titre
- CFD modeling of the high-temperature HVPE growth of aluminum nitride layers on c-plane sapphire: from theoretical chemistry to process evaluation
- auteur
- R. Boichot, N. Coudurier, Florian Mercier, A. Claudel, N. Baccar, A. Milet, E. Blanquet, M. Pons
- article
- Theoretical Chemistry Accounts: Theory, Computation, and Modeling, 2013, 133 (1), ⟨10.1007/s00214-013-1419-8⟩
- Accès au bibtex
-
- titre
- Effect of strong magnetic field on solid solubility and microsegregation during directional solidification of Al-Cu alloy
- auteur
- Xiaojian Li, A. Gagnoud, Z.M. Ren, Y. Fautrelle, F. Debray
- article
- Journal of Materials Research, 2013, 28 (20), pp.2810-2818. ⟨10.1557/jmr.2013.271⟩
- Accès au bibtex
-
- titre
- Phason modes in the Sc-Zn icosahedral quasicrystal
- auteur
- T. Yamada, H. Euchner, C. Pay Gomez, H. Takakura, M. de Boissieu
- article
- 12th International Conference on Quasicrystals, 2013, Krakow, Poland
- Accès au bibtex
-
- titre
- Self-Consistent Model for Planar Ferrite Growth in Fe-C-X Alloys
- auteur
- H.S. Zurob, D. Panahi, C.R. Hutchinson, Y. Brechet, G.R. Purdy
- article
- Metallurgical and Materials Transactions A, 2013, 44A (8), pp.3456-3471. ⟨10.1007/s11661-012-1479-8⟩
- Accès au bibtex
-
- titre
- Influence of the static high magnetic field on the liquid-liquid phase separation during solidifying the hyper-monotectic alloys
- auteur
- J. Wang, Y.B. Zhong, Y. Fautrelle, T.X. Zheng, F. Li, Z.M. Ren, F. Debray
- article
- Applied physics. A, Materials science & processing, 2013, 112 (4), pp.1027-1031. ⟨10.1007/s00339-012-7470-⟩
- Accès au bibtex
-
- titre
- Partial Redetermination of the Fe-W Phase Diagram
- auteur
- A. Antoni-Zdziobek, T. Commeau, J.M. Joubert
- article
- Metallurgical and Materials Transactions A, 2013, 44A (7), pp.2996-3003. ⟨10.1007/s11661-013-1658-2⟩
- Accès au bibtex
-
- titre
- New method to evaluate materials outgassing used in MEMS thin film packaging technology
- auteur
- B. Savornin, X. Baillin, E. Blanquet, I. Nuta, D. Saint Patrice, P. Nicolas, P.L. Charvet, J.L. Pornin
- article
- Microelectronic Engineering, 2013, 107, pp.97-100. ⟨10.1016/j.mee.2012.12.002⟩
- Accès au bibtex
-
- titre
- Direct bonding of titanium layers on silicon
- auteur
- F. Baudin, L. Di Cioccio, V. Delaye, N. Chevalier, J. Dechamp, H. Moriceau, E. Martinez, Y. Brechet
- article
- Microsystem Technologies, 2013, 19 (5), pp.647-653. ⟨10.1007/s00542-012-1664-0⟩
- Accès au bibtex
-
- titre
- Enhanced wetting of dual-phase metallic solids by liquid metals: A new effect of interfacial reaction
- auteur
- Q.Q. Lai, L. Zhang, N. Eustathopoulos
- article
- Acta Materialia, 2013, 61 (11), pp.4127-4134. ⟨10.1016/j.actamat.2013.03.039⟩
- Accès au bibtex
-
- titre
- Continuous characterization by X-ray microtomography of damage during high-temperature deformation of magnesium alloy
- auteur
- P. Lhuissier, M. Scheel, L. Salvo, M. Di Michiel, J.J. Blandin
- article
- Scripta Materialia, 2013, 69 (1), pp.85-88. ⟨10.1016/j.scriptamat.2013.03.001⟩
- Accès au bibtex
-
- titre
- Investigation on the formation mechanism of irregular dendrite during directional solidification of Al-Cu alloys under a high magnetic field
- auteur
- Xiaojian Li, Q.Y. Li, Z.M. Ren, Y. Fautrelle, X.G. Lu, A. Gagnoud, Y.D. Zhang, C. Esling, H. Wang, Y.M. Dai, Q.L. Wang
- article
- Journal of Alloys and Compounds, 2013, 581, pp.769-775. ⟨10.1016/j.jallcom.2013.07.114⟩
- Accès au bibtex
-
- titre
- Epitaxial growth of AlN on c-plane sapphire by High Temperature Hydride Vapor Phase Epitaxy: Influence of the gas phase N/Al ratio and low temperature protective layer
- auteur
- R. Boichot, N. Coudurier, Florian Mercier, S. Lay, A. Crisci, S. Coindeau, A. Claudel, E. Blanquet, M. Pons
- article
- Surface and Coatings Technology, 2013, 237, pp.118-125. ⟨10.1134/s1063783413100211⟩
- Accès au bibtex
-
- titre
- On the role of microstructure in governing fracture behavior of an aluminum-copper-lithium alloy
- auteur
- B. Decreus, A. Deschamps, P. Donnadieu, J.C. Ehrstrom
- article
- Materials Science and Engineering: A, 2013, 586, pp.418-427. ⟨10.1016/j.msea.2013.06.075⟩
- Accès au bibtex
-
- titre
- Undercooling of Sn-Ag-Cu alloys: solder balls and solder joints solidification
- auteur
- F. Hodaj, L. Petit, L. Baggetto, O. Boisier, L. Verneyre
- article
- International Journal of Materials Research, 2013, 104 (9), pp.874-878. ⟨10.3139/146.110932⟩
- Accès au bibtex
-
- titre
- Synchrotron X-ray imaging for crystal growth studies
- auteur
- J. Baruchel, M. Di Michiel, T. Lafford, P. Lhuissier, J. Meyssonnier, N.T. Henri, A. Philip, P. Pernot, L. Salvo, M. Scheel
- article
- Comptes Rendus. Physique, 2013, 14 (2-3), pp.208-220. ⟨10.1016/j.crhy.2012.10.010⟩
- Accès au bibtex
-
- titre
- Tetrahedron dynamics in Tsai-type quasicrystals and their approximants
- auteur
- H. Euchner, T. Yamada, R. Tamura, T. Ishimasa, S. Rols, H. Schober, J. Ollivier, M. Mihalkovic, M. de Boissieu
- article
- 12th International Conference on Quasicrystals, 2013, Krakow, Poland
- Accès au bibtex
-
- titre
- Combining DSC ramp heating and in situ SAXS experiments for monitoring precipitation processes
- auteur
- F. de Geuser, C. Sigli, T. Dorin, A. Deschamps
- article
- Euromat 2013, 2013, Seville, Spain
- Accès au bibtex
-
- titre
- Atom probe tomography spatial reconstruction: Status and directions
- auteur
- D.J. Larson, B. Gault, B.P. Geiser, F. de Geuser, François Vurpillot
- article
- Current Opinion in Solid State and Materials Science, 2013, 17 (5), pp.236-247. ⟨10.1016/j.cossms.2013.09.002⟩
- Accès au bibtex
-
- titre
- The grain-grain-liquid triple phase line during solidification of multi-crystalline silicon
- auteur
- T. Duffar, A. Nadri
- article
- Comptes Rendus. Physique, 2013, 14 (2-3), pp.185-191. ⟨10.1016/j.crhy.2012.12.003⟩
- Accès au bibtex
-
- titre
- FeMoNi and NiSiTi ternary systems
- auteur
- A. Antoni-Zdziobek
- article
- Landolt-Börnstein IV/19, subvolume C Ternary Steel Systems, Part 2, Springer London, pp.133-138 ; 220-227, 2013
- Accès au bibtex
-
- titre
- Thermodynamic analysis of interfacial reactions and undercooling in lead-free solder joints in microelectronic packaging
- auteur
- F. Hodaj
- article
- ModTech 2013, 2013, Sinaia, Romania
- Accès au bibtex
-
- titre
- A 2D1/2 model for low Prandtl number convection in an enclosure
- auteur
- V. Botton, R. Boussaa, R. Debacque, L. Hachani, K. Zaidat, H. Ben Hadid, Y. Fautrelle, Daniel Henry
- article
- International Journal of Thermal Sciences, 2013, 71, pp.53-60. ⟨10.1016/j.ijthermalsci.2013.04.017⟩
- Accès au texte intégral et bibtex
-
- titre
- Oxide Growth Characterization During Short-Time Oxidation of a Commercially Available Chromia-Forming Alloy (HR-120) in Air at 1,050 degrees C
- auteur
- X. Ledoux, Séverine Mathieu, M. Vilasi, Y. Wouters, P. Del-Gallo, M. Wagner
- article
- Oxidation of Metals, 2013, 80 (1-2), pp.25-35. ⟨10.1007/s11085-013-9367-1⟩
- Accès au bibtex
-
- titre
- Knudsen cell mass spectrometric study of the Cs2IOH(g) molecule thermodynamics
- auteur
- F.Z. Roki, Marie-noelle Ohnet, S. Fillet, C. Chatillon, I. Nuta
- article
- Journal of Chemical Thermodynamics, 2013, 65, pp.247-264. ⟨10.1016/j.jct.2013.05.032⟩
- Accès au bibtex
-
- titre
- Flows and mass transfers in two superimposed liquid layers in an induction furnace.
- auteur
- C. Courtessole, J. Etay
- article
- International Journal of Heat and Mass Transfer, 2013, 65, pp.893-906. ⟨10.1016/j.ijheatmasstransfer.2013.06.025⟩
- Accès au bibtex
-
- titre
- HRTEM investigation of dislocation interactions in WC
- auteur
- S. Lay
- article
- International Journal of Refractory Metals & Hard Materials, 2013, 41, pp.416-421. ⟨10.1016/j.ijrmhm.2013.05.017⟩
- Accès au bibtex
-
- titre
- Internal melting and coarsening of liquid droplets in an Al-Cu alloy: a 4-D experimental study
- auteur
- S. Terzi, L. Salvo, M. Suery, A.K. Dahle, E. Boller
- article
- Journal of Materials Science, 2013, 48 (21), pp.7422-7434. ⟨10.1007/s10853-013-7557-5⟩
- Accès au bibtex
-
- titre
- The influence of Cu/Li ratio on precipitation in Al-Cu-Li-x alloys
- auteur
- B. Decreus, A. Deschamps, F. de Geuser, P. Donnadieu, C. Sigli, M. Weyland
- article
- Acta Materialia, 2013, 61 (6), pp.2207-2218. ⟨10.1016/j.actamat.2012.12.041⟩
- Accès au bibtex
-
- titre
- Multiscale Photoelectrochemical Studies on Oxidized Duplex Stainless Steels
- auteur
- A. Srisrual, J.P. Petit, Y. Wouters, A. Galerie
- article
- Oxidation of Metals, 2013, 79 (3-4), pp.337-347. ⟨10.1007/s11085-013-9357-3⟩
- Accès au bibtex
-
- titre
- Tensile strength and toughness of partially sintered ceramics using discrete element
- auteur
- D. Jauffres, X.X. Liu, C.L. Martin
- article
- Engineering Fracture Mechanics, 2013, 103, pp.132-140. ⟨10.1016/j.engfracmech.2012.09.031⟩
- Accès au bibtex
-
- titre
- Adhesion of Thermal Oxide Scales on Hot-Rolled Conventional and Recycled Steels
- auteur
- T. Nilsonthi, S. Chandra-Ambhorn, Y. Wouters, A. Galerie
- article
- Oxidation of Metals, 2013, 79 (3-4), pp.325-335. ⟨10.1007/s11085-012-9356-9⟩
- Accès au bibtex
-
- titre
- Photoelectrochemistry of Oxidation Layers: A Novel Approach to Analyze Photocurrent Energy Spectra
- auteur
- J.P. Petit, R. Boichot, A. Loucif, A. Srisrual, Y. Wouters
- article
- Oxidation of Metals, 2013, 79 (3-4), pp.249-359. ⟨10.1007/s11085-012-9352-0⟩
- Accès au bibtex
-
- titre
- Geometric Frustration of Icosahedron in Metallic Glasses
- auteur
- A. Hirata, L.J. Kang, T. Fujita, B. Klumov, K. Matsue, M. Kotani, A.R. Yavari, M.W. Chen
- article
- Science, 2013, 341 (6144), pp.376-379. ⟨10.1126/science.1232450⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration of core Si/shell SiC nanowires
- auteur
- Maelig Ollivier, L. Latu-Romain, Arnaud Mantoux, Mickaël Martin, T. Baron, Véronique Souliere, Gabriel Ferro, Edwige Bano
- article
- Materials Science Forum, 2013, 740-742, pp.306-310. ⟨10.4028/www.scientific.net/MSF.740-742.306⟩
- Accès au texte intégral et bibtex
-
- titre
- Surface charges of oxides and wettability: Application to TiO2-SiO2 composite films
- auteur
- J.C. Joud, M. Houmard, G. Berthome
- article
- Applied Surface Science, 2013, 287, pp.37-45. ⟨10.1016/j.apsusc.2013.09.054⟩
- Accès au bibtex
-
- titre
- Strain-Enhanced Performance of Si-Nanowire FETs
- auteur
- S. Barraud, R. Coquand, M. Koyamad, D. Cooper, C. Vizioz, C. Comboroure, P. Perreau, V. Maffini-Alvaro, C. Tabone, L. Tosti, S. Barnola, V. Delaye, F. Aussenac, G. Ghibaudo, G. Reimbold
- article
- ECS Transactions, 2013, 53, pp.125-136
- Accès au bibtex
-
- titre
- L'air et l'eau : alizés, cyclones, gulf stream, tsunamis et tant d'autres curiosités naturelles
- auteur
- R. Moreau
- article
- EDP Sciences, pp.120, 2013
- Accès au bibtex
-
- titre
- Using Small Angle Scattering and Atom Probe Tomography as Complementary Tools for Characterising Precipitate Microstructures at the Nanoscale
- auteur
- A. Deschamps, F. de Geuser, V. Araullo-Peters, J. Cairney, L. Couturier, B. Gault
- article
- Neutron and X-Ray Studies of Advanced Materials VI: Centennial and beyond" symposium of the 2013 TMS conference. 2013, 2013, United States
- Accès au bibtex
-
- titre
- Tailoring the morphological parameters of the T1 phase in Al-Cu-Li alloys: a way to quantify the microstructure-strength relationship
- auteur
- T. Dorin, F. de Geuser, Williams Lefebvre, A. Deschamps
- article
- EUROMAT conference, 2013, Sevilla, Spain
- Accès au bibtex
-
- titre
- Quantitative characterization of spinodal decomposition in a Fe-Cr based steel: complementarity between small-angle X-ray and neutron scattering and atom probe tomography
- auteur
- L. Couturier, F. de Geuser, A. Deschamps
- article
- EUROMAT conference, 2013, Sevilla, Spain. 2013
- Accès au bibtex
-
- titre
- Possibility of a shape phase transition for solidification of tin at scallop-like surfaces of Cu6Sn5
- auteur
- F. Hodaj, A.M. Gusak, O. Liashenko
- article
- Philosophical Magazine Letters, 2013, 93 (3), pp.166-173
- Accès au bibtex
-
- titre
- Characterization of the hot cracking resistance using the Essential Work of Fracture (EWF) - application to duplex stainless steels
- auteur
- G. Martin, M. Veron, Y. Brechet, B. Chehab, R. Fourmentin, J.D. Mithieux, S.K. Yerra, L. Delannay, T. Pardoen
- article
- Rem : Revista Escola de Minas, 2013, 66 (2), pp.146-151. ⟨10.1590/S0370-44672013000200002⟩
- Accès au bibtex
-
- titre
- On the importance of prismatic/basal interfaces in the growth of (1012) twins in hexagonal close packed crystals
- auteur
- B. Xu, L. Capolungo, D. Rodney
- article
- Scripta Materialia, 2013, 68 (11), pp.901-904. ⟨10.1016/j.scriptamat.2013.02.023⟩
- Accès au bibtex
-
- titre
- Short- and long-range ordering during the phase transition of the Zn6Sc 1/1 cubic approximant
- auteur
- T. Yamada, H. Euchner, C.P. Gomez, H. Takakura, R. Tamura, M. de Boissieu
- article
- Journal of Physics: Condensed Matter, 2013, 25 (20), ⟨10.1088/0953-8984/25/20/205405⟩
- Accès au bibtex
-
- titre
- Solid state interaction between a Sn-Ag-Cu-In solder alloy and Cu substrate
- auteur
- C. Lejuste, F. Hodaj, L. Petit
- article
- Intermetallics, 2013, 36, pp.102-108. ⟨10.1016/j.intermet.2012.12.020⟩
- Accès au bibtex
-
- titre
- Mass spectrometric and thermodynamic analyses of (SiC-SiO2) powders vaporization behavior
- auteur
- G. Honstein, C. Chatillon, Francis Baillet
- article
- Journal of Chemical Thermodynamics, 2013, 59, pp.144-157. ⟨10.1016/j.jct.2012.10.001⟩
- Accès au bibtex
-
- titre
- Influence of thermoelectric effects on the morphology of Al-Si eutectic during directional solidification under an axial strong magnetic field
- auteur
- Xiaojian Li, A. Gagnoud, Y. Fautrelle, Z.M. Ren, R. Moreau
- article
- Journal of Crystal Growth, 2013, 367, pp.94-103. ⟨10.1016/j.jcrysgro.2012.12.032⟩
- Accès au bibtex
-
- titre
- Densification and microstructure evolution during sintering of silicon under controlled water vapor pressure
- auteur
- J.M. Lebrun, A. Sassi, C. Pascal, J.M. Missiaen
- article
- Journal of the European Ceramic Society, 2013, 33 (15-16), pp.2993-3000. ⟨10.1016/j.jeurceramsoc.2013.06.024⟩
- Accès au bibtex
-
- titre
- Quantifying the Solute Drag Effect on Ferrite Growth in Fe-C-X Alloys Using Controlled Decarburization Experiments
- auteur
- C. Qiu, H.S. Zurob, D. Panahi, Y.J.M. Brechet, G.R. Purdy, C.R. Hutchinson
- article
- Metallurgical and Materials Transactions A, 2013, 44A (8), pp.3472-3483. ⟨10.1007/s11661-012-1547-0⟩
- Accès au bibtex
-
- titre
- Microstructural void environment characterization by electron imaging in 45 nm technology node to link electromigration and copper microstructure
- auteur
- R. Galand, G. Brunetti, L. Arnaud, J.L. Rouviere, L. Clement, P. Waltz, Y. Wouters
- article
- Microelectronic Engineering, 2013, 106, pp.168-171. ⟨10.1016/j.mee.2013.01.018⟩
- Accès au bibtex
-
- titre
- Ductile fracture initiated by interface nucleation in two-phase elastoplastic systems
- auteur
- S.K. Yerra, G. Martin, M. Veron, Y. Brechet, J.D. Mithieux, L. Delannay, T. Pardoen
- article
- Engineering Fracture Mechanics, 2013, 102, pp.77-100. ⟨10.1016/j.engfracmech.2013.02.028⟩
- Accès au bibtex
-
- titre
- Modification of liquid/solid interface shape in directionally solidifying Al-Cu alloys by a transverse magnetic field.
- auteur
- J. Wang, Z.M. Ren, Y. Fautrelle, Xiaojian Li, H. Nguyen-Thi, N. Mangelinck-Noel, G.S. Abou Jaoude, Y.B. Zhong, I. Kaldre, A. Bojarevics
- article
- Journal of Materials Science, 2013, 48 (1), pp.213-219. ⟨10.1007/s10853-012-6730-6⟩
- Accès au bibtex
-
- titre
- New insight into crack formation during corrosion of zirconium-based metal-oxide systems
- auteur
- N. Vermaak, G. Parry, R. Estevez, Y. Brechet
- article
- Acta Materialia, 2013, 61 (12), pp.4374-4382. ⟨10.1016/j.actamat.2013.04.009⟩
- Accès au bibtex
-
- titre
- Local order and dynamic properties of liquid and undercooled Cu55Hf45 and Cu62Hf38 alloys by ab initio molecular dynamics
- auteur
- N. Jakse, T.L.T. Nguyen, A. Pasturel
- article
- Journal of Applied Physics, 2013, 116 (6), pp.053514. ⟨10.1063/1.4817426⟩
- Accès au bibtex
-
- titre
- Coated interconnects development for high temperature water vapour electrolysis: Study in anode atmospher
- auteur
- M.R. Ardigo, I. Popa, S. Chevalier, V. Parry, A. Galerie, P. Girardon, Frédéric Perry, R. Laucournet, A. Brevet, E. Rigal
- article
- International Journal of Hydrogen Energy, 2013, 38 (36), pp.15910-15916. ⟨10.1016/j.ijhydene.2013.10.026⟩
- Accès au bibtex
-
- titre
- Experimental kinetic study of oxidation of uranium monocarbide powders under controlled oxygen partial pressures below 230 degrees C
- auteur
- C. Berthinier, C. Rado, O. Dugne, M. Cabie, C. Chatillon, R. Boichot, E. Blanquet
- article
- Journal of Nuclear Materials, 2013, 432 (1-3), pp.505-519. ⟨10.1016/j.jnucmat.2012.08.002⟩
- Accès au bibtex
-
- titre
- An identical-location transmission electron microscopy study on the degradation of Pt/C nanoparticles under oxidizing, reducing and neutral atmosphere
- auteur
- L. Dubau, L. Castanheira, G. Berthomé, F. Maillard
- article
- Electrochimica Acta, 2013, 110, pp.273-281
- Accès au bibtex
-
- titre
- Surface tension of liquid silicon: High or low value?
- auteur
- N. Eustathopoulos, B. Drevet
- article
- Journal of Crystal Growth, 2013, 371, pp.77-83. ⟨10.1016/j.jcrysgro.2013.02.010⟩
- Accès au bibtex
-
- titre
- Heterogeneity of grain boundaries in 5xxx and 7xxx aluminum alloys and its influence on intergranular toughness
- auteur
- N. Ben Ali, R. Estevez, Döme Tanguy
- article
- Engineering Fracture Mechanics, 2013, 97, pp.1-11. ⟨10.1016/j.engfracmech.2012.10.015⟩
- Accès au bibtex
-
- titre
- Morphology-wettability relations in artificially structured superhydrophilic TiO2-SiO2 composite films
- auteur
- C. Holtzinger, B. Niparte, G. Berthome, D. Riassetto, M. Langlet
- article
- Journal of Materials Science, 2013, 48 (8), pp.3107-3120. ⟨10.1007/s10853-012-7085-8⟩
- Accès au bibtex
-
- titre
- Elucidation of densification behavior of fine silicon powder particles covered with a native silica layer
- auteur
- J.M. Lebrun, J.M. Missiaen, C. Pascal
- article
- Scripta Materialia, 2013, 69 (2), pp.175-178. ⟨10.1016/j.scriptamat.2013.03.027⟩
- Accès au bibtex
-
- titre
- AFM characterization of annealed nanoimprinted patterns applied to rheological properties measurement of thin polymer films with shear rate control
- auteur
- Etienne Rognin, Stéfan Landis, Laurent Davoust
- article
- Microelectronic Engineering, 2013, 110, pp.274-277. ⟨10.1016/j.mee.2013.02.002⟩
- Accès au bibtex
-
- titre
- Influences of strong magnetic field on interdiffusion behavior between Zn and Cu and dendrite growth
- auteur
- D.J. Ma, L. Hou, Xiaojian Li, Y. Fautrelle, Z.M. Ren, K. Deng, B. Saadi, F. Debray
- article
- Materials Letters, 2013, 106, pp.313-318. ⟨10.1016/j.matlet.2013.05.069⟩
- Accès au bibtex
-
- titre
- Twinning analyses in a magnesium alloy with tilting series scanning method using a TEM based orientation mapping system
- auteur
- Zhen Zhang, E.F. Rauch, M. Veron
- article
- Materials Letters, 2013, 111, pp.192-196. ⟨10.1016/j.matlet.2013.08.072⟩
- Accès au bibtex
-
- titre
- On the mechanical properties of TiNb based alloys
- auteur
- Y. Guo, K. Georgarakis, Y. Yokoyama, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2013, 571, pp.25-30. ⟨10.1016/j.jallcom.2013.03.192⟩
- Accès au bibtex
-
- titre
- Influence of Natural Ageing and Deformation on Precipitation in an Al-Cu-Li Alloy
- auteur
- B. Decreus, A. Deschamps, F. de Geuser, C. Sigli
- article
- Advanced Engineering Materials, 2013, 15 (11), pp.1082-1085. ⟨10.1002/adem.201300098⟩
- Accès au bibtex
-
- titre
- Influence of the Oxygen Partial Pressure on the High Temperature Corrosion of 38Ni-34Fe-25Cr Alloy in Presence of NaCl Deposit
- auteur
- L. Couture, F. Ropital, F. Grosjean, Jean Kittel, V. Parry, Y. Wouters
- article
- Oxidation of Metals, 2013, 80 (5-6), pp.577-588. ⟨10.1007/s11085-013-9397-8⟩
- Accès au bibtex
-
- titre
- Superhydrophobic TiO2 coatings formed through a non-fluorinated wet chemistry route.
- auteur
- C. Holtzinger, B. Niparte, S. Wachter, G. Berthome, D. Riassetto, M. Langlet
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2013, 617, pp.141-148. ⟨10.1016/j.susc.2013.07.002⟩
- Accès au bibtex
-
- titre
- Carbon corrosion and platinum nanoparticles ripening under open circuit potential conditions
- auteur
- Z. Zhao, L. Castanheira, L. Dubau, G. Berthome, Alexandre Crisci, F. Maillard
- article
- Journal of Power Sources, 2013, 230, pp.236-243. ⟨10.1016/j.jpowsour.2012.12.053⟩
- Accès au bibtex
-
- titre
- Thermoelectric and mechanical properties of a hot pressed nanostructured n-type Si80Ge20 alloy
- auteur
- A.C. Kallel, G. Roux, C.L. Martin
- article
- Materials Science and Engineering: A, 2013, 564, pp.65-70. ⟨10.1016/j.msea.2012.11.073⟩
- Accès au bibtex
-
- titre
- A crystallographic dislocation model for describing hardening of polycrystals during strain path changes. Application to low carbon steels
- auteur
- K. Kitayama, C.N. Tome, E.F. Rauch, J.J. Gracio, F. Barlat
- article
- International Journal of Plasticity, 2013, 46, pp.54-69. ⟨10.1016/j.ijplas.2012.09.004⟩
- Accès au texte intégral et bibtex
-
- titre
- TEM imaging of an inclined dislocation in an anisotropic thin foil
- auteur
- R. Bonnet
- article
- Philosophical Magazine, 2013, 93 (5), pp.499-510. ⟨10.1080/14786435.2012.722237⟩
- Accès au bibtex
-
- titre
- In-situ synchrotron x-ray transmission microscopy of the sintering of multilayers
- auteur
- Z.L. Yan, O. Guillon, C.L. Martin, S. Wang, C.S. Lee, D. Bouvard
- article
- Applied Physics Letters, 2013, 102 (22), ⟨10.1063/1.4809602⟩
- Accès au bibtex
-
- titre
- Study of crystal-crucible detachment: GaSb in SiO2
- auteur
- B. Gallien, L. Sylla, M. Bengulescu, F. Barvinschi, T. Duffar
- article
- CrystEngComm, 2013, 15 (12), pp.2329-2336. ⟨10.1039/c3ce26503j⟩
- Accès au bibtex
-
- titre
- Foreword
- auteur
- T. Duffar
- article
- Comptes Rendus. Physique, 2013, 14 (2-3), pp.131-132. ⟨10.1016/j.crhy.2013.02.001⟩
- Accès au bibtex
-
- titre
- In situ visualization of Ni-Nb bulk metallic glasses phase transition
- auteur
- A.I. Oreshkin, V.N. Mantsevich, S.V. Savinov, S.I. Oreshkin, V.I. Panov, A.R. Yavari, D.B. Miracle, D.V. Louzguine-Luzgin
- article
- Acta Materialia, 2013, 61 (14), pp.5216-5222. ⟨10.1016/j.actamat.2013.05.014⟩
- Accès au bibtex
-
- titre
- Coplanar electrowetting-induced stirring as a tool to manipulate biological samples in lubricated digital microfluidics. Impact of ambient phase on drop internal flow pattern
- auteur
- Laurent Davoust, Yves Fouillet, Rachid Malk, Johannes Theisen
- article
- Biomicrofluidics, 2013, 7 (4), ⟨10.1063/1.4817006⟩
- Accès au bibtex
-
- titre
- Solving the 180° Orientation Ambiguity Related to Spot Diffraction Patterns in Transmission Electron Microscopy
- auteur
- E.F. Rauch, M. Veron
- article
- Microscopy and Microanalysis, 2013, 19, pp.324-325. ⟨10.1017/S1431927613003619⟩
- Accès au bibtex
-
- titre
- Quantitative Characterization of Precipitate Microstructures in Metallic Alloys Using Small-Angle Scattering
- auteur
- A. Deschamps, F. de Geuser
- article
- Metallurgical and Materials Transactions A, 2013, 44A (1), pp.77-86. ⟨10.1007/s11661-012-1435-7⟩
- Accès au bibtex
-
- titre
- The influence of minor alloying elements on the formation of the T1 phase in Al-Cu-Li(-Mg-Ag-Zn) alloys
- auteur
- E. Gumbmann, F. de Geuser, Williams Lefebvre, C. Sigli, A. Deschamps
- article
- EUROMAT conference, 2013, Sevilla, Spain. 2013
- Accès au bibtex
-
- titre
- Dewetting and transport property enhancement: antimonide crystals for high performance electronic device
- auteur
- A.A. Ebnalwaled, T. Duffar, L. Sylla
- article
- Crystal Research and Technology, 2013, 48 (4), pp.236-244. ⟨10.1002/crat.201300014⟩
- Accès au bibtex
-
- titre
- Effect of size and homogeneity of rigid inclusions on the sintering of composites
- auteur
- Z. Yan, C.L. Martin, O. Guillon, D. Bouvard
- article
- Scripta Materialia, 2013, 69 (4), pp.327-330. ⟨10.1016/j.scriptamat.2013.05.013⟩
- Accès au bibtex
-
- titre
- Identification of the (root E+1/E)-dependence of porous low-k time dependent dielectric breakdown using over one year long package level tests
- auteur
- E. Chery, X. Federspiel, Daniel Roy, F. Volpi, J.M. Chaix
- article
- Microelectronic Engineering, 2013, 109, pp.90-93. ⟨10.1016/j.mee.2013.03.085⟩
- Accès au bibtex
-
- titre
- Detection of Breakaway Oxidation with Acoustic Emission During Zirconium Oxide Scale Growth
- auteur
- V. Parry, M.T. Tran, Y. Wouters
- article
- Oxidation of Metals, 2013, 79 (3-4), pp.279-288. ⟨10.1007/s11085-012-9355-x⟩
- Accès au bibtex
-
- titre
- Influence of strong magnetic field on interdiffusion behavior between Al and Cu
- auteur
- Xiaojian Li, Z. Y. Lu, Y. Fautrelle, B. Saadi, Z.M. Ren, F. Debray
- article
- Materials Letters, 2013, 96, pp.104-108. ⟨10.1016/j.matlet.2013.01.009⟩
- Accès au bibtex
-
- titre
- Extension of homogeneous anisotropic hardening model to cross-loading with latent effects
- auteur
- F. Barlat, J.J. Ha, J.J. Gracio, M.G. Lee, E.F. Rauch, G. Vincze
- article
- International Journal of Plasticity, 2013, 46, pp.130-142. ⟨10.1016/j.ijplas.2012.07.002⟩
- Accès au bibtex
-
- titre
- Thermoelectric current and magnetic field interaction influence on the structure of directionally solidified Sn-10 wt.%Pb alloy
- auteur
- I. Kaldre, Y. Fautrelle, J. Etay, A. Bojarevics, L. Buligins
- article
- Journal of Alloys and Compounds, 2013, 571, pp.50-55. ⟨10.1016/j.jallcom.2013.03.211⟩
- Accès au bibtex
-
- titre
- High temperature chemical vapor deposition of aluminum nitride, growth and evaluation
- auteur
- M. Pons, R. Boichot, N. Coudurier, A. Claudel, E. Blanquet, S. Lay, Florian Mercier, D. Pique
- article
- Surface and Coatings Technology, 2013, 230, pp.111-118. ⟨10.1016/j.surfcoat.2013.06.011⟩
- Accès au bibtex
-
- titre
- Investigation on the multi-voids formation during electromigration degradation in dual damascene Cu lines
- auteur
- F. Bana, L. Arnaud, D. Ney, Y. Wouters
- article
- Microelectronic Engineering, 2013, 112, pp.130-132. ⟨10.1016/j.mee.2012.11.028⟩
- Accès au bibtex
-
- titre
- Characterization of Joints Between Aluminum and Galvanized Steel Sheets
- auteur
- R. Shabadi, M. Suery, A. Deschamps
- article
- Metallurgical and Materials Transactions A, 2013, 44A (6), pp.2672-2682. ⟨10.1007/s11661-012-1605-7⟩
- Accès au bibtex
-
- titre
- Semiconducting behavior and bandgap energies of oxide films grown on alloy 600 under PWR simulated primary water conditions with different dissolved hydrogen contents
- auteur
- A. Loucif, J.P. Petit, Y. Wouters
- article
- Journal of Nuclear Materials, 2013, 443 (1-3), pp.222-229. ⟨10.1016/j.jnucmat.2013.07.044⟩
- Accès au bibtex
-
- titre
- Dynamic properties of liquid and undercooled aluminum
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Physics: Condensed Matter, 2013, 25 (28), pp.285103. ⟨10.1088/0953-8984/25/28/285103⟩
- Accès au bibtex
-
- titre
- Tetrahedron dynamics in the icosahedral quasicrystals i-ZnMgSc and i-ZnAgSc and the cubic 1/1-approximant Zn6Sc
- auteur
- H. Euchner, T. Yamada, S. Rols, T. Ishimasa, Y. Kaneko, J. Ollivier, H. Schober, M. Mihalkovic, M. de Boissieu
- article
- Journal of Physics: Condensed Matter, 2013, 25 (11), ⟨10.1088/0953-8984/25/11/115405⟩
- Accès au bibtex
-
- titre
- Modeling and numerical investigation of slow crack growth and crack arrest in ceramic polycrystals
- auteur
- B. El Zoghbi, R. Estevez, Christian Olagnon
- article
- Theoretical and Applied Mechanics Letters, 2013, 3 (5), pp.051001. ⟨10.1063/2.1305101⟩
- Accès au bibtex
-
- titre
- Characterization of the High Temperature Strain Partitioning in Duplex Steels
- auteur
- Guilhem Martin, Daniel Caldemaison, Michel Bornert, Christophe Pinna, Yves Bréchet, Muriel Véron, Jean-Denis Mithieux, Thomas Pardoen
- article
- Experimental Mechanics, 2013, 53 (2), pp.205-215. ⟨10.1007/s11340-012-9628-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaporation rate of drop arrays within a digital microfluidic system
- auteur
- Laurent Davoust, Johannes Theisen
- article
- Sensors and Actuators B: Chemical, 2013, 189, pp.157-164. ⟨10.1016/j.snb.2013.02.071⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructural ageing of a precipitation hardened martensitic stainless steel
- auteur
- L. Couturier, F. de Geuser, A. Deschamps
- article
- EUROMAT conference, 2013, Sevilla, Spain
- Accès au bibtex
-
- titre
- Direct silicon bonding dynamics: A coupled fluid/structure analysis
- auteur
- E. Navarro, Y. Brechet, R. Moreau, T. Pardoen, J.P. Raskin, A. Barthelemy, I. Radu
- article
- Applied Physics Letters, 2013, 103 (3), ⟨10.1063/1.4813312⟩
- Accès au bibtex
-
- titre
- Structural and dynamic properties of calcium aluminosilicate melts: A molecular dynamics study
- auteur
- M. Bouhadja, N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2013, 138 (22), pp.204702. ⟨10.1063/1.4809523⟩
- Accès au bibtex
-
- titre
- TEM Based Micro-texture Measurement for Twinning in a Hot-rolled Magnesium Alloy with Astar System
- auteur
- E.F. Rauch, M. Veron, Zhen Zhang
- article
- Microscopy and Microanalysis, 2013, 19, pp.736-737. ⟨10.1017/S1431927613003619⟩
- Accès au bibtex
-
- titre
- Cellular Automaton Methods for Heat and Mass Transfer Intensification
- auteur
- R. Boichot, L. Wang, L. Luo, Y. Fan
- article
- L. Luo. Heat and Mass Transfer Intensification and Shape Optimization, Springer London, pp.141-170, 2013
- Accès au bibtex
-
- titre
- Effect of coatings on a commercial stainless steel for SOFC interconnect application in anode atmosphere
- auteur
- M.R. Ardigo, I. Popa, S. Chevalier, V. Parry, A. Galerie, P. Girardon, Frédéric Perry, R. Laucournet
- article
- ECS Transactions, 2013, 57 (1), pp.2301-2311
- Accès au bibtex
-
- titre
- Influence of Nb stabilization on the recovery and recrystallization kinetics of a ferritic stainless steel with soft magnetic properties for automotive applications
- auteur
- N. Meyer, M. Mantel, P.E. Dubois, M. Veron, Y. Brechet, O. Geoffroy
- article
- Rem : Revista Escola de Minas, 2013, 66 (2), pp.159-163. ⟨10.1590/S0370-44672013000200004⟩
- Accès au bibtex
-
- titre
- Peptides that form beta-sheets on hydrophobic surfaces accelerate surface-induced insulin amyloidal aggregation
- auteur
- L. Nault, C. Vendrely, Y. Brechet, F. Bruckert, M. Weidenhaupt
- article
- FEBS Letters, 2013, 587 (9), pp.1281-1286. ⟨10.1016/j.febslet.2012.11.036⟩
- Accès au bibtex
-
- titre
- Evaluation of Titanium Direct Bonding MechanismStudy of crystal-crucible detachment: GaSb in SiO2
- auteur
- F. Baudin, V. Delaye, C. Guedj, N. Chevalier, D. Mariolle, B. Imbert, J.M. Fabbri, L. Di Cioccio, Y. Brechet
- article
- ECS Journal of Solid State Science and Technology, 2013, 2 (5), pp.N115-N119. ⟨10.1149/2.015305jss⟩
- Accès au bibtex
-
- titre
- Parametric instability of a liquid metal sessile drop under the action of low-frequency alternating magnetic fields
- auteur
- Z.S. Lei, J.H. Guo, Y. Fautrelle, R. Ernst, J. Etay, Z.M. Ren
- article
- Journal of Hydrodynamics, 2013, 25 (2), pp.300-308
- Accès au bibtex
-
- titre
- Nucleation of recrystallization in fine-grained materials: an extension of the Bailey-Hirsch criterion
- auteur
- Julien Favre, D. Fabregue, Akihiko Chiba, Yves Béchet
- article
- Philosophical Magazine Letters, 2013, 93 (11), pp.631-639. ⟨10.1080/09500839.2013.833352⟩
- Accès au bibtex
-
- titre
- Precipitate growth in concentrated binary alloys: a comparison between kinetic Monte Carlo simulations, cluster dynamics and the classical theory
- auteur
- J. Lepinoux, C. Sigli
- article
- Philosophical Magazine, 2013, 93 (23), pp.3194-3215. ⟨10.1080/14786435.2013.805275⟩
- Accès au bibtex
-
- titre
- Comparative analysis of the structure of palladium-based bulk metallic glasses prepared by treatment of melts with flux
- auteur
- D.V. Louzguine-Luzgin, A.I. Bazlov, A.Y. Churyumov, K. Georgarakis, A.R. Yavari
- article
- Physics of the Solid State, 2013, 55 (10), pp.1985-1990. ⟨10.1134/s1063783413100211⟩
- Accès au bibtex
-
- titre
- A dislocation-based hardening model incorporated into an anisotropic hardening approach
- auteur
- M.G. Lee, J.W. Lee, J.J. Gracio, G. Vincze, E.F. Rauch, F. Barlat
- article
- Computational Materials Science, 2013, 79, pp.570-583. ⟨10.1016/j.commatsci.2013.05.056⟩
- Accès au bibtex
-
- titre
- Atomic emission spectroscopy method for mixing studies in high power thermal plasmas
- auteur
- J. Altenberend, G. Chichignoud, Y. Delannoy
- article
- Spectrochimica Acta Part B: Atomic Spectroscopy, 2013, 89, pp.93-102. ⟨10.1016/j.sab.2013.09.003⟩
- Accès au bibtex
-
- titre
- Structure of grains and grain boundaries in cryo-mechanically processed Ti alloy
- auteur
- Abhijeet Dasgupta, S. Murugesan, S. Saroja, M. Vijayalakshmi, M. Luysberg, M. Veron, E. Rauch, T. Jayakumar
- article
- Journal of Materials Science, 2013, 48 (13), pp.4592-4598. ⟨10.1007/s10853-013-7190-3⟩
- Accès au bibtex
-
- titre
- Analysis of the iodine gas phase produced by interaction of CsI and MoO3 vapours in flowing steam
- auteur
- M. Gouello, H. Mutelle, F. Cousin, S. Sobanska, E. Blanquet
- article
- Nuclear Engineering and Design, 2013, 263, pp.462 - 472. ⟨10.1016/j.nucengdes.2013.06.016⟩
- Accès au bibtex
-
- titre
- Evisto (R) a way to produce ultra-thin gravity die castings
- auteur
- D. Tomasevic, Y. Longa, A. da Silva, F. Molle, T. Carnevale, C. Bruyas, M. Suery
- article
- Revue de Métallurgie, 2013, 110 (2), pp.131-146. ⟨10.1051/metal/2012946⟩
- Accès au bibtex
-
- titre
- Percolation Model of the Temperature Dependence of Resistivity in Pr(0.67)A(0.33)MnO(3) (A = Ba or Sr) Manganites
- auteur
- S. Hcini, S. Khadhraoui, S. Zemni, A. Triki, H. Rahmouni, M Boudard, M. Oumezzine
- article
- Journal of Superconductivity and Novel Magnetism, 2013, 26 (6), pp.2181-2185. ⟨10.1007/s10948-012-1812-x⟩
- Accès au bibtex
-
- titre
- Human insulin adsorption kinetics, conformational changes and amyloidal aggregate formation on hydrophobic surfaces
- auteur
- L. Nault, P. Guo, B. Jain, Y. Brechet, F. Bruckert, M. Weidenhaupt
- article
- Acta Biomaterialia, 2013, 9 (2), pp.5070-5079. ⟨10.1016/j.actbio.2012.09.025⟩
- Accès au bibtex
-
- titre
- An investigation of hydrogen storage in a magnesium-based alloy processed by equal-channel angular pressing
- auteur
- A.M. Jorge, E. Prokofiev, G.F. de Lima, E. Rauch, M. Veron, W.J. Botta, M. Kawasaki, T. G. Langdon
- article
- International Journal of Hydrogen Energy, 2013, 20, pp.8306-8312. ⟨10.1016/j.ijhydene.2013.03.158⟩
- Accès au bibtex
-
- titre
- Prediction of the kink-pair formation enthalpy on screw dislocations in alpha-iron by a line tension model parametrized on empirical potentials and first-principles calculations
- auteur
- L. Proville, L. Ventelon, D. Rodney
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2013, 87 (14), ⟨10.1103/PhysRevB.87.144106⟩
- Accès au bibtex
-
- titre
- Thermodynamic assessment of oxygen diffusion in non-stoichiometric UO2 +/- x from experimental data and Frenkel pair modeling
- auteur
- C. Berthinier, C. Rado, C. Chatillon, F. Hodaj
- article
- Journal of Nuclear Materials, 2013, 433, pp.1-3. ⟨10.1016/j.jnucmat.2012.09.011⟩
- Accès au bibtex
-
- titre
- Phase-specific high temperature creep behaviour of a pre-rafted Ni-based superalloy studied by X-ray synchrotron diffraction
- auteur
- L. Dirand, Alain Jacques, J. Ph. Chateau-Cornu, Thomas Schenk, Olivier Ferry, P. Bastie
- article
- Philosophical Magazine, 2013, 93 (10-12, SI), pp.1384-1412. ⟨10.1080/14786435.2012.746794⟩
- Accès au bibtex
-
- titre
- Mechanical and structural characterization of nonsintered and sintered steel wools by x-ray tomography: Description of the techniques and validation on virtual materials
- auteur
- J.P. Masse, C. Barbier, L. Salvo, Y. Brechet, O. Bouaziz, D. Bouvard
- article
- Journal of Materials Research, 2013, 28 (20), pp.2852-2860. ⟨10.1557/jmr.2013.280⟩
- Accès au texte intégral et bibtex
-
- titre
- A portable transfer chamber for electrochemical measurements on electrodes prepared in ultra-high vacuum
- auteur
- M. El-Jawad, J.L. Chemin, B. Gilles, F. Maillard
- article
- Review of Scientific Instruments, 2013, 84 (6), ⟨10.1063/1.4809936⟩
- Accès au bibtex
-
- titre
- High temperature chemical vapor deposition of aluminum nitride, growth and evaluation
- auteur
- M. Pons, R. Boichot, N. Coudurier, A. Claudel, E. Blanquet, S. Lay, Florian Mercier, D. Pique
- article
- European Conference on Chemical Vapor Deposition, EUROCVD19, 2013, Varna, Bulgaria
- Accès au bibtex
-
- titre
- Wetting in processing of photovoltaic quality Si
- auteur
- N. Eustathopoulos, B. Drevet, D. Camel
- article
- EUROMAT 2013, 2013, Seville, Spain
- Accès au bibtex
-
- titre
- Precipitate-dislocation interactions in Aluminium alloys: beyond a simple shearing to by-passing transition
- auteur
- A. Deschamps
- article
- THermec 2013, 2013, Las Vegas, United States
- Accès au bibtex
-
- titre
- Water Vapor Effects in High Temperature Oxidation
- auteur
- Y. Wouters, B. Pint, D. Monceau
- article
- Oxidation of Metals, 2013, 79 (5-6), pp.443-444. ⟨10.1007/s11085-012-9341-3⟩
- Accès au bibtex
-
- titre
- Experiments and Modeling of Low Carbon Steel Sheet Subjected to Double Strain Path Changes
- auteur
- G. Vincze, F. Barlat, E.F. Rauch, C.N. Tome, M.C. Butuc, J.J. Gracio
- article
- Metallurgical and Materials Transactions A, 2013, 44A (10), pp.4475-4479. ⟨10.1007/s11661-013-1895-4⟩
- Accès au bibtex
-
- titre
- Orientation and phase mapping in the transmission electron microscope using precession-assisted diffraction spot recognition: state-of-the-art results
- auteur
- D. Viladot, M. Veron, M. Gemmi, F. Peiro, J. Portillo, S. Estrade, J. Mendoza, N. Llorca-Isern, S. Nicolopoulos
- article
- Journal of Microscopy, 2013, 252 (1), pp.23-34. ⟨10.1111/jmi.12065⟩
- Accès au bibtex
-
- titre
- Study of instabilities and quasi-two-dimensional turbulence in volumetrically heated magnetohydrodynamic flows in a vertical rectangular duct
- auteur
- N. Vetcha, S. Smolentsev, M. Abdou, R. Moreau
- article
- Physics of Fluids, 2013, 25 (2), ⟨10.1063/1.4791605⟩
- Accès au bibtex
-
- titre
- Architectured materials: Expanding materials space
- auteur
- Y. Brechet, J.D. Embury
- article
- Scripta Materialia, 2013, 68 (1), pp.1-3. ⟨10.1016/j.scriptamat.2012.07.038⟩
- Accès au texte intégral et bibtex
-
- titre
- An updated thermodynamic modeling of the Ga-Ti system Original Research Article
- auteur
- Liu Ya, Jean-Claude Tedenac, X.P. Su, Catherine Colinet, J.H. Wang
- article
- Calphad, 2013, pp.1. ⟨10.1016/j.calphad.2013.02.003⟩
- Accès au bibtex
-
- titre
- Effects of the V/III ratio on the quality of aluminum nitride grown on (0001) sapphire by high temperature hydride vapor phase epitaxy
- auteur
- N. Coudurier, R. Boichot, V. Fellmann, A. Claudel, E. Blanquet, A. Crisci, S. Coindeau, D. Pique, M. Pons
- article
- physica status solidi (c), 2013, 3, pp.362-365. ⟨10.1002/pssc.201200686⟩
- Accès au bibtex
-
- titre
- Structural stability of ternary C22-Zr6X2Co (X=Al, Ga, Sn, As, Sb, Bi, Te) and C22-Zr6Sn2T′ (T′=Fe, Co, Ni, Cu) compounds
- auteur
- Colinet Catherine, Jean-Claude Crivello, Jean-Claude Tedenac
- article
- Journal of Solid State Chemistry, 2013, 205, pp.217-224. ⟨10.1016/j.jssc.2013.07.017⟩
- Accès au bibtex
-
- titre
- Influence of microstructure on local intra- and intergranular deformations during creep of a nickel-based superalloy at 700 degrees C
- auteur
- K. Thibault, D. Locq, P. Caron, D. Boivin, Y. Renollet, Y. Brechet
- article
- Materials Science and Engineering: A, 2013, 588, pp.14-21. ⟨10.1016/j.msea.2013.09.015⟩
- Accès au bibtex
-
- titre
- High temperature deformation in a lanthanum based bulk metallic glass showing a pronounced secondary relaxation
- auteur
- J.C. Qiao, Jean-Marc Pelletier, J.J. Blandin, S. Gravier
- article
- Materials Science and Engineering: A, 2013, 586, pp.57-61. ⟨10.1016/j.msea.2013.07.080⟩
- Accès au bibtex
-
- titre
- Mechanical resistance of patterned BCB bonded joints for MEMS packaging
- auteur
- C. Cuminatto, M. Braccini, G. Schelcher, G. Parry, F. Parrain
- article
- Microelectronic Engineering, 2013, 111, pp.39-44. ⟨10.1016/j.mee.2013.05.012⟩
- Accès au bibtex
-
- titre
- A Possible Mechanism for Protrusions Formation at the Metal/Oxide Interface During Short Time Oxidation of Ferritic Stainless Steel
- auteur
- J. Issartel, R. Estevez, G. Parry, V. Parry, S. Martoia, Y. Wouters
- article
- Oxidation of Metals, 2013, 79 (1-2), pp.65-72. ⟨10.1007/s11085-012-9326-2⟩
- Accès au bibtex
-
- titre
- Installation de cristallisation du silicium
- auteur
- K. Zaidat, Y. Du Terrail, Y. Delannoy
- article
- France, N° de brevet: FR2976594 (A1). EPM. 2012
- Accès au bibtex
-
- titre
- Modélisation de la microstructure des grains dans le silicium multicristallin pour le photovoltaïque
- auteur
- Amal Nadri
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI090⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamic simulations Applied to Gas-Solid Materials Fabrication Processes
- auteur
- E. Blanquet, I. Nuta, R. Boichot, M. Pons
- article
- Twenty Fourth National Seminar on crystal growth, Dec 2012, Chennai, India
- Accès au bibtex
-
- titre
- Influence de la microstruture sur le glissement intergranulaire lors du fluage d'un superalliage pour disques
- auteur
- Kevin Thibault
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI038⟩
- Accès au texte intégral et bibtex
-
- titre
- High Temperature Chemical Vapor Deposition of thick (5 to 20 mm) Aluminum nitride layers
- auteur
- E. Blanquet, A. Claudel, S. Lay, R. Boichot, N. Coudurier, Alexandre Crisci, M. Pons, M. Balaji, K. Baskar
- article
- International Workshop on crystal growth and characterization of advanced materials and devices., Dec 2012, Chennai, India
- Accès au bibtex
-
- titre
- Adhérence d'une interface structurée polymère / silicium pour l'encapsulation par transfert de film : caractérisation expérimentale et modélisation
- auteur
- Coraly Cuminatto
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI088⟩
- Accès au texte intégral et bibtex
-
- titre
- Cinétique de la purification par plasma de silicium pour cellules photovoltaïques : étude expérimentale par spectrométrie Kinetics of the plasma refining process of silicon for solar cells
- auteur
- Jochen Altenberend
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI082⟩
- Accès au texte intégral et bibtex
-
- titre
- Comportement mécanique de soudures en alliage d’aluminium de la série 7xxx : de la microstructure à la modélisation de la rupture
- auteur
- Quentin Puydt
- article
- Matériaux. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI068⟩
- Accès au texte intégral et bibtex
-
- titre
- Comportement mécanique de soudures en alliage d'aluminium de la série 7xxx : de la microstructure à la modélisation de la rupture
- auteur
- Quentin Puydt
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2012. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- HTCVD of silicon on steels for the development of inexpensive solar cells
- auteur
- N. Dechoux, M. Naili, G. Chichignoud, E. Blanquet, Carmen Jiménez, B. Doisneau, M. Braccini, K. Zaidat, M. Pons
- article
- 5th International Conference on Functional Materials, ISFM2012, Dec 2012, Perth, Australia. pp.28-30
- Accès au bibtex
-
- titre
- Transferts de masse aux interfaces agitées électromagnétiquement : application au retraitement de déchets nucléaires
- auteur
- Cyril Courtessole
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI098⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence du niobium sur la microstructure et les propriétés d'usage des aciers inoxydables austéno-ferritiques (duplex)
- auteur
- Christophe Baumhauer
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI087⟩
- Accès au texte intégral et bibtex
-
- titre
- Combustible nucléaire UO2 à microstructures pilotées : compréhension des mécanismes d'élaboration et du comportement mécanique en température
- auteur
- Abibatou Ndiaye
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI084⟩
- Accès au texte intégral et bibtex
-
- titre
- Quantum zero-point effect on the Peierls stress.
- auteur
- D. Rodney, L. Proville
- article
- Materials Research Society, Nov 2012, BOSTON, United States
- Accès au bibtex
-
- titre
- Sélection d'un précurseur pour l'élaboration de couches atomiques de cuivre : application à l'intégration 3D
- auteur
- Thomas Prieur
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI097⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation photoélectrochimique des oxydes formés sur alliages base nickel en milieu primaire des réacteurs à eau pressurisée
- auteur
- Abdelhalim Loucif
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI033⟩
- Accès au texte intégral et bibtex
-
- titre
- 3D in situ imaging of Aluminium alloys during solidification
- auteur
- L. Salvo, P. Lhuissier, M. Scheel, M. Di Michiel, E. Boller, S. Terzi, A.K. Dahle, M. Suéry
- article
- International Conference on Solidification Science and Processing, Nov 2012, Bhubaneswar, India
- Accès au bibtex
-
- titre
- Optimisation du couple revêtement anti-adhérent / matériau de creuset pour la cristallisation du silicium photovoltaïque - Application au moulage direct des wafers de Si
- auteur
- Charles Huguet
- article
- Physique [physics]. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI072⟩
- Accès au texte intégral et bibtex
-
- titre
- Microtomographie in situ appliquée à la déformation et la solidification d'alliages d'aluminium
- auteur
- Bastien Mireux
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI081⟩
- Accès au texte intégral et bibtex
-
- titre
- Interplay between non-bridging oxygen, triclusters, and fivefold Al coordination in low silica content calcium aluminosilicate melts
- auteur
- N. Jakse, M. Bouhadja, J. Kozaily, J. Drewitt, L. Hennet, D. Neuville, H. Fischer, V. Cristiglio, Alain Pasturel
- article
- Applied Physics Letters, 2012, 101 (20), ⟨10.1063/1.4766920⟩
- Accès au texte intégral et bibtex
-
- titre
- GISAXS study of directed self-assembly of block copolymers
- auteur
- M. Maret, R. Tiron, X. Chevalier, P. Gergaud, J. Dubray, A. Gharbi, C. Lappeyre, J. Pradelles, G. Fleury, G. Hadziioannou, Nathalie Boudet, C. Navarro
- article
- GISAS2012, Satellite Meeting to SAS2102, Nov 2012, France
- Accès au bibtex
-
- titre
- Elaboration et maitrise de la structure d'une cellule de pile à combustible à base de zircone scandiée.
- auteur
- Thibault Reynier
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI055⟩
- Accès au texte intégral et bibtex
-
- titre
- Sintered hollow spheres random stackings : mechanical properties and acoustic damping optimization
- auteur
- A. Fallet, P. Lhuissier, L. Salvo, C.L. Martin, A. Wiegmann, M. Kabel
- article
- CELLMET, Nov 2012, Dresde, Germany
- Accès au bibtex
-
- titre
- Chimie de l'iode et composition des aérosols dans le circuit primaire d'un réacteur nucléaire en situation d'accident grave
- auteur
- Mélany Gouello
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI048⟩
- Accès au texte intégral et bibtex
-
- titre
- Photoelectrochemical techniques for analysing high temperature corrosion scales
- auteur
- A. Galerie, Y. Wouters, J.P. Petit
- article
- Annual Meeting of the Swedish High Temperature Corrosion Centre, Nov 2012, STOCKHOLM, Sweden
- Accès au bibtex
-
- titre
- Some steps on the way to numerical simulation of grain structure in photovoltaic Si ingots.
- auteur
- T. Duffar, A. Nadri, Y. Du Terrail Couvat, S. Epure
- article
- 7th Int. Workshop Modelling Crystal Growth, Oct 2012, Tapei, Taiwan
- Accès au bibtex
-
- titre
- On the reprocessing of salts for the GEN IV molten salt reactor.
- auteur
- J. Etay
- article
- 2012 MHD International Symposium at UCAS, Oct 2012, Beijing, China
- Accès au bibtex
-
- titre
- Effet de la cristallographie sur les premiers stades de l'oxydation des aciers austénitiques 316 L
- auteur
- Romain Soulas
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI091⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude des mécanismes d'oxydation et de frittage de poudres de silicium en vue d'applications photovoltaïques
- auteur
- Jean-Marie Lebrun
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI054⟩
- Accès au texte intégral et bibtex
-
- titre
- Investigating performance variations of an optimized GPU-ported granulometry algorithm
- auteur
- Vincent Boulos, Vincent Fristot, Dominique Houzet, Luc Salvo, P. Lhuissier
- article
- DASIP 2012 - Conference on Design and Architectures for Signal and Image Processing, Oct 2012, Karlsruhe, Germany. pp.1-6
- Accès au texte intégral et bibtex
-
- titre
- Thermo-electric motions and structures generated by magnetic fields during the solidification of metallic alloys.
- auteur
- Xiaojian Li, Z.M. Ren, A. Gagnoud, O. Budenkova, A. Bojarevics, Y. Fautrelle
- article
- EPM2012, Oct 2012, Beijing, China
- Accès au bibtex
-
- titre
- Thermally-activated glide of dislocations at the atomic scale.
- auteur
- D. Rodney, L. Proville
- article
- Institute for Pure and Applied Mathematics, UCLA, Oct 2012, LOS ANGELES, United States
- Accès au bibtex
-
- titre
- Numerical Description of Interface, Flows in an Electromagnetic Process Devoted to Liquid/Liquid Extraction. (Keynote)
- auteur
- C. Courtesolle, J. Etay
- article
- Conference EPM2012, Oct 2012, Beijjing, China
- Accès au bibtex
-
- titre
- Phonons and phasons: atomic dynamics
- auteur
- M. de Boissieu
- article
- Quasicrystal Today, Oct 2012, GRENOBLE, France
- Accès au bibtex
-
- titre
- Tensile stress relaxation and recovery behavior of a cross-linked EPDM rubber matrix loaded with different fillers
- auteur
- Françoise Ehrburger-Dolle, Isabelle Morfin, Françoise Bley, Frédéric Livet, Gert Heinrich, Luc Piché, Mark Sutton
- article
- Macromolecules, 2012, pp.8691−8701. ⟨10.1021/ma3013674⟩
- Accès au texte intégral et bibtex
-
- titre
- Efficient implementation of data flow graphs on multi-gpu clusters
- auteur
- Vincent Boulos, Sylvain Huet, Vincent Fristot, Luc Salvo, Dominique Houzet
- article
- Journal of Real-Time Image Processing, 2012, Special issue, ⟨10.1007/s11554-012-0279-0⟩
- Accès au texte intégral et bibtex
-
- titre
- Gauging contact line friction of droplets: In situ measurement within a digital microsystem
- auteur
- Johannes Theisen, Laurent Davoust
- article
- Microelectronic Engineering, 2012, 98, pp.680-683. ⟨10.1016/j.mee.2012.06.018⟩
- Accès au texte intégral et bibtex
-
- titre
- The structural state of severely deformed materials analysed with a transmission electron microscope.
- auteur
- E. Rauch, M. Véron
- article
- International Symposium on Plastic Deformation and Texture Analysis, Sep 2012, ALCOY, Spain
- Accès au bibtex
-
- titre
- High temperature deformation and damage in magnesium alloys.
- auteur
- P. Lhuissier, L. Salvo, E. Boller, J.J. Blandin
- article
- International Symposium on Plastic Deformation and Texture Analysis, Sep 2012, ALCOY, Spain
- Accès au bibtex
-
- titre
- Systèmes inductifs pouvant servir de creuset froid
- auteur
- M. Dumont, R. Ernst, P. Petipas, C. Garnier
- article
- France, Patent n° : FR2972890 (A1). EPM. 2012
- Accès au bibtex
-
- titre
- Applications of Precession Electron Diffraction
- auteur
- M. Véron, E.F. Rauch
- article
- EMC2012 satellite school, Sep 2012, MANCHESTER, United Kingdom
- Accès au bibtex
-
- titre
- Etude par dynamique moléculaire de l'alliage eutectique Au-Si en volume et en interaction avec un substrat de silicium
- auteur
- Thi Le Thuy Nguyen
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI015⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamics and kinetics of interfacial reactions in high temperature systems.
- auteur
- F. Hodaj
- article
- Global Centre of Excellence for Mechanical Systems Innovation, Sep 2012, Japan
- Accès au bibtex
-
- titre
- Boron extraction from solar silicon with reactive gas flows - Invited conference.
- auteur
- Y. Delannoy
- article
- NTNU Trondheim, Sep 2012, TRONDHEIM, Norway
- Accès au bibtex
-
- titre
- Defect and phase stability of solid solutions of Mg2X with an antifluorite structure: An ab initio study
- auteur
- Romain Viennois, Philippe Jund, Catherine Colinet, Jean-Claude Tedenac
- article
- Journal of Solid State Chemistry, 2012, 193, pp.133-136. ⟨10.1016/j.jssc.2012.04.048⟩
- Accès au bibtex
-
- titre
- Concluding remarks.
- auteur
- M. de Boissieu
- article
- Aperiodic 2012, Sep 2012, CAIRNS, Australia
- Accès au bibtex
-
- titre
- Factors governing interfaces in high temperature brazing.
- auteur
- F. Hodaj
- article
- 4th Int. Conf. Characterization & Control of Interfaces for High Quality Adv. Mat. (ICCI 2012),, Sep 2012, Kurashiki, Japan
- Accès au bibtex
-
- titre
- Chemistry of iodine and aerosol composition in the primary circuit of a nuclear power plant
- auteur
- M. Gouello, H. Mutelle, F. Cousin, S. Sobanska, E. Blanquet
- article
- 21st International Conference Nuclear Energy for Europe, Sep 2012, Lubiana, Slovenia
- Accès au bibtex
-
- titre
- Origin and development of grain and twin boundaries in PV Silicon.
- auteur
- T. Duffar
- article
- 7th Int. Conf. Adv. Mat., ROCAM 2012, Aug 2012, Brasov, Romania
- Accès au bibtex
-
- titre
- Capillarity aspects of bulk crystal growth.
- auteur
- T. Duffar
- article
- Int. Summer School Crystal Growth and Photovoltaic Materials, Aug 2012, Brasov, Romania
- Accès au bibtex
-
- titre
- Understanding thermally-activated glide of dislocations using atomic simulations.
- auteur
- D. Rodney, L. Proville
- article
- Dislocations 2012, Aug 2012, BUDAPEST, Hungary
- Accès au bibtex
-
- titre
- Tetrahedron dynamics in the ZnSc 1/1 approximant to the quasicrystal
- auteur
- H. Euchner, M. de Boissieu
- article
- European Crystallographic Meeting, Aug 2012, BERGEN, Norway
- Accès au bibtex
-
- titre
- Structure adaptée à la formation de cellules solaires
- auteur
- G. Chichignoud, E. Blanquet, I. Gelard, Carmen Jiménez, E. Sarigiannidou, K. Zaidat, F. Weiss, M. Pons
- article
- France, N° de brevet: FR2971086 (A1). EPM. 2012
- Accès au bibtex
-
- titre
- Controlling Adherence
- auteur
- Thomas Pardoen, Olivier Dezellus, Muriel Braccini
- article
- Mechanics of Solid Interfaces, John Wiley & Sons, Inc., pp.135-187, 2012, ⟨10.1002/9781118561669.ch5⟩
- Accès au bibtex
-
- titre
- Diagnosis and management of melanoma with regional lymph node metastases: a population-based study in France.
- auteur
- C. Lévy-Sitbon, C. Barbe, F. Granel-Brocard, D. Lipsker, François Aubin, S. Dalac, F. Truchetet, Christine Michel, A. Mitschler, G. Arnoult, A. Le Clainche, S. Dalle, P. Bernard, F. Grange
- article
- Journal of the European Academy of Dermatology and Venereology, 2012, epub ahead of print. ⟨10.1111/j.1468-3083.2012.04652.x⟩
- Accès au bibtex
-
- titre
- ASTAR : TEM automated orientation and phase mapping tool
- auteur
- E.F. Rauch, M. Veron
- article
- M&M 2012, AppFive workshop, Jul 2012, TEMPE, AZ, United States
- Accès au bibtex
-
- titre
- The role of general practitioners in diagnosis of cutaneous melanoma: a population-based study in France.
- auteur
- F. Grange, C. Barbe, L. Mas, F. Granel-Brocard, D. Lipsker, François Aubin, M. Velten, S. Dalac, F. Truchetet, Christine Michel, A. Mitschler, G. Arnoult, A. Buemi, S. Dalle, G. Reuter, P. Bernard, A. S. Woronoff, F. Arnold
- article
- British Journal of Dermatology, 2012, epub ahead of print. ⟨10.1111/j.1365-2133.2012.11178.x⟩
- Accès au bibtex
-
- titre
- Phase stability of ternary antifluorite type compounds in the quasi-binary systems Mg2X-Mg2Y (X,Y=Si, Ge, Sn) via ab-initio calculations.
- auteur
- Romain Viennois, Catherine Colinet, Philippe Jund, Jean-Claude Tedenac
- article
- Intermetallics, 2012, 31C, pp.145-151. ⟨10.1016/j.intermet.2012.06.016⟩
- Accès au bibtex
-
- titre
- Ultra-fast X-ray microtomography : a breakthrough in materials science
- auteur
- L. Salvo, P. Lhuissier, M. Scheel, M. Di Michiel, J. Adrien, E. Maire, M. Suéry, J.J. Blandin
- article
- ICMMP International Conference on Metallic Materials and Processing, Jul 2012, BRISBANE, Australia
- Accès au bibtex
-
- titre
- Ultra Fast Tomography: New Developments for 4D Studies in Material Science.
- auteur
- P. Lhuissier, M. Scheel, M. Di Michiel, E. Boller, E. Maire, L. Salvo, J.J. Blandin, M. Suery
- article
- International Conference on 3D Materials Science 2012, Jul 2012, Seven Springs, United States
- Accès au bibtex
-
- titre
- Analysis of a numerical benchmark for columnar solidification of binary alloys
- auteur
- H Combeau, M Bellet, Y Fautrelle, D Gobin, E Arquis, O Budenkova, B Dussoubs, Y Du Terrail, A Kumar, Ch -A Gandin, B Goyeau, S Mosbah, Thibault Quatravaux, M Rady, M Založnik
- article
- IOP Conference Series: Materials Science and Engineering, 2012, 33, pp.012086. ⟨10.1088/1757-899X/33/1/012086⟩
- Accès au bibtex
-
- titre
- 4D damage characterisation during superplastic deformation of magnesium alloys.
- auteur
- P. Lhuissier, M. Scheel, L. Salvo, E. Boller, M. Di Michiel, J.J. Blandin
- article
- Intern. Conf. on Superplasticity of Advanced Materials (ICSAM), Jul 2012, ALBI, France
- Accès au bibtex
-
- titre
- Application of discrete element simulation to the crushing of a food biopolymer foam for mastication modelling
- auteur
- Lotfi L. Hedjazi, Christophe L. Martin, Sofiane Guessasma, Guy G. Della Valle, Rémy Dendievel
- article
- 11. Biennial Conference on Engineering Systems Design and Analysis (ESDA2012), Jul 2012, Nantes, France
- Accès au bibtex
-
- titre
- Etude des effets d'échelle sur le comportement mécanique de film mince en verre métallique
- auteur
- Antoine Volland
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI073⟩
- Accès au texte intégral et bibtex
-
- titre
- Deposition of nanostructures by Atomic Layer Deposition
- auteur
- E. Blanquet, A. Mantoux, R. Boichot, M. Pons
- article
- International Workshop−CVD−Diagnostic−Modeling, Jun 2012, Nancy, France
- Accès au bibtex
-
- titre
- From ab initio calculations to Calphad modelling
- auteur
- Catherine Colinet
- article
- 4th APDIC World Round-Robin Seminar, Jun 2012, France
- Accès au bibtex
-
- titre
- Metallic Glasses - from Macroscopic to Microscopic
- auteur
- A.R. Yavari
- article
- ISMANAM-2012, Jun 2012, Moscou, Russia
- Accès au bibtex
-
- titre
- Etching suspended superconducting hybrid junctions from a multilayer
- auteur
- Hung Q. Nguyen, Laëtitia Pascal, Zhihui Peng, Olivier Buisson, B. Gilles, Clemens Winkelmann, Hervé Courtois
- article
- Applied Physics Letters, 2012, 100, pp.252602. ⟨10.1063/1.4729779⟩
- Accès au texte intégral et bibtex
-
- titre
- Analysis of a numerical benchmark for columnar solidification of binary alloys
- auteur
- Hervé Combeau, Michel Bellet, Yves Fautrelle, Dominique Gobin, Eric Arquis, Olga Budenkova, Bernard Dussoubs, Yves Duterrail, Arvind Kumar, Charles-André Gandin, Benoit Goyeau, Salem Mosbah, Thibault Quatravaux, Mohamed Rady, Miha Zaloznik
- article
- MCWASP XIII, 13th Int. Conference on Modelling of Casting, Welding and Advanced Solidification Processes, Jun 2012, Schladming, Austria. 012086 - 13 p
- Accès au texte intégral et bibtex
-
- titre
- Novel EBSD-TEM like technique: texture analysis, orientation and phase maps on nanostructured materials
- auteur
- S. Nicolopoulos, E.F. Rauch, M. Véron, B. Beausir
- article
- Proceedings of 10th EMAS Regional Workshop, Jun 2012, PRADUA, Italy
- Accès au bibtex
-
- titre
- Thermal phenomena in processes with liqui6solid phase changes.
- auteur
- F. Baltaretu, O. Budenkova, M. Dumont, A. Ciobanas, A. M. Bianchi, Y. Fautrelle
- article
- 6th Colloquium on Energy-Environment-Economy and Thermodynamics COFRET 2012, Jun 2012, Sozopol, Bulgaria
- Accès au bibtex
-
- titre
- Modélisation multi-échelle des phénomènes de croissance
- auteur
- N. Jakse, A. Pasturel
- article
- Ecole Thématique Revêtements 3D, Jun 2012, Autrans, France
- Accès au bibtex
-
- titre
- Electronics and Defects of Oxide Films Grown on Metals
- auteur
- A. Galerie, Y. Wouters, J.P. Petit
- article
- QMN-3, Quantitative Micro-Nano Approach to Predicting SCC of Fe-Cr-Ni Alloys, Jun 2012, Idaho, United States
- Accès au bibtex
-
- titre
- Phonon and phasons in quasicrystals
- auteur
- M. de Boissieu
- article
- Workshop in the honnor of J.W. Cahn, Jun 2012, PARIS, France
- Accès au bibtex
-
- titre
- Imagerie multi-résolution par tomographie aux rayons X : application à la tomographie locale en science des matériaux
- auteur
- Tao Zhang
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI020⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of the Oxygen Partial Pressure on the High Temperature Corrosion of A 38Ni-34Fe-25Cr Steel in Presence of NaCl Salt
- auteur
- L. Couture, Francois Ropital, François Grosjean, J. Kittel, V. Parry, Y. Wouters
- article
- International Conference on high temperature corrosion and protection of metals (HTCPM), May 2012, Les Embiez, France
- Accès au texte intégral et bibtex
-
- titre
- Photo-electrochemistry of oxidation layers: a novel approach to analyze photocurrent energy spectra
- auteur
- J.P. Petit, Y. Wouters
- article
- 8th International Symposium on High-Temperature Corrosion and Protection of Materials, May 2012, LES EMBIEZ, France
- Accès au bibtex
-
- titre
- Production of polycristalline silicon by natural sintering for photovoltaic applications
- auteur
- J.M. Lebrun, J.M. Missiaen, C. Pascal, J.P. Garandet, F. Servant
- article
- France, Patent n° : FR2966287 (A1). GPM2. 2012
- Accès au bibtex
-
- titre
- Method for removing a part made of a material having a glass-transition tempertaure from a mold, and molding machine
- auteur
- S. Gravier, G. Kapelski, J.J. Blandin, C. Josserond
- article
- France, Patent n° : FR2976208 (A1). GPM2. 2012
- Accès au bibtex
-
- titre
- Advances in martensitic transformations in Cu-based shape memory alloys achieved by in situ neutron and synchrotron X-ray diffraction methods
- auteur
- Benoit Malard, Petr Sittner, Sophie Berveiller, Etienne Patoor
- article
- Comptes Rendus. Physique, 2012, vol. 13, pp. 280-292. ⟨10.1016/j.crhy.2011.12.003⟩
- Accès au texte intégral et bibtex
-
- titre
- A low profile multilayer seventh order band pass frequency selective surface (FSS) for multimeter-waves applications
- auteur
- Tao Zhang, Habiba Hafdallah Ouslimani
- article
- Proc. of IEEE Wireless and Microwave Technology Conference (WAMICON), Cocoa Beach, FL, April 2012, Apr 2012, Cocoa Beach, United States
- Accès au bibtex
-
- titre
- Procédé de gravure d'un matériau en présence d'un gaz
- auteur
- Francis Baillet, N. Gondrexon
- article
- N° de brevet: EP2432586 (A1). TOP. 2012
- Accès au bibtex
-
- titre
- Method for etching a material in the presence of a gas
- auteur
- Francis Baillet, N. Gondrexon
- article
- France, Patent n° : EP2432586 (A1). TOP. 2012
- Accès au bibtex
-
- titre
- Method for etching a material in the presence of a solid particles
- auteur
- Francis Baillet, N. Gondrexon
- article
- France, Patent n° : EP2433297 (A1). TOP. 2012
- Accès au bibtex
-
- titre
- Procédé de gravure d'un matériau en présence de particules
- auteur
- Francis Baillet, N. Gondrexon
- article
- N° de brevet: EP2433297 (A1). TOP. 2012
- Accès au bibtex
-
- titre
- ASTAR nanoscale TEM orientation / phase maps
- auteur
- E.F. Rauch, M. Veron
- article
- ASTAR Workshop, Mar 2012, KIT, Germany
- Accès au bibtex
-
- titre
- Interfaces in brazing: wetting and reactivity.
- auteur
- F. Hodaj
- article
- Int. Conf. High Temp. Capillarity (HTC 2012), Mar 2012, Eilat, Israel
- Accès au bibtex
-
- titre
- Thermodynamics and kinetics of interfacial reactions in microelectronic packaging
- auteur
- F. Hodaj
- article
- 21th Mater. for Adv. Metallization Workshop (MAM), Mar 2012, Grenoble, France
- Accès au bibtex
-
- titre
- TEM Deformation Maps : Microstructure & Mechanical Behavior
- auteur
- M. Veron, E.F. Rauch
- article
- TMS 2012, A symposium in Memory of Patrick Veyssière, Mar 2012, ORLANDO, Florida, United States
- Accès au bibtex
-
- titre
- Potential Energy Landscape of Glasses: Distributions of Activation Energies.
- auteur
- D. Rodney, P. Koziatek, P. Derlet, J.L. Barrat
- article
- Volumes and Attempt Frequencies, The Minerals, Metals & Materials Society (TMS), Mar 2012, ORLANDO, United States
- Accès au bibtex
-
- titre
- 3-Dimensional, Solder-Free Interconnect Technology for high-Performance Power Modules
- auteur
- Bassem Mouawad, Cyril Buttay, Maher Soueidan, Hervé Morel, Bruno Allard, D. Fabregue, Vincent Bley
- article
- 7th CIPS, Mar 2012, Nuremberg, Germany. pp.433-438
- Accès au texte intégral et bibtex
-
- titre
- Fundamental issues of brazing and soldering: wetting and interfacial reactions
- auteur
- F. Hodaj
- article
- Int. Conf. Diffusion, Stress, Segregation and React,, Mar 2012, Kiev-Cherkassy, Ukraine
- Accès au bibtex
-
- titre
- Experimental analysis of the solidification of Sn-3 wt.%Pb alloy under natural convection
- auteur
- Lakhdar Hachani, Bachir Saadi, Xiao Dong Wang, Abdallah Nouri, Kader Zaidat, Aissa Belgacem-Bouzida, Linda Ayouni-Derouiche, Gaëtan Raimondi, Yves Fautrelle
- article
- International Journal of Heat and Mass Transfer, 2012, 55 (7-8), pp.1986-1996. ⟨10.1016/j.ijheatmasstransfer.2011.11.054⟩
- Accès au bibtex
-
- titre
- Glass Transition Temperatures Tg as measured by X-ray Diffraction
- auteur
- A.R. Yavari
- article
- 5th DMGT 2012 - M. Tokuyama, Feb 2012, Japan
- Accès au bibtex
-
- titre
- Introduction to ASTAR technique
- auteur
- M. Veron, E.F. Rauch
- article
- ASTAR Workshop, Feb 2012, France
- Accès au bibtex
-
- titre
- Comportement des aciers inoxydables ferritiques stabilisés lors des étapes de recuit et décapage
- auteur
- Jérôme Issartel
- article
- Autre. Université de Grenoble, 2012. Français. ⟨NNT : 2012GRENI002⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-fast X-ray microtomography : a breakthrough in materials science
- auteur
- L. Salvo, P. Lhuissier, M. Scheel, M. Di Michiel, J. Adrien, E. Maire, M. Suéry, J.J. Blandin
- article
- ESRF User Meeting, Feb 2012, GRENOBLE, France
- Accès au bibtex
-
- titre
- Exploring the Potential Energy Landscape of Materials: from Defected Crystals to Metallic Glasses.
- auteur
- D. Rodney
- article
- JA Krumhansl Symposium 2012, Feb 2012, BANGALORE, India
- Accès au bibtex
-
- titre
- Raman investigation of pre- and post-breakaway oxide scales formed on Zircaloy-4 and M5® in air at high temperature
- auteur
- I. Idarraga, M. Mermoux, C. Duriez, A. Crisci, J.P. Mardon
- article
- Journal of Nuclear Materials, 2012, 421 (1-3), pp.160-171. ⟨10.1016/j.jnucmat.2011.11.071⟩
- Accès au bibtex
-
- titre
- Internal stresses in steel plate generated by shape memory alloy inserts
- auteur
- Benoit Malard, Jan Pilch, Petr Sittner, Vadim Davydov, Petr Sedlák, K. Konstantinidis, Darren J. Hughes
- article
- Acta Materialia, 2012, 60, pp.1378-1394. ⟨10.1016/j.actamat.2011.10.024⟩
- Accès au texte intégral et bibtex
-
- titre
- Amorphous metal alloy
- auteur
- M. Alerrf, G. Konstantinos, G. Thomas, A. Le Moulec, N. Vicent Von, A.R. Yavari
- article
- France, Patent n° : WO2012010940 (A2). TOP. 2012
- Accès au bibtex
-
- titre
- Watch-making or clock-making component comprising an amorphous metal alloy
- auteur
- M. Aljerf, K. Georgarakis, Th. Gyger, A. Le Moulec, V. von Niederhäusern, A. Yavari
- article
- United States, Patent n° : WO 2012010941 A1. TOP. 2012
- Accès au bibtex
-
- titre
- Crack initiation in fatigue : what did we learn from discrete dislocation dynamics simulations?
- auteur
- C. Déprés, C. Robertson, M. Fivel
- article
- 6th international Conference on Creep, Fatigue and Creep-Fatigue Interaction (CF-6), Jan 2012, Mahabalipuram, India
- Accès au bibtex
-
- titre
- A SIMPLE ANALYTICAL MODEL OF ASYMMETRIC ROLLING
- auteur
- Anouar Halloumi, Christophe Desrayaud, Brigitte Bacroix, E. Rauch, Frank Montheillet
- article
- Archives of Metallurgy and Materials, 2012, 57 (2), pp.425-435. ⟨10.2478/v10172-012-0042-3⟩
- Accès au bibtex
-
- titre
- HerMES: point source catalogues from deep Herschel-SPIRE observations
- auteur
- A. J. Smith, L. Wang, S. J. Oliver, R. Auld, J. Bock, D. Brisbin, D. Burgarella, P. Chanial, E. Chapin, D. L. Clements, L. Conversi, A. Cooray, C. D. Dowell, S. Eales, D. Farrah, A. Franceschini, J. Glenn, M. Griffin, R. J. Ivison, A. M. J. Mortier, M. J. Page, A. Papageorgiou, C. P. Pearson, I. Pérez-Fournon, M. Pohlen, J. I. Rawlings, G. Raymond, G. Rodighiero, I. G. Roseboom, M. Rowan-Robinson, R. Savage, Douglas Scott, N. Seymour, M. Symeonidis, K. E. Tugwell, M. Vaccari, I. Valtchanov, L. Vigroux, R. Ward, Gavin Wright, M. Zemcov
- article
- Monthly Notices of the Royal Astronomical Society, 2012, 419 (1), pp.377--389. ⟨10.1111/j.1365-2966.2011.19709.x⟩
- Accès au texte intégral et bibtex
-
- titre
- Phase separation and crystal precipitation in supercooled sulphophosphate ionic melts.
- auteur
- S. Reibstein, N. Da, J.P. Simon, E. Spiecker, L. Wondraczek
- article
- Physics and Chemistry of Glasses - European Journal of Glass Science and Technology Part B, 2012, 53 (3), pp.61-67
- Accès au bibtex
-
- titre
- Precipitation in Al-Cu-Li alloys: From kinetics to Friction Stir Welding.
- auteur
- A. Deschamps, F. de Geuser
- article
- 13th International Conference on Aluminium Alloys, 2012, Pittsburgh, PA, United States
- Accès au bibtex
-
- titre
- Phononic filter effect of rattling phonons in the thermoelectric clathrate Ba8Ge40+xNi6-x.
- auteur
- H. Euchner, S. Pailhes, L.T.K. Nguyen, W. Assmus, F. Ritter, A. Haghighirad, Y. Grin, S. Paschen, M. de Boissieu
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (22), ⟨10.1103/PhysRevB.86.224303⟩
- Accès au bibtex
-
- titre
- Role of water on the stability of oxygen vacancies in ZrO2: An ab initio based study.
- auteur
- B. Malki, O. Le Bacq, A. Pasturel
- article
- Journal of Nuclear Materials, 2012, 429 (1-3), pp.173-176. ⟨10.1016/j.jnucmat.2012.05.030⟩
- Accès au bibtex
-
- titre
- Strong and light metal matrix composites with metallic glass particulate reinforcement.
- auteur
- M. Aljerf, K. Georgarakis, D. Louzguine-Luzgin, A. Le Moulec, A. Inoue, A.R. Yavari
- article
- Materials Science and Engineering: A, 2012, 532, pp.325-330. ⟨10.1016/j.msea.2011.10.098⟩
- Accès au bibtex
-
- titre
- Deformation and cracking during sintering of bimaterial components processed from ceramic and metal powder mixes. Part I: Experimental investigation
- auteur
- G. Largiller, D. Bouyard, C.P. Carry, Aurélie Gabriel, J. Muller, T. Staab
- article
- Mechanics of Materials, 2012, 53, pp.123-131. ⟨10.1016/j.mechmat.2012.04.002⟩
- Accès au bibtex
-
- titre
- Dewetted Bridgman crystal growth: practical stability over a bounded time period in a forced regime Journal: Journal of Engineering Mathematics
- auteur
- S. Balint, S. Epure, T. Duffar, L. Braescu
- article
- Journal of Engineering Mathematics, 2012, 75 (1), pp.191-208. ⟨10.1007/s10665-011-9515-z⟩
- Accès au bibtex
-
- titre
- A finite element model for the prediction of Advanced High Strength Steel spot welds fracture.
- auteur
- Sylvain Dancette, D. Fabregue, R. Estevez, Véronique Massardier-Jourdan, T. Dupuy, M. Bouzekri
- article
- Engineering Fracture Mechanics, 2012, 87, pp.48-61. ⟨10.1016/j.engfracmech.2012.03.004⟩
- Accès au bibtex
-
- titre
- The T2 phase in the Nb-Si-B system studied by ab initio calculations and synchrotron X-ray diffraction
- auteur
- Joubert Jean Marc, Catherine Colinet, Gilberto Rodrigues, P.A. Suzuki, C. Nunes, G. Coelho, Jean-Claude Tedenac
- article
- Journal of Solid State Chemistry, 2012, 190, pp.111-117. ⟨10.1016/j.jssc.2012.02.009⟩
- Accès au bibtex
-
- titre
- Spring-block approach for crack patterns in glass.
- auteur
- Ea. Horvat, F. Jarai-Szabo, Y. Brechet, Z. Neda
- article
- Central European Journal of Physics, 2012, 10 (4), pp.926-935. ⟨10.2478/s11534-012-0030-2⟩
- Accès au bibtex
-
- titre
- Ordering and dynamics of the central tetrahedron in the 1/1 Zn6Sc periodic approximant to quasicrystal.
- auteur
- H. Euchner, T. Yamada, H. Schober, S. Rols, M. Mihalkovic, R. Tamura, T. Ishimasa, M. de Boissieu
- article
- Journal of Physics: Condensed Matter, 2012, 24 (41), ⟨10.1088/0953-8984/24/41/415403⟩
- Accès au bibtex
-
- titre
- Signatures of fragile-to-strong transition in a binary metallic glass-forming liquid.
- auteur
- K. N. Lad, N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2012, 136 (10), pp.1. ⟨10.1063/1.3692610⟩
- Accès au bibtex
-
- titre
- Mechanics of solid interfaces
- auteur
- M. Braccini, M. Dupeux
- article
- ISTE Wiley, pp.120, 2012
- Accès au bibtex
-
- titre
- Dual-Frequency Electrowetting: Application to Drop Evaporation Gauging within a Digital Microsystem
- auteur
- Johannes Theisen, Laurent Davoust
- article
- Langmuir, 2012, 28 (1), pp.1041-1048. ⟨10.1021/la203645t⟩
- Accès au bibtex
-
- titre
- Influence of sol composition on natural superhydrophilicity of sol gel-derived TiO2-SiO2 nanocomposite thin films
- auteur
- C. Holtzinger, L. Rapenne, P. Chaudouet, G. Berthomé, J.C. Joud, M. Langlet
- article
- Emerging Materials Research, 2012, 1 (3), pp.127-135
- Accès au bibtex
-
- titre
- Anomalous x-ray diffraction measurements of long-range order in (001)-textured L1(0) FePtCu thin films
- auteur
- M. Maret, C. Brombacher, P. Matthes, D. Makarov, Nathalie Boudet, M. Albrecht
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (2), pp.024204. ⟨10.1103/PhysRevB.86.024204⟩
- Accès au bibtex
-
- titre
- REXS contribution to electronic ordering investigation in solids.
- auteur
- T.A.W. Beale, G. Beutier, S.R. Bland, A. Bombardi, L. Bouchenoire, O. Bunau, Sergio Di Matteo, J. Fernandez-Rodriguez, J.E. Hamann-Borrero, J. Herrero-Martin, V.L.R. Jacques, R.D. Johnson, A. Juhin, T. Matsumura, C. Mazzoli, A.M. Mulders, H. Nakao, J. Okamoto, S. Partzsch, A.J. Princep, V. Scagnoli, J. Strempfer, C. Vecchini, Y. Wakabayashi, H.C. Walker, D. Wermeille, Y. Yamasaki
- article
- The European Physical Journal. Special Topics, 2012, 208 (1), pp.89-98. ⟨10.1140/epjst/e2012-01609-0⟩
- Accès au bibtex
-
- titre
- Atom probe microscopy investigation of Mg site occupancy within delta ' precipitates in an Al-Mg-Li alloy.
- auteur
- B. Gault, X.Y. Cui, M.P. Moody, F. de Geuser, C. Sigli, S.P. Ringer, A. Deschamps
- article
- Scripta Materialia, 2012, 66 (11), pp.903-906. ⟨10.1016/j.scriptamat.2012.02.021⟩
- Accès au bibtex
-
- titre
- Fast in situ X-Ray microtomography observations of solidification and semisolid deformation of Al-Cu alloys
- auteur
- Michel Suéry, Sofiane Terzi, Bastien Mireux, Luc Salvo, Jérôme Adrien, E Maire
- article
- JOM Journal of the Minerals, Metals and Materials Society, 2012, 64 (1), pp.83--88. ⟨10.1007/s11837-011-0219-7⟩
- Accès au bibtex
-
- titre
- Mesures et modélisations des déformations élastiques autour de nanoprécipités
- auteur
- M. Le Fournier, Joël Douin, Christophe Gatel, Florence Pettinari-Sturmel, P. Donnadieu
- article
- Revue de Métallurgie, 2012, 109 (6), pp.409-414. ⟨10.1051/metal/2012004⟩
- Accès au texte intégral et bibtex
-
- titre
- The solid-solid-liquid triple line and its effect on the grain structure of multi-crystalline photovoltaic silicon
- auteur
- Thierry Duffar, Amal Nadri
- article
- Comptes Rendus. Physique, 2012, 14 (2-3), pp.185-191. ⟨10.1016/j.crhy.2012.12.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Corrosion kinetics under high pressure of steam of pure zirconium and zirconium alloys followed by in situ thermogravimetry.
- auteur
- Y. Dali, M. Tupin, P. Bossis, M. Pijolat, Y. Wouters, F. Jomard
- article
- Journal of Nuclear Materials, 2012, 426 (1-3), pp.148-159. ⟨10.1016/j.jnucmat.2012.03.030⟩
- Accès au bibtex
-
- titre
- Coupling the Point Defect Model and the Density Functional Theory for Modeling Pit Nucleation
- auteur
- O. Le Bacq
- article
- ECS Meeting Abstracts, 2012, ⟨10.1149/ma2012-02/22/2185⟩
- Accès au bibtex
-
- titre
- Quantitative description of the T1 morphology and strengthening mechanisms in an age-hardenable Al-Li-Cu alloy
- auteur
- T. Dorin, A. Deschamps, F. de Geuser, M. Weyland
- article
- 13th International Conference on Aluminium Alloys (ICAA), 2012, Pittsburgh, PA, United States
- Accès au bibtex
-
- titre
- Role of atom probe tomography in small-angle X-ray scattering investigations of phase separation in alloys : interplay between direct and reciprocal spaces
- auteur
- F. de Geuser, B. Gault, A. Deschamps
- article
- 53rd International Field Emission Symposium (IFES), 2012, Tuscaloosa, United States
- Accès au bibtex
-
- titre
- Advances in martensitic transformations in Cu-based shape memory alloys achieved by in situ neutron and synchrotron X-ray diffraction methods
- auteur
- Benoît Malard, Petr Sittner, Sophie Berveiller, Etienne Patoor
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.280-292. ⟨10.1016/j.crhy.2011.12.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Viscoelastic properties measurements of thin polymer films from reflow of nanoimprinted patterns
- auteur
- Etienne Rognin, Stéfan Landis, Laurent Davoust
- article
- Journal of Vacuum Science & Technology B Microelectronics and Nanometer Structures, 2012, 30 (1), pp.011602. ⟨10.1116/1.3664088⟩
- Accès au texte intégral et bibtex
-
- titre
- Epitaxial orientation changes in a dewetting gold film on Si(111).
- auteur
- R. Daudin, T. Nogaret, T.U. Schulli, N. Jakse, A. Pasturel, G. Renaud
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 86 (9), ⟨10.1103/PhysRevB.86.094103⟩
- Accès au bibtex
-
- titre
- Efficient Dye-Sensitized Solar Cells Made from ZnO Nanostructure Composites
- auteur
- E. Puyoo, G. Rey, E. Appert, Vincent Consonni, D. Bellet
- article
- Journal of Physical Chemistry C, 2012, 116 (34), pp.18117-18123. ⟨10.1021/jp306174f⟩
- Accès au bibtex
-
- titre
- Analysis of particle induced dislocation structures using three-dimensional dislocation dynamics and strain gradient plasticity
- auteur
- Hyung-Jun Chang, Anais Gaubert, Marc Fivel, Stéphane Berbenni, Olivier Bouaziz, Samuel Forest
- article
- Computational Materials Science, 2012, 52, pp.31-39. ⟨10.1016/j.commatsci.2011.02.014⟩
- Accès au bibtex
-
- titre
- Mechanical properties of monofilament entangled materials
- auteur
- L. Courtois, E. Maire, Michel Perez, D. Rodney, Olivier Bouaziz, Y. Brechet
- article
- Advanced Engineering Materials, 2012, 14 (12), pp.1128-1133. ⟨10.1002/adem.201100356⟩
- Accès au bibtex
-
- titre
- Si-SiC core-shell nanowires.
- auteur
- M. Ollivier, L. Latu-Romain, M. Martin, S. David, A. Mantoux, Edwige Bano, V. Souliere, G. Ferro, T. Baron
- article
- Journal of Crystal Growth, 2012, 363, ⟨10.1016/j.jcrysgro.2012.10.039⟩
- Accès au bibtex
-
- titre
- Characterization and Modeling of Precipitation Kinetics in a Fe-Si-Ti Alloy.
- auteur
- M. Perrier, A. Deschamps, O. Bouaziz, Y. Brechet, F. Danoix, F. de Geuser, P. Donnadieu, K. Hoummada, Philippe Maugis
- article
- Metallurgical and Materials Transactions A, 2012, 43A (13), pp.4999-5008. ⟨10.1007/s11661-012-1337-8⟩
- Accès au bibtex
-
- titre
- Evidence of 131I and (134,137)Cs activities in Bordeaux, France due to the Fukushima nuclear accident.
- auteur
- F. Perrot, P. Hubert, Ch. Marquet, Ms. Pravikoff, P. Bourquin, H. Chiron, Py. Guernion, A. Nachab
- article
- Journal of Environmental Radioactivity, 2012, 114, pp.61-65. ⟨10.1016/j.jenvrad.2011.12.026⟩
- Accès au bibtex
-
- titre
- Investigation of compaction behavior of alumina nano powder.
- auteur
- B.P. Saha, V. Kumar, S.V. Joshi, A. Balakrishnan, C.L. Martin
- article
- Powder Technology, 2012, 224, pp.90-95. ⟨10.1016/j.powtec.2012.02.033⟩
- Accès au bibtex
-
- titre
- Dendrite fragmentation and columnar-to-equiaxed transition during directional solidification at lower growth speed under a strong magnetic field.
- auteur
- Xiaojian Li, A. Gagnoud, Y. Fautrelle, Z.M. Ren, R. Moreau, Y. D. Zhang, C. Esling
- article
- Acta Materialia, 2012, 60 (8), pp.3321-3332. ⟨10.1016/j.actamat.2012.02.019⟩
- Accès au bibtex
-
- titre
- The effect of solute on discontinuous dynamic recrystallization
- auteur
- D.G. Cram, X.Y. Fang, H.S. Zurob, Y.J. M. Brechet, C.R. Hutchinson
- article
- Acta Materialia, 2012, 60 (18), pp.6390-6404
- Accès au bibtex
-
- titre
- How Linear Tension Converts to Curvature: Geometric Control of Bone Tissue Growth.
- auteur
- C. Bidan, K.P. Kommareddy, M. Rumpler, P. Kollmannsberger, Y. Brechet, P. Fratzl, J. Dunlop
- article
- PLoS ONE, 2012, 7 (5), pp.e36336. ⟨10.1371/journal.pone.0036336⟩
- Accès au bibtex
-
- titre
- Wetting when brazing
- auteur
- N. Eustathopoulos, F. Hodaj, O. Kozlova
- article
- D.P. Sekulic. Advances in brazing: Science, technology and applications, Woodhead Publishing Ltd, Cambridge, UK, pp.85, 2012, ISBN 978-0-85709-423-0
- Accès au bibtex
-
- titre
- Back-End Dielectrics Reliability under Unipolar and Bipolar AC-Stress
- auteur
- E. Chery, X. Federspiel, G. Beylier, C. Besset, David Roy, F. Volpi, J.M. Chaix
- article
- IEEE International Reliability Physics, 2012, pp.3A.5.1 - 3A.5.6. ⟨10.1109/IRPS.2012.6241804⟩
- Accès au bibtex
-
- titre
- In Situ Synchrotron Microtomography Reveals Multiple Reaction Pathways During Soda-Lime Glass Synthesis
- auteur
- Emmanuelle Gouillart, Michael-J. Toplis, Julien Grynberg, Marie-Hélène Chopinet, Elin Sondergard, Luc Salvo, Michel Suéry, Marco Di Michiel, Gaël Varoquaux
- article
- Journal of the American Ceramic Society, 2012, 95 (5), pp.1504-1507. ⟨10.1111/j.1551-2916.2012.05151.x⟩
- Accès au bibtex
-
- titre
- On the interest of synchrotron X-ray imaging for the study of solidification in metallic alloys.
- auteur
- H. Nguyen-Thi, L. Salvo, R.H. Mathiesen, L. Arnberg, B. Billia, M. Suery, G. Reinhart
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.237-245. ⟨10.1016/j.crhy.2011.11.010⟩
- Accès au bibtex
-
- titre
- Thermoelectric magnetic force acting on the solid during directional solidification under a static magnetic field.
- auteur
- J. Wang, Y. Fautrelle, Z.M. Ren, Xiaojian Li, H. Nguyen-Thi, Nathalie Mangelinck-Noël, G.S. Abou Jaoude, Y.B. Zhong, I. Kaldre, A. Bojarevics, L. Buligins
- article
- Applied Physics Letters, 2012, 101 (25), ⟨10.1063/1.4772510⟩
- Accès au bibtex
-
- titre
- Relating the Early Evolution of Microstructure with the Electrochemical Response and Mechanical Performance of a Cu-Rich and Cu-Lean 7xxx Aluminum Alloy.
- auteur
- R.K. Gupta, A. Deschamps, M.K. Cavanaugh, S.P. Lynch, N. Birbilisa
- article
- Journal of The Electrochemical Society, 2012, 159 (11), pp.492-502
- Accès au bibtex
-
- titre
- Structural and magnetic transitions in the crystalline approximant Cd6Sm.
- auteur
- R. Tamura, Y. Muro, T. Hiroto, H. Yaguchi, G. Beutier, T. Takabatake
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (1), pp.014203. ⟨10.1103/PhysRevB.85.014203⟩
- Accès au bibtex
-
- titre
- Experimental investigation of the natural and forced convection on solidification of Sn-3wt. %Pb alloy using a benchmark experiment
- auteur
- Lakhdar Hachani, Redouane Boussaa, Bachir Saadi, X.D. Wang, Kader Zaidat, Aissaa Belgacem Bouzida, Dominique Henry, Valéry Botton, H. Ben Hadid, Yves Fautrelle
- article
- Journal of Iron and Steel Research International, 2012, 19, (supplement 1), http://lmfa.ec-lyon.fr/publi/search.php?search=conf&id=288
- Accès au texte intégral et bibtex
-
- titre
- Application of the Discrete Element Method to crack propagation and crack branching in a vitreous dense biopolymer material.
- auteur
- L. Hedjazi, C.L. Martin, S. Guessasma, G. Della Valle, R. Dendievel
- article
- International Journal of Solids and Structures, 2012, 49 (13), pp.1893-1899. ⟨10.1016/j.ijsolstr.2012.03.030⟩
- Accès au bibtex
-
- titre
- Study of instabilities and transitions for a family of quasi-two-dimensional magnetohydrodynamic flows based on a parametrical model.
- auteur
- S. Smolentsev, N. Vetcha, R. Moreau
- article
- Physics of Fluids, 2012, 24 (2), pp.1. ⟨10.1063/1.3680864⟩
- Accès au bibtex
-
- titre
- Creep behaviour of ice single crystals loaded in torsion explained by dislocation cross-slip.
- auteur
- J. Chevy, F. Louchet, P. Duval, M. Fivel
- article
- Philosophical Magazine Letters, 2012, 92 (6), pp.262-269. ⟨10.1080/09500839.2012.658447⟩
- Accès au bibtex
-
- titre
- Differential Thermal Analysis Assessment of Beta Phase Precipitation in Al-6.5Si-1Fe Alloy.
- auteur
- D. Ferdian, B. Suharno, B. Duployer, C. Tenailleau, L. Salvo, J. Lacaze
- article
- Transactions of the Indian Institute of Metals, 2012, 65 (821-825), ⟨10.1007/s12666-012-0182-1⟩
- Accès au bibtex
-
- titre
- Co-sintering and microstructural characterization of steel/cobalt base alloy bimaterials
- auteur
- C. Pascal, A. Thomazic, A. Antoni-Zdziobek, J.M. Chaix
- article
- Journal of Materials Science, 2012, 47 (4), pp.1875-1866
- Accès au bibtex
-
- titre
- Antiferromagnetic order in the quasicrystal approximant Cd6Tb studied by x-ray resonant magnetic scattering.
- auteur
- M. G. Kim, G. Beutier, A. Kreyssig, T. Hiroto, T. Yamada, J. W. Kim, M. de Boissieu, R. Tamura, A.I. Goldman
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85, pp.134442. ⟨10.1103/PhysRevB.85.134442⟩
- Accès au bibtex
-
- titre
- Ageing and relaxation times in disordered insulators
- auteur
- Thierry Grenet, Julien Delahaye, M.C. Cheynet
- article
- Journal of Physics: Conference Series, 2012, 376, pp.012005. ⟨10.1088/1742-6596/376/1/012005⟩
- Accès au texte intégral et bibtex
-
- titre
- Reversible catastrophic oxidation of a 38Fe-34Ni-25Cr alloy induced by sodium sulphate at low oxygen potential atmospheres
- auteur
- L. Couture, Francois Ropital, F. Grosjean, Jean Kittel, V. Parry, Y. Wouters
- article
- Corrosion Science, 2012, 55, pp.133-139. ⟨10.1016/j.corsci.2011.10.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Determination of the Young's modulus of a TiN Thin Film by nanoindentation: analytical models and numerical FEM simulation.
- auteur
- H. Isselé, D. Mercier, G. Parry, R. Estevez, L. Vignoud, C. Olagnon.
- article
- e-Journal of Surface Science and Nanotechnology, 2012, 10, pp.624-629
- Accès au bibtex
-
- titre
- Fractal growth of the dense-packing phase in annealed metallic glass imaged by high-resolution atomic force microscopy
- auteur
- Y. Yang, J.F. Zeng, A. Volland, J.J. Blandin, S. Gravier, C.T. Liu
- article
- Acta Materialia, 2012, 60 (13-14), pp.5260-5272. ⟨10.1016/j.actamat.2012.06.025⟩
- Accès au bibtex
-
- titre
- A macro- and micromechanics investigation of hot cracking in duplex steels.
- auteur
- G. Martin, S.K. Yerra, Y. Brechet, M. Veron, J.D. Mithieux, B. Chehab, L. Delannay, T. Pardoen
- article
- Acta Materialia, 2012, 60 (11), pp.4646-4660. ⟨10.1016/j.actamat.2012.03.040⟩
- Accès au bibtex
-
- titre
- Statistical study of the critical pitting temperature of 22-05 duplex stainless steel
- auteur
- L. Peguet, A. Gaugain, C. Dussart, B. Malki, B. Baroux
- article
- Corrosion Science, 2012, 60, pp.280-283. ⟨10.1016/j.corsci.2012.03.025⟩
- Accès au bibtex
-
- titre
- A thermodynamic assessment of LiBH4.
- auteur
- A. El Kharbachi, E. Pinatel, I. Nuta, M. Baricco
- article
- Calphad-computer coupling of Phase Diagrams and Thermochemistry, 2012, 39, pp.89-90. ⟨10.1016/j.calphad.2012.08.005⟩
- Accès au bibtex
-
- titre
- A synchrotron X-ray diffraction study of hydrogen storage and enhanced sorption kinetics in a mini-tank of Mg with crystalline and amorphous catalytic particle additions.
- auteur
- A.R. Yavari, G. Vaughan, F.R. de Castro, K. Georgarakis, A.M. Jorge, I. Nuta, W. J. Botta
- article
- Journal of Alloys and Compounds, 2012, 540, pp.57-61. ⟨10.1016/j.jallcom.2012.05.045⟩
- Accès au bibtex
-
- titre
- Purification of silicon for photovoltaic applications.
- auteur
- Y. Delannoy
- article
- Journal of Crystal Growth, 2012, 360, pp.61-67. ⟨10.1016/j.jcrysgro.2011.12.006⟩
- Accès au bibtex
-
- titre
- On the liquid-state fragility of PdNiCuP metallic glasses.
- auteur
- Y.F. Guo, A.R. Yavari, Tiantian Zhang
- article
- Journal of Alloys and Compounds, 2012, 536, pp.S91-S93. ⟨10.1016/j.jallcom.2011.11.085⟩
- Accès au bibtex
-
- titre
- Analysis of the practical stability of dewetted Bridgman growth of GaAs.
- auteur
- S. Epure, T. Duffar
- article
- Journal of Crystal Growth, 2012, 360, pp.25-29. ⟨10.1016/j.jcrysgro.2011.11.072⟩
- Accès au bibtex
-
- titre
- Thickness effects in naturally superhydrophilic TiO2-SiO2 nanocomposite films deposited via a multilayer sol-gel route.
- auteur
- C. Holtzinger, L. Rapenne, P. Chaudouet, G. Berthome, M. Langlet
- article
- Journal of Sol-Gel Science and Technology, 2012, 64 (2), pp.4465-479. ⟨10.1007/s10971-012-2878-4⟩
- Accès au bibtex
-
- titre
- Thermophysical Properties of a Fe-Cr-Mo Alloy in the Solid and Liquid Phase.
- auteur
- R. Wunderlich, H.J. Fecht, I. Egry, J. Etay, Livio Battezzati, E. Ricci, T. Matsushita, S. Seetharaman
- article
- Steel Research International, 2012, 83 (1), ⟨10.1002/srin.201100156⟩
- Accès au bibtex
-
- titre
- Interface structure in a WC-Co alloy co-doped with VC and Cr3C2.
- auteur
- S. Lay, M. Loubradou, S.A.E. Johansson, G. Wahnstrom
- article
- Journal of Materials Science, 2012, 47 (3), pp.1588-1593. ⟨10.1007/s10853-011-6156-6⟩
- Accès au bibtex
-
- titre
- Numerical analysis of the influence of melting and application of electromagnetic stirring prior to solidification on macrosegregation formation during casting of a binary alloy
- auteur
- Knut Omdal Tveito, Mohammed M'Hamdi, Hervé Combeau, Miha Založnik, Kader Zaidat, Xiaodong Wang, Bachir Saadi, Yves Fautrelle
- article
- CFD Modeling and Simulation in Materials Processing, TMS Annual Meeting 2012, 2012, Warrendale (PA), USA, Unknown Region. pp.253--260
- Accès au bibtex
-
- titre
- Precipitate characterisation in metallic systems by small-angle X-ray or neutron scattering.
- auteur
- F. de Geuser, A. Deschamps
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.246-256. ⟨10.1016/j.crhy.2011.12.008⟩
- Accès au bibtex
-
- titre
- Pressureless co-sintering behaviour of a steel/cemented carbide component: model bimaterial.
- auteur
- C. Pascal, A. Thomazic, A. Antoni-Zdziobek, J.M. Chaix
- article
- International Journal of Materials Research, 2012, 103 (3), pp.296-308. ⟨10.3139/146.110647⟩
- Accès au bibtex
-
- titre
- In situ synchrotron tomographic investigation of the solidification of an AlMg4.7Si8 alloy.
- auteur
- D. Tolnai, P. Townsend, G. Requena, L. Salvo, J. Lendvai, H. P. Degischer
- article
- Acta Materialia, 2012, 60 (6-7), pp.2568-2577. ⟨10.1016/j.actamat.2012.01.024⟩
- Accès au bibtex
-
- titre
- Indentation and Fracture of Hybrid Sol-Gel Silica Films
- auteur
- B.A. Latella, M.V. Swain, M. Ignat
- article
- Jiri Nemecek. Nanoindentation in Material Science, InTech, pp.1, 2012
- Accès au bibtex
-
- titre
- Structural investigation and mechanical properties of a representative of a new class of materials: nanograined metallic glasses.
- auteur
- N. Chen, D.V. Louzguine-Luzgin, G.Q. Xie, P. Sharma, J.H. Perepezko, M. Esashi, A.R. Yavari, A. Inoue
- article
- International Journal of Nanotechnology, 2012, 24 (4), ⟨10.1088/0957-4484/24/4/045610⟩
- Accès au texte intégral et bibtex
-
- titre
- Density Functional Theory Calculations of Defects Formation Energies in Cr2O3
- auteur
- O. Le Bacq
- article
- ECS Meeting Abstracts, 2012, ⟨10.1149/ma2012-02/22/2229⟩
- Accès au bibtex
-
- titre
- Impact of high temperature annealing on La diffusion and flatband voltage (V-fb) modulation in TiN/LaOx/HfSiON/SiON/Si gate stacks
- auteur
- R. Boujamaa, S. Baudot, N. Rochat, R. Pantel, E. Martinez, O. Renault, B. Detlefs, J. Zegenhagen, V. Loup, F. Martin, M. Gros-Jean, F. Bertin, C. Dubourdieu
- article
- Journal of Applied Physics, 2012, 111 (5), pp.054110. ⟨10.1063/1.3684709⟩
- Accès au bibtex
-
- titre
- DnaK Prevents Human Insulin Amyloid Fiber Formation on Hydrophobic Surfaces
- auteur
- T. Ballet, Franz Bruckert, P. Mangiagalli, C. Bureau, L. Boulange, Laurent Nault, T. Perret, M. Weidenhaupt
- article
- Biochemistry, 2012, 51 (11), pp.2172-2180. ⟨10.1021/bi201457u⟩
- Accès au bibtex
-
- titre
- Investigation on pressureless cosintering behaviour of Hadfield steel/cemented carbide bimaterials.
- auteur
- C. Pascal, A. Thomazic, A. Antoni-Zdziobek, J.M. Chaix
- article
- Powder Metallurgy, 2012, 55 (2), pp.110-117. ⟨10.1179/1743290111y.0000000014⟩
- Accès au bibtex
-
- titre
- In situ three-dimensional reciprocal-space mapping during mechanical deformation.
- auteur
- T.W. Cornelius, A. Davydok, R. Grifone, T. Schulli, M.I. Richard, G. Beutier, M. Verdier, T.H. Metzger, U. Pietsch, Olivier Thomas, V.L.R. Jacques
- article
- Journal of Synchrotron Radiation, 2012, 19, pp.688-694. ⟨10.1107/s0909049512023758⟩
- Accès au bibtex
-
- titre
- Wetting properties of gas diffusion layers: Application of the Cassie-Baxter and Wenzel equations.
- auteur
- V. Parry, G. Berthome, J.C. Joud
- article
- Applied Surface Science, 2012, 258 (15), pp.5619-5627. ⟨10.1016/j.apsusc.2012.02.038AccessionNumber:WOS:000302135700016⟩
- Accès au bibtex
-
- titre
- Study of the structure and physical properties of quasicrystals using large scale facilities.
- auteur
- M. de Boissieu
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.207-217. ⟨10.1016/j.crhy.2011.11.008⟩
- Accès au bibtex
-
- titre
- Corrosion mechanisms in theory and practice, Chap. 9
- auteur
- B. Baroux
- article
- Corrosion mechanisms in theory and practice, 3rd edition, CRC Press (London & New York), pp.419-447, 2012, 978-1-61209-771-8
- Accès au bibtex
-
- titre
- Thermal motion induced resonant forbidden reflections in wurtzite GaN
- auteur
- G. Beutier, S.P. Collins, G. Nisbet, E.N. Ovchinnikova, V.E. Dmitrienko
- article
- The European Physical Journal. Special Topics, 2012, 208 (1), pp.53-66. ⟨10.1140/epjst/e2012-01606-3⟩
- Accès au bibtex
-
- titre
- Observation of Gas Bubble Incorporation during Micropulling-Down Growth of Sapphire.
- auteur
- E.A. Ghezal, A. Nehari, K. Lebbou, T. Duffar
- article
- Crystal Growth & Design, 2012, 12 (11), pp.5715-5719. ⟨10.1021/cg301232r⟩
- Accès au bibtex
-
- titre
- An investigation on the pressureless co-sintering behaviour of Hadfield steel / cemented carbide bimaterials.
- auteur
- C. Pascal, A. Thomazic, A. Antoni-Zdziobek, J.M. Chaix
- article
- Powder Metallurgy, 2012, 55, pp.112-117
- Accès au bibtex
-
- titre
- First principles study of the structural stability of intermetallic compounds in the Si-Zr system
- auteur
- Catherine Colinet, R. Viennois, Jean-Claude Tedenac
- article
- Calphad, 2012, 36, pp.118-126. ⟨10.1016/j.calphad.2011.11.002⟩
- Accès au bibtex
-
- titre
- Nuclear energy in France today and tomorrow: IInd to IVth generations.
- auteur
- R. Dautray, J. Friedel, Y. Brechet
- article
- C. R. Phys., 2012, 13 (5), pp.480-518
- Accès au bibtex
-
- titre
- Quantitative evolution of electrical contact resistance between aluminum thin films.
- auteur
- D. Mercier, V. Mandrillon, A. Holtz, F. Volpi, M. Verdier, Y. Brechet
- article
- 2012 IEEE 58TH HOLM CONFERENCE ON ELECTRICAL CONTACTS (HOLM), 2012, pp.23-30
- Accès au bibtex
-
- titre
- Atomic Layer Deposition of TiO2 ultrathin films on 3D substrates for energy applications
- auteur
- A. Soum-Glaude, L. Tian, E. Blanquet, V. Brizé, L. Cagnon, G. Giusti, R. Salhi, S. Daniele, C. Ternon, D. Bellet
- article
- MRS 2012, 2012, Strasbourg, France. pp.63-68, ⟨10.1557/opl.2012.913⟩
- Accès au bibtex
-
- titre
- Reactivity between liquid Si or Si alloys and graphite.
- auteur
- R. Voytovych, R. Israel, N. Calderon, F. Hodaj, N. Eustathopoulos
- article
- Journal of the European Ceramic Society, 2012, 32 (14), pp.3825-3835. ⟨10.1016/j.jeurceramsoc.2012.05.020⟩
- Accès au bibtex
-
- titre
- Experimental and modelling assessment of precipitation kinetics in an Al-Li-Mg alloy
- auteur
- A. Deschamps, C. Sigli, T. Mourey, F. De geuser, Williams Lefebvre, B. Davo
- article
- Acta Materialia, 2012, 60 (5), pp.1917-1928. ⟨10.1016/j.actamat.2012.01.010⟩
- Accès au bibtex
-
- titre
- E-H mode transition of a high-power inductively coupled plasma torch at atmospheric pressure with a metallic confinement tube.
- auteur
- J. Altenberend, G. Chichignoud, Y. Delannoy
- article
- Plasma Sources Science and Technology, 2012, 21 (4), ⟨10.1088/0963-0252/21/4/045011⟩
- Accès au bibtex
-
- titre
- Effect of cooling rate on the microstructure and microhardness of the CuZrAgAl alloy.
- auteur
- Yehan Liu, J.J. Blandin, M. Suery, G. Kapelski
- article
- Materials Characterization, 2012, 70 (8-13), pp.8-13. ⟨10.1016/j.matchar.2012.04.016⟩
- Accès au bibtex
-
- titre
- Deformation and cracking during sintering of bimaterial components processed from ceramic and metal powder mixes. Part II: Numerical simulation.
- auteur
- G. Largiller, L. Dong, D. Bouvard, C.P. Carry, Aurélie Gabriel
- article
- Mechanics of Materials, 2012, 53, pp.132-141. ⟨10.1016/j.mechmat.2012.05.012⟩
- Accès au bibtex
-
- titre
- Temperature-Pressure-Sintering (TPS) diagram approach for sintering of silicon.
- auteur
- J.M. Lebrun, C. Pascal, J. M. Missiaen
- article
- Materials Letters, 2012, 83, pp.65-68. ⟨10.1016/j.matlet.2012.06.006⟩
- Accès au bibtex
-
- titre
- Simulation of the toughness of partially sintered ceramics with realistic microstructures
- auteur
- D. Jauffres, C.L. Martin, A. Lichtner, R.K. Bordia
- article
- Acta Materialia, 2012, 60 (12), pp.4685-4694. ⟨10.1016/j.actamat.2012.05.024⟩
- Accès au bibtex
-
- titre
- The Role of Silica Layer on Sintering Kinetics of Silicon Powder Compact.
- auteur
- J. M. Lebrun, C. Pascal, J.M. Missiaen
- article
- Journal of the American Ceramic Society, 2012, 95 (5), pp.1514-1523. ⟨10.1111/j.1551-2916.2011.05052.x⟩
- Accès au bibtex
-
- titre
- Surface ageing at drop scale.
- auteur
- Johannes Theisen, Laurent Davoust
- article
- Microfluidics and Nanofluidics, 2012, 12 (4), pp.607-614. ⟨10.1007/s10404-011-0901-7⟩
- Accès au bibtex
-
- titre
- How Does Adhesion Induce the Formation of Telephone Cord Buckles?
- auteur
- Jean-Yvon Faou, Guillaume Parry, Sergey Grachev, Etienne Barthel
- article
- Physical Review Letters, 2012, 108 (11), pp.116102. ⟨10.1103/PhysRevLett.108.116102⟩
- Accès au texte intégral et bibtex
-
- titre
- High temperature behavior of the metal/oxide interface of ferritic stainless steels
- auteur
- J. Issartel, S. Martoia, F. Charlot, V. Parry, G. Parry, R. Estevez, Y. Wouters
- article
- Corrosion Science, 2012, 59, pp.148-156. ⟨10.1016/j.corsci.2012.02.025⟩
- Accès au bibtex
-
- titre
- Thermoplastic Forming of Bulk Metallic Glasses
- auteur
- S. Gravier, G. Kapelski, M. Suery, J.J. Blandin
- article
- International Journal of Applied Glass Science, 2012, 3 (2), pp.180-187. ⟨10.1111/j.2041-1294.2012.00083.x⟩
- Accès au bibtex
-
- titre
- A new method for evaluating the size of plate-like precipitates by small-angle scattering.
- auteur
- F. de Geuser, F. Bley, A. Deschamps
- article
- Journal of Applied Crystallography, 2012, 45, pp.1208-1218. ⟨10.1107/s0021889812039891⟩
- Accès au bibtex
-
- titre
- Observation of (sub)grain clusters in the as-deposited and in situ annealed nanocrystalline nickel using automated crystal orientation mapping.
- auteur
- P. Cizek, A. Sankaran, E.F. Rauch, M. R. Barnett
- article
- Scripta Materialia, 2012, 67 (7-8), pp.685-688. ⟨10.1016/j.scriptamat.2012.07.003⟩
- Accès au bibtex
-
- titre
- Damage and fracture in superplastic alloys - a current state of art
- auteur
- J.J. Blandin
- article
- Materialwiss. Werkstofftech., 2012, 43 (9), pp.768-771. ⟨10.1002/mawe.201200034⟩
- Accès au bibtex
-
- titre
- Preferential orientation of fluorine-doped SnO2 thin films: The effects of growth temperature.
- auteur
- Vincent Consonni, G. Rey, Hervé Roussel, B. Doisneau, E. Blanquet, D. Bellet
- article
- Acta Materialia, 2012, 61 (1), pp.22-31. ⟨10.1016/j.actamat.2012.09.006⟩
- Accès au bibtex
-
- titre
- The coexistence of two S (Al2CuMg) phases in Al-Cu-Mg alloys.
- auteur
- M.J. Styles, C.R. Hutchinson, Y. Chen, A. Deschamps, T.J. Bastow
- article
- Acta Materialia, 2012, 60 (20), pp.6940-6951. ⟨10.1016/j.actamat.2012.08.044⟩
- Accès au bibtex
-
- titre
- Precipitation and age-hardening in the Fe-27Co-8Mo alloy
- auteur
- P. Galimberti, S. Lay, A. Antoni-Zdziobek
- article
- Intermetallics, 2012, 22, pp.33-40
- Accès au bibtex
-
- titre
- Simulation of a directional solidification of a binary Al-7wt%Si and a ternary alloy Al-7wt%Si-1wt%Fe under the action of a rotating magnetic field
- auteur
- O. Budenkova, F. Baltaretu, J. Kovacs, A. Roosz, A. Ronafoldi, A. Bianchi, Y. Fautrelle
- article
- Materials Science and Engineering: A, 2012, 33, ⟨10.1088/1757-899X/33/1/012046⟩
- Accès au bibtex
-
- titre
- Modelling Discontinuous Dynamic Recrystallization using a Physically-Based Model for Nucleation.
- auteur
- D.G. Cram, H.S. Zurob, Y. Brechet, C.R. Hutchinson
- article
- RECRYSTALLIZATION AND GRAIN GROWTH IV, 2012, 715716, pp.492-497
- Accès au bibtex
-
- titre
- Ultra fast in situ X-ray micro-tomography: application to solidification of aluminium alloys
- auteur
- L. Salvo, M. Dimichiel, M. Scheel, P. Lhuissier, B. Mireux, M. Suery
- article
- Thermec 2011, Pts 1-4, 2012, Russia. pp.1713-1718, ⟨10.4028/www.scientific.net/MSF.706-709.1713⟩
- Accès au bibtex
-
- titre
- Structural and dynamic origin of the boson peak in a Cu-Zr metallic glass.
- auteur
- N. Jakse, A. Nassour, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2012, 85 (17), pp.1. ⟨10.1103/PhysRevB.85.174201⟩
- Accès au bibtex
-
- titre
- X-ray coherent scattering in metal physics
- auteur
- F. Livet, M. Sutton
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.227-236. ⟨10.1016/j.crhy.2011.11.009⟩
- Accès au bibtex
-
- titre
- Phonons, phasons and atomic dynamics in quasicrystals
- auteur
- M. de Boissieu
- article
- Chemical Society Reviews, 2012, 41 (20), pp.6778-6786. ⟨10.1039/c2cs35212e⟩
- Accès au bibtex
-
- titre
- ON THE ACTION OF MAGNETIC GRADIENT FORCES IN MICRO-STRUCTURED COPPER DEPOSITION.
- auteur
- G. Mutschke, K. Tschulik, T. Weier, M. Uhlemann, A. Bund, A. Alemany, J. Frohlich
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2012, 48 (2), pp.299-304
- Accès au bibtex
-
- titre
- Significance of initial stages on the epitaxial growth of AlN using High Temperature Halide Chemical Vapor Deposition.
- auteur
- M. Balaji, A. Claudel, V. Fellmann, I. Gélard, E. Blanquet, R. Boichot, Hervé Roussel, D. Pique, K. Baskar, M. Pons
- article
- physica status solidi (c), 2012, C9 ((3-4)), pp.511.514
- Accès au bibtex
-
- titre
- Metal matrix composites reinforced with metallic glass particles : state of the art
- auteur
- D.V. Dudina, K. Georgarakis, A.R. Yavari
- article
- J. P. Davim. Metal Matrix Composites, Nova Science Publishers, pp.1-30, 2012, 978-1-61209-771-8
- Accès au bibtex
-
- titre
- In situ characterization of precipitation pathways in Al-Li-Cu system by small angle X-ray scattering (SAXS)
- auteur
- F. de Geuser, T. Dorin, B. Decreus, A. Deschamps
- article
- Journées d'automne SF2M), 2012, Paris, France
- Accès au bibtex
-
- titre
- Innovative Solutions in Induction Heating for Better Energy Efficiency: Presentation of ISIS Project
- auteur
- B. Paya, A. Gagnoud, Pascal Maussion, P. Roehr, T. Breville, M. Nemer, C. Goupil
- article
- EPM 2012: 7th international conference on Electromagnetic Processing of Materials, Beijing, China, 2012, Beijing, China
- Accès au bibtex
-
- titre
- Modified 4-Point Bending Test for Adhesion Measurement at the Interface of Iron Coated with Aluminum Casting Alloy
- auteur
- M. Zhe, O. Dezellus, G. Parry, M. Braccini, J.C. Viala
- article
- Journal of Adhesion Science and Technology, 2012, 26, pp.1-17. ⟨10.1163/016942411X559049⟩
- Accès au texte intégral et bibtex
-
- titre
- Progress in research on sintering and microstructural development.
- auteur
- S.J.L. Kang, R. Bordia, D. Bouvard, E. Olevsky
- article
- Journal of Materials Science, 2012, 47, pp.7035-7035. ⟨10.1007/s10853-012-6678-6⟩
- Accès au bibtex
-
- titre
- Synchrotron x-ray nano-tomography characterization of the sintering of multilayered systems
- auteur
- Z.L. Yan, O. Guillon, S. Wang, C.L. Martin, C.S. Lee, D. Bouvard
- article
- Applied Physics Letters, 2012, 100 (26), ⟨10.1063/1.4730625⟩
- Accès au bibtex
-
- titre
- Crystallization during Bending of a Pd-Based Metallic Glass Detected by X-Ray Microscopy.
- auteur
- A.R. Yavari, K. Georgarakis, J. Antonowicz, M. Stoica, N. Nishiyama, G. Vaughan, M.W. Chen, M. Pons
- article
- Physical Review Letters, 2012, 109 (8), ⟨10.1103/PhysRevLett.109.085501⟩
- Accès au bibtex
-
- titre
- Crystallisation during bending of a Metallic Glass
- auteur
- A.R. Yavari
- article
- ESRF-Highlights, 2012, pp.103-104
- Accès au bibtex
-
- titre
- Film-thickness-dependent conduction in ordered Si quantum dot arrays
- auteur
- K. Surana, H. Lepage, J. M. Lebrun, B. Doisneau, D. Bellet, L. Vandroux, G. Le Carval, M. Baudrit, P. Thony, P. Mur
- article
- Nanotechnology, 2012, 23 (10), pp.105401-105407. ⟨10.1088/0957-4484/23/10/105401⟩
- Accès au bibtex
-
- titre
- First-principles calculations of phase stability in the Ti-Zr-Si ternary system
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Calphad, 2012, 37, pp.94-99. ⟨10.1016/j.calphad.2012.02.003⟩
- Accès au bibtex
-
- titre
- A first principles study of constitutional and thermal defects in D8m-Si3Nb5
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Solid State Communications, 2012, 152 (12), pp.989-993. ⟨10.1016/j.ssc.2012.03.001⟩
- Accès au bibtex
-
- titre
- Multifunctional optimization of random hollow sphere stackings.
- auteur
- A. Fallet, P. Lhuissier, L. Salvo, C.L. Martin, A. Wiegmann, M. Kabel
- article
- Scripta Materialia, 2012, 68 (1), pp.35-38. ⟨10.1016/j.scriptamat.2012.07.039⟩
- Accès au bibtex
-
- titre
- Design of multi materials combining crystalline and amorphous metallic alloys.
- auteur
- A. Volland, J. Ragani, Yehan Liu, S. Gravier, M. Suery, J.J. Blandin
- article
- Journal of Alloys and Compounds, 2012, 536, pp.S143-S147. ⟨10.1016/j.jallcom.2011.12.043⟩
- Accès au bibtex
-
- titre
- Thermodynamic approach to the vaporization and growth phenomena of SiC ceramics. I. SiC and SiC-SiO2 mixtures under neutral conditions.
- auteur
- G. Honstein, C. Chatillon, Francis Baillet
- article
- Journal of the European Ceramic Society, 2012, 32, pp.1117-1135. ⟨10.1016/j.jeurceramsoc.2011.11.032⟩
- Accès au bibtex
-
- titre
- Experimental analysis of the solidification of Sn-3 wt.%Pb alloy under natural convection.
- auteur
- L. Hachani, B. Saadi, X.D. Wang, A. Nouri, K. Zaidat, A. Belgacem-Bouzida, L. Ayouni-Derouiche, G. Raimondi, Y. Fautrelle
- article
- International Journal of Heat and Mass Transfer, 2012, 55, pp.7-8. ⟨10.1016/j.ijheatmasstransfer.2011.11.054⟩
- Accès au bibtex
-
- titre
- Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy.
- auteur
- M. Balaji, A. Claudel, V. Fellmann, I. Gelard, E. Blanquet, R. Boichot, A. Pierret, B. Attal-Tretout, Alexandre Crisci, S. Coindeau, Hervé Roussel, D. Pique, K. Baskar, M. Pons
- article
- Journal of Alloys and Compounds, 2012, 526, pp.103-109. ⟨10.1016/j.jallcom.2012.02.111⟩
- Accès au bibtex
-
- titre
- Numerical analysis of the galvanic coupling in the shadow corrosion of zirconium alloy.
- auteur
- P. Buttin, B. Malki, P. Barberis, B. Baroux
- article
- Journal of Nuclear Materials, 2012, 420 (1-3), pp.591-596. ⟨10.1016/j.jnucmat.2011.11.002⟩
- Accès au bibtex
-
- titre
- Use of large scale facilities for research in metallurgy Foreword.
- auteur
- A. Deschamps
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.205-206. ⟨10.1016/j.crhy.2011.12.007⟩
- Accès au bibtex
-
- titre
- In situ evaluation of dynamic precipitation during plastic straining of an Al-Zn-Mg-Cu alloy
- auteur
- A. Deschamps, G. Fribourg, Y. Brechet, J.L. Chemin, C.R. Hutchinson
- article
- Acta Materialia, 2012, 60 (5), pp.1905-1916. ⟨10.1016/j.actamat.2012.01.002⟩
- Accès au bibtex
-
- titre
- Dynamic room temperature precipitation during cyclic deformation of an Al-Zn-Mg alloy
- auteur
- C.R. Hutchinson, F. de Geuser, A. Deschamps
- article
- 13th International Conference on Aluminium Alloys (ICAA), 2012, Pittsburgh, PA, United States
- Accès au bibtex
-
- titre
- Orientation and phase mapping in TEM microscopy (EBSD-TEM like): applications to materials science
- auteur
- E.F. Rauch, M. Veron, S. Nicolopoulos, D. Bultreys
- article
- Solid State Phenomena, 2012, 186, pp.13-15
- Accès au bibtex
-
- titre
- Mécanique aux petites échelles.
- auteur
- M. Verdier
- article
- Action Nationale de Formation " Métallurgie Fondamentale ", 2012, AUSSOIS, France
- Accès au bibtex
-
- titre
- Mécanique des interfaces solides
- auteur
- M. Braccini, M. Dupeux
- article
- Hermes, pp.120, 2012
- Accès au bibtex
-
- titre
- EWOD-driven stirring in lab-on-a-chips: Dependence on the electrodes' geometry
- auteur
- Rachid Malk, Johannes Theisen, Yves Fouillet, Laurent Davoust
- article
- Microelectronic Engineering, 2012, 97, pp.306-310. ⟨10.1016/j.mee.2012.04.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical and structural characterization of delta' precipitates in an Al–Li–Mg alloy
- auteur
- B. Gault, F. de Geuser, X.Y. Cui, M.P. Moody, J. Dremont, A. Deschamps, C. Sigli, S.P. Ringer
- article
- 53rd International Field Emission Symposium (IFES), 2012, Tuscaloosa, United States
- Accès au bibtex
-
- titre
- Mapping the 3D distribution of CdSe nanocrystals in highly oriented and nanostructured hybrid P3HT–CdSe films grown by directional epitaxial crystallization
- auteur
- L. Roiban, L. Hartmann, A. Fiore, D. Djurado, F. Chandezon, P. Reiss, F. Legrand, S. Doyle, M. Brinkmann, O. Ersen
- article
- Nanoscale, 2012, 4 (22), pp.7212. ⟨10.1039/c2nr32071a⟩
- Accès au bibtex
-
- titre
- Hydrogen trapping by VC precipitates and structural defects in a high strength Fe-Mn-C steel studied by small-angle neutron scattering.
- auteur
- B. Malard, B. Remy, C. Scott, A. Deschamps, J. Chene, T. Dieudonne, M.H. Mathon
- article
- Materials Science and Engineering: A, 2012, 536, pp.110-116. ⟨10.1016/j.msea.2011.12.080⟩
- Accès au bibtex
-
- titre
- High temperature compression behavior of the solid phase resulting from drained compression of a semi-solid 6061 alloy
- auteur
- Eliane Giraud, Michel Suery, Michel Coret
- article
- Materials Science and Engineering: A, 2012, 532, pp.37-43. ⟨10.1016/j.msea.2011.10.059⟩
- Accès au texte intégral et bibtex
-
- titre
- Charge photo-carrier transport from silicon nanocrystals embedded in SiO 2 -based multilayer structures
- auteur
- B. Dridi Rezgui, F. Gourbilleau, D. Maestre, Olivier Palais, A. Sibai, M. Lemiti, G. Brémond
- article
- Journal of Applied Physics, 2012, 112 (2), pp.024324. ⟨10.1063/1.4737579⟩
- Accès au texte intégral et bibtex
-
- titre
- Selected Peer-Reviewed Articles from E-MRS Symposium on Size-Dependent Properties of Nanomaterials.
- auteur
- E.J. Mittemeijer, P. Scardi, Y. Brechet, Y.L. Hou
- article
- Journal of Nanoscience and Nanotechnology, 2012, 12 (11), pp.8526-8527. ⟨10.1166/jnn.2012.6462⟩
- Accès au bibtex
-
- titre
- Influence of Processing Severity During Equal-Channel Angular Pressing on the Microstructure of an Al-Zn-Mg-Cu Alloy.
- auteur
- C.M. Cepeda-Jimenez, J.M. Garcia-Infanta, E.F. Rauch, J.J. Blandin, O.A. Ruano, F. Carreno
- article
- Metallurgical and Materials Transactions A, 2012, 43A (11), pp.4224-4236. ⟨10.1007/s11661-012-1206-5⟩
- Accès au bibtex
-
- titre
- XPCS Investigation of the Dynamics of Filler Particles in Stretched Filled Elastomers.
- auteur
- F. Ehrburger-Dolle, I. Morfin, F. Bley, F. Livet, G. Heinrich, S. Richter, L. Piche, M. Sutton
- article
- Macromolecules, 2012, 45 (21), pp.8691-8701. ⟨10.1021/ma3013674⟩
- Accès au bibtex
-
- titre
- Jacques Friedel: Presentation
- auteur
- Y. Brechet
- article
- Journal of Superconductivity and Novel Magnetism, 2012, 25 (3), pp.549-550
- Accès au bibtex
-
- titre
- Effects of Thermoelectric Magnetic Convection on the Solidification Structure During Directional Solidification under Lower Transverse Magnetic Field
- auteur
- Xiaojian Li, Z.M. Ren, A. Gagnoud, O. Budenkova, Y. Fautrelle, W.L. Ren
- article
- Metallurgical and Materials Transactions A, 2012, 43A (2), pp.789. ⟨10.1007/s11661-011-1038-8⟩
- Accès au bibtex
-
- titre
- Ab initio calculations of the forbidden Bragg reflections energy spectra in wurtzites versus temperature.
- auteur
- A.P. Oreshko, E.N. Ovchinnikova, G. Beutier, S.P. Collins, G. Nisbet, A.M. Kolchinskaya, V.E. Dmitrienko
- article
- Journal of Physics: Condensed Matter, 2012, 24 (24), ⟨10.1088/0953-8984/24/24/245403⟩
- Accès au bibtex
-
- titre
- CURRENT AND MAGNETIC FIELD INTERACTION INFLUENCE ON LIQUID PHASE CONVECTION.
- auteur
- I. Kaldre, A. Bojarevics, Y. Fautrelle, J. Etay, L. Buligins
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2012, 48 (2), pp.399-405
- Accès au bibtex
-
- titre
- Atomic structure of bulk metallic glasses and their supercooled liquid states probed by high-energy synchrotron light.
- auteur
- K. Georgarakis, A.R. Yavari, D.V. Louzguine, G. Vaughan, W. J. Botta
- article
- Comptes Rendus. Physique, 2012, 13 (3), pp.218-226. ⟨10.1016/j.crhy.2011.12.010⟩
- Accès au bibtex
-
- titre
- Interface fracture surface energy of sol-gel bonded silicon wafers by three-point bending
- auteur
- B.A. Latella, M. Ignat
- article
- Journal of Materials Science: Materials in Electronics, 2012, 23 (1), pp.8-13
- Accès au bibtex
-
- titre
- Thermodynamics simulations applied to gas-solid materials fabrication processes
- auteur
- E. Blanquet, I. Nuta
- article
- Thermodynamics - Fundamentals and its application in science, INTECH, pp.191-214, 2012, 979-953-307-836-5
- Accès au bibtex
-
- titre
- Development of high efficiency back passivated silicon solar cells with screen printed contacts
- auteur
- L. Bounaas, N. Auriac, B. Grange, J. Jourdan, S. Mialon, R. Monna, S. de Magnienville, M. Pasquinelli, Damien Barakel
- article
- PROCEEDINGS OF THE 2ND INTERNATIONAL CONFERENCE ON CRYSTALLINE SILICON PHOTOVOLTAICS (SILICONPV 2012), 2012, Unknown, Unknown Region. pp.598-603, ⟨10.1016/j.egypro.2012.07.116⟩
- Accès au bibtex
-
- titre
- Atomic structure of quasicrystals.
- auteur
- M. de Boissieu
- article
- Structural Chemistry, 2012, 23 (4), ⟨10.1007/s11224-012-0004-4⟩
- Accès au bibtex
-
- titre
- Macro to microfluidics system for biological environmental monitoring.
- auteur
- C. Delattre, C.P. Allier, Y. Fouillet, D. Jary, F. Bottausci, D. Bouvier, G. Delapierre, M. Quinaud, A. Rival, L. Davoust, C. Peponnet
- article
- Biosensors & bioelectronics, 2012, 36 (1), pp.230-235. ⟨10.1016/j.bios.2012.04.024⟩
- Accès au bibtex
-
- titre
- Interfacial Interactions Between W (x) N Substrates and a Gold-Tin Alloy.
- auteur
- P. Descours, R. Voytovych, Aline Garnier, F. Greco, F. Hodaj
- article
- Journal of Materials Engineering and Performance, 2012, 21 (5), pp.590-594. ⟨10.1007/s11665-012-0126-2⟩
- Accès au bibtex
-
- titre
- Thermodynamic approach to the vaporization and growth phenomena of SiC ceramics. II. The SiC surface under oxidative conditions.
- auteur
- G. Honstein, C. Chatillon, Francis Baillet
- article
- Journal of the European Ceramic Society, 2012, 32, pp.1137-1147. ⟨10.1016/j.jeurceramsoc.2011.11.031⟩
- Accès au bibtex
-
- titre
- Solute segregation in a lid driven cavity: Effect of the flow on the boundary layer thickness and solute segregation.
- auteur
- J. P. Garandet, N. Kaupp, Dominique Pelletier, Y. Delannoy
- article
- Journal of Crystal Growth, 2012, 340 (1), pp.149-155. ⟨10.1016/j.jcrysgro.2011.11.071⟩
- Accès au bibtex
-
- titre
- Carbon reaction with levitated silicon - Experimental and thermodynamic approaches
- auteur
- M. Beaudhuin, G. Chichignoud, P. Bertho, T. Duffar, M. Lemiti, K. Zaidat
- article
- Materials Chemistry and Physics, 2012, 133, pp.284-288. ⟨10.1016/j.matchemphys.2012.01.023⟩
- Accès au bibtex
-
- titre
- Interface characterization and mechanical properties of BMG/Cu composites prepared by coextrusion.
- auteur
- Yehan Liu, J.J. Blandin, G. Kapelski, M. Suery, X.J. Yang
- article
- Intermetallics, 2012, 30, pp.57-64. ⟨10.1016/j.intermet.2012.03.039⟩
- Accès au bibtex
-
- titre
- Forming of bulk metallic glasses: ideal superplasticity?
- auteur
- S. Gravier, J.J. Blandin
- article
- Materialwissenschaft Und Werkstofftechnik, 2012, 43 (9), pp.767-67. ⟨10.1002/mawe.201200033⟩
- Accès au bibtex
-
- titre
- Vaporization of mixed SiC powders. Partial pressures and grain morphology changes under vacuum conditions.
- auteur
- G. Honstein, C. Chatillon, Francis Baillet
- article
- Journal of the European Ceramic Society, 2012, 32 (14), pp.3851-3860. ⟨10.1016/j.jeurceramsoc.2012.04.008⟩
- Accès au bibtex
-
- titre
- Quantum effect on thermally activated glide of dislocations.
- auteur
- L. Proville, D. Rodney, M.C. Marinica
- article
- Nature Materials, 2012, 11 (10), pp.845-849. ⟨10.1038/nmat3401⟩
- Accès au bibtex
-
- titre
- Characterization of complex precipitation pathways using Small Angle Scattering. "Neutron and X-Ray Studies of Advanced Materials V: Centennial
- auteur
- F. de Geuser, A. Deschamps
- article
- Symposium of the 2012 TMS conference, 2012, ORLANDO, Florida, United States
- Accès au bibtex
-
- titre
- Integrated modeling of friction stir welding of 6xxx series Al alloys: Process, microstructure and properties
- auteur
- A. Simar, Y. Brechet, B. de Meester, A. Denquin, C. Gallais, T. Pardoen
- article
- Progress in Materials Science, 2012, 57 (1), pp.95-183. ⟨10.1016/j.pmatsci.2011.05.003⟩
- Accès au texte intégral et bibtex
-
- titre
- FePtCu alloy thin films: Morphology, L1(0) chemical ordering, and perpendicular magnetic anisotropy.
- auteur
- C. Brombacher, H. Schletter, M. Daniel, P. Matthes, N. Joehrmann, M. Maret, D. Makarov, M. Hietschold, M. Albrecht
- article
- British Journal of Applied Physics, 2012, 112 (7), pp.073912. ⟨10.1063/1.4757038⟩
- Accès au bibtex
-
- titre
- Wetting of ceramics by molten silicon and silicon alloys: a review.
- auteur
- B. Drevet, N. Eustathopoulos
- article
- Journal of Materials Science, 2012, 47 (24), pp.8247-8260
- Accès au bibtex
-
- titre
- Electromagnetic stirring and retention to improve segregation in silicon for photovoltaics.
- auteur
- F. Santara, Y. Delannoy, A. Autruffe
- article
- Journal of Crystal Growth, 2012, 340 (1), pp.41-46
- Accès au bibtex
-
- titre
- Comment on: Size effects on yield strength and strain hardening for ultra-thin Cu films with and without passivation: A study by synchrotron and bulge test techniques
- auteur
- M. Lagos, V. Conte, M. Ignat
- article
- Scripta Materialia, 2012, 67 (7-8), pp.736-739
- Accès au bibtex
-
- titre
- Atomic structure changes and phase transformation behavior in Pd-Si bulk glass-forming alloy.
- auteur
- D.V. Louzguine-Luzgin, K. Georgarakis, V. Zadorozhnyy, N. Chen, K. Nakayama, G. Vaughan, A.R. Yavari, A. Inoue
- article
- Intermetallics, 2012, 1, pp.135-140. ⟨10.1016/j.intermet.2011.08.022⟩
- Accès au bibtex
-
- titre
- Investigation of viscosity and crystallization in supercooled-liquid region of Zr-based glassy alloys
- auteur
- Yan Li, Ran Li, Shujie Pang, Bingqing Chen, Konstantinos Georgarakis, Alain Le Moulec, Gavin Vaughan, Tao Zhang, Alain R. Yavari
- article
- Journal of Non-Crystalline Solids, 2012, 2, pp.150-154. ⟨10.1016/j.jnoncrysol.2011.08.031⟩
- Accès au bibtex
-
- titre
- Investigation of structural, electronic and thermodynamic properties of Ni6Si2B and Ni6Ge2B compounds with the Fe2P-type structure
- auteur
- Jean-Claude Tedenac, Catherine Colinet
- article
- Intermetallics, 2012, 24, pp.73-78. ⟨10.1016/j.intermet.2012.01.017⟩
- Accès au bibtex
-
- titre
- On the thermomechanical. behavior of two-dimensional foam/metal joints with shear-deformable adherends: Model validation with FE analysis.
- auteur
- J. Randrianalisoa, R. Dendievel, Y. Brechet, P. M. Michaud, R. Filipi
- article
- International Journal of Adhesion and Adhesives, 2012, 37, pp.11-18. ⟨10.1016/j.ijadhadh.2012.01.006⟩
- Accès au bibtex
-
- titre
- Investigation of self interstitial influences in Light and Dark Induced Degradation in p-type compensated Silicon
- auteur
- V. Mong-The Yen, Damien Barakel, I. Perichaud, Olivier Palais
- article
- PROCEEDINGS OF THE 2ND INTERNATIONAL CONFERENCE ON CRYSTALLINE SILICON PHOTOVOLTAICS (SILICONPV 2012), 2012, Unknown, Unknown Region. pp.76-81, ⟨10.1016/j.egypro.2012.07.032⟩
- Accès au bibtex
-
- titre
- Vaporization study of SiC and SiC-2 mol% SiO2 powder mixtures. Grain morphology changes at high vapor pressures under pumping.
- auteur
- G. Honstein, Francis Baillet, C. Chatillon
- article
- Journal of the European Ceramic Society, 2012, 32 (16), pp.4407-4417
- Accès au bibtex
-
- titre
- Role of atom probe tomography in small-angle X-ray scattering investigations of phase separation in alloys: interplay between direct and reciprocal spaces.
- auteur
- F. de Geuser, B. Gault, A. Deschamps
- article
- International Field Emission Symposium, 2012, Tuscaloosa, Alabama, United States
- Accès au bibtex
-
- titre
- Local order and dynamic properties of liquid AuxSi1-x alloys by molecular dynamics simulations.
- auteur
- N. Jakse, T.L.T. Nguyen, A. Pasturel
- article
- Journal of Chemical Physics, 2012, 137 (20), ⟨10.1063/1.4767777⟩
- Accès au bibtex
-
- titre
- Genèse des microstructures
- auteur
- A. Deschamps
- article
- Action Nationale de Formation " Métallurgie Fondamentale ",, 2012, AUSSOIS, France
- Accès au bibtex
-
- titre
- Simulation of the elastic properties of porous ceramics with realistic microstructure.
- auteur
- D. Jauffres, C.L. Martin, A. Lichtner, R. K. Bordia
- article
- Model. Simul. Mater. Sci. Eng., 2012, 20 (4), ⟨10.1088/0965-0393/20/4/045009⟩
- Accès au bibtex
-
- titre
- High temperature deformation and associated 3D characterisation of damage in magnesium alloys
- auteur
- P. Lhuissier, A.V. Fernandez, L. Salvo, J.J. Blandin
- article
- Thermec 2011, Pts 1-4, 2012, Russia. pp.1128-1133, ⟨10.4028/www.scientific.net/MSF.706-709.1128⟩
- Accès au bibtex
-
- titre
- An analytical solution for the stress state at stent-coating interfaces
- auteur
- G. Parry, P. Mcgarry
- article
- Journal of the mechanical behavior of biomedical materials, 2012, 10, pp.183-196. ⟨10.1016/j.jmbbm.2012.02.009⟩
- Accès au bibtex
-
- titre
- Effect of thermoelectric magnetic force on the array of dendrites during directional solidification of Al-Cu alloys in a high magnetic field.
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Shen, Y. Fautrelle
- article
- Philosophical Magazine Letters, 2012, 92 (12), pp.675-682
- Accès au bibtex
-
- titre
- Investigation of gravity effects on solidification of binary alloys with in situ X-ray radiography on earth and in microgravity environment
- auteur
- H. Nguyen-Thi, A. Bogno, G. Reinhart, B. Billia, R. Mathiesen, G Zimmermann, Y Houltz, D Voss, A Verga, F De Pascale
- article
- Journal of Physics: Conference Series, 2011, 327, ⟨10.1088/1742-6596/327/1/012012⟩
- Accès au bibtex
-
- titre
- Présentation du groupe THERMOLAB de l'ESA.
- auteur
- J. Etay
- article
- Journées annuelles du GDR Solidification des alliages métalliques et de la commission thématique de la SF2M, Dec 2011, Paris, France
- Accès au bibtex
-
- titre
- Creuset froid pour four de chauffage à induction
- auteur
- M. Dumont, G. Hasan, R. Ernst, P. Petitpas, C. Garnier
- article
- France, N° de brevet: B11368 FR. EPM. 2011
- Accès au bibtex
-
- titre
- First results on PEMFC diagnosis by electrochemical noise
- auteur
- B. Legros, P.-X. Thivel, Y. Bultel, R.P. Nogueira
- article
- Electrochemistry Communications, 2011, 13 (12), pp.1514 - 1516. ⟨10.1016/j.elecom.2011.10.007⟩
- Accès au bibtex
-
- titre
- Thermodynamics and kinetics of metal/ceramic reactions: relation with wetting,
- auteur
- F. Hodaj
- article
- Fray International Symposium, Nov 2011, CANCUN, Mexico
- Accès au bibtex
-
- titre
- Homogénéisation et optimisation topologique de panneaux architecturés
- auteur
- Laurent Laszczyk
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI088⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation physique de la microstructure des interconnexions avancées Cu/Low-k pour l'étude des défaillances par électromigration
- auteur
- Romain Galand
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI052⟩
- Accès au texte intégral et bibtex
-
- titre
- Analyzing quasicrystals.
- auteur
- M. de Boissieu
- article
- MAX Lab User Meeting, Nov 2011, Lund, Sweden
- Accès au bibtex
-
- titre
- The 2011 Chemistry Nobel Prize in perspective, introduction to the quasicrystal discovery.
- auteur
- M. de Boissieu
- article
- European C-MAC meeting, Nov 2011, LIVERPOOL, United Kingdom
- Accès au bibtex
-
- titre
- Toward the numerical simulation of grain structure in photovoltaic silicon ingots.
- auteur
- A. Nadri, T. Duffar, Y. Du Terrail Couvat
- article
- 5th Int. Work. Sci. Tech. Crystalline Si solar cells, CSSC5, Nov 2011, Boston, United States
- Accès au bibtex
-
- titre
- Hot workability of duplex stainless steels
- auteur
- Guilhem Martin
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI078⟩
- Accès au texte intégral et bibtex
-
- titre
- Forgeabilité des aciers inoxydables austéno-ferritiques
- auteur
- Guilhem Martin
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2011. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- DFG implementation on multi GPU cluster with computation-communication overlap
- auteur
- Sylvain Huet, Vincent Boulos, Vincent Fristot, Luc Salvo
- article
- DASIP 2011 - Conference on Design and Architectures for Signal and Image Processing, Nov 2011, Tampere, Finland. pp.1-8
- Accès au texte intégral et bibtex
-
- titre
- Modelizacion numérica de procedimientos de elaboración de materiales bajo campos magnéticos.
- auteur
- Y. Duterrail
- article
- ENIEF 2011, Nov 2011, Rosario, Argentina
- Accès au bibtex
-
- titre
- Effects of Thermoelectric Magnetic Convection on the Solidification Structure During Directional Solidification under Lower Transverse Magnetic Field
- auteur
- Xi Li, Zhongming Ren, Annie Gagnoud, Olga Budebkova, Yves Fautrelle
- article
- Metallurgical and Materials Transactions A, 2011, 42 (11), pp.3459-3471. ⟨10.1007/s11661-011-0741-9⟩
- Accès au bibtex
-
- titre
- Etude de la corrosion à haute température d'alliages réfractaires en présence de sels alcalins lors de la conversion thermochimique de la biomasse
- auteur
- Ludovic Couture
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI076⟩
- Accès au texte intégral et bibtex
-
- titre
- TEM orientation maps : Microstructure & Mechanical behavior.
- auteur
- G. Martin, M. Véron, E.F. Rauch
- article
- Congress of the Brazilian Society for Microscopy and microanalysis., Oct 2011, Armacao dos Buzios, Brazil
- Accès au bibtex
-
- titre
- ASTAR Presentation : 1. How It works ; 2. Comparison with other techniques ; 3. Orientation and Phase identification ; 4. What are the actual limitations.
- auteur
- M. Véron
- article
- LabNano School on Transmission Electron Microscopy, Oct 2011, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- Multiscale modelling of indentation : from atom to continuum.
- auteur
- H.J. Chang, M. Fivel, D. Rodney, M. Verdier
- article
- Nanomechanical Testing in Materials Research and Development,, Oct 2011, Lanzarote, Canary Islands,, Spain
- Accès au bibtex
-
- titre
- TEM automated Orientation and Phase mapping.
- auteur
- M. Véron, E.F. Rauch
- article
- Frontiers in electron microscopy in materials Science (FEMMS), Sep 2011, Sonoma, Californie, United States
- Accès au bibtex
-
- titre
- Electromigration Behavior of 3D-IC TSV
- auteur
- T. Frank, C. Chappaz, P. Leduc, L. Arnaud, S. Moreau, Aurélie Thuaire, F. Lorut, Lorena Anghel
- article
- Second IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (3D IC), in conjuction with ITC, Sep 2011, Anaheim, United States
- Accès au bibtex
-
- titre
- Nitrogen reaction with silicon: Investigation of Si undercooling and Si3N4 growth
- auteur
- Mickael Beaudhuin, Kader Zaidat, Thierry Duffar, Msutapha Lemiti
- article
- Journal of Crystal Growth, 2011, 336 (1), pp.77-81. ⟨10.1016/j.jcrysgro.2011.09.036⟩
- Accès au bibtex
-
- titre
- Strength and toughness of highly porous ceramic electrodes.
- auteur
- X. Liu, D. Jauffres, C.L. Martin, S. Di Iorio, J. Laurencin, G. Delette
- article
- Euromat 2011, Sep 2011, Montpellier, France
- Accès au bibtex
-
- titre
- Heterogeneous nucleation and depletion effect in nanowire growth
- auteur
- Fiqiri Hodaj, Olexii Liashenko, Andriy Gusak, Yuriy Lyashenko
- article
- Philosophical Magazine, 2011, 91 (33), pp.4200-4217. ⟨10.1080/14786435.2011.607142⟩
- Accès au texte intégral et bibtex
-
- titre
- MHD in cold crucible
- auteur
- A. Gagnoud
- article
- GAMAS SUMMER SCHOOL, Sep 2011, Borgo, France
- Accès au bibtex
-
- titre
- Size effect in metallic glasses characterized by nanoindentation and shear bands observations
- auteur
- Antoine Volland, Ludovic Charleux, Mariette Nivard, M. Verdier, S. Gravier, J. J. Blandin
- article
- EUROMAT 2011, Sep 2011, Montpellier, France
- Accès au bibtex
-
- titre
- Modélisation de la corrosion des alliages de zirconium par l'eau : application aux éléments de combustible nucléaire
- auteur
- Paul Buttin
- article
- Matériaux. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI041⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation de la propagation de fissures dans un biopolymère vitreux
- auteur
- Lotfi L. Hedjazi, C.L. Martin, Sofiane Guessasma, Guy G. Della Valle, R. Dendeviel
- article
- 20. Congrès Français de Mécanique, Association Française de Mécanique (AFM). Paris, FRA., Aug 2011, Besançon, France
- Accès au bibtex
-
- titre
- Simulations de la plasticité cristalline par un modèle à base de densités de dislocations et validation par essais d'indentation.
- auteur
- M. Fivel
- article
- 20ème Congrès Français de Mécanique (CFM 2011), Aug 2011, Besançon, France
- Accès au bibtex
-
- titre
- Discrete element simulation of sintering: toward more realistic microstructures.
- auteur
- X. Liu, Z. Yan, C.L. Martin
- article
- Sintering 2011, Aug 2011, Jeju Island, South Korea
- Accès au bibtex
-
- titre
- The angular dislocation parallel to a free surface. Application to a (111)Si low angle twist boundary
- auteur
- Salem Neily, Sami Youssef, Frank Fournel, R. Bonnet
- article
- Philosophical Magazine, 2011, 91 (31), pp.1. ⟨10.1080/14786435.2011.600734⟩
- Accès au texte intégral et bibtex
-
- titre
- Contributions to the 32nd International Cosmic Ray Conference (ICRC 2011) by the ANTARES collaboration
- auteur
- S. Adrián-Martínez, J. A. Aguilar, Imen Al Samarai, A. Albert, M. André, M. Anghinolfi, G. Anton, S. Anvar, M. Ardid, A. C. Assis Jesus, T. Astraatmadja, J-J. Aubert, B. Baret, S. Basa, V. Bertin, S. Biagi, A. Bigi, C. Bigongiari, C. Bogazzi, M. Bou-Cabo, B. Bouhou, M. C. Bouwhuis, J. Brunner, J. Busto, F. Camarena, A. Capone, C. Carloganu, G. Carminati, J. Carr, S. Cecchini, Z. Charif, Ph. Charvis, T. Chiarusi, M. Circella, H. Costantini, P. Coyle, A. Creusto, C. Curtil, G. de Bonis, M. P. Decowski, I. Dekeyser, Anne Deschamps, C. Distefano, C. Donzaud, D. Dornic, Q. Dorosti, D. Drouhin, T. Eberl, U. Emanuele, A. Enzenhöfer, J.-P. Ernenwein, S. Escoffier, P. Fermani, M. Ferri, V. Flaminio, F. Folger, U. Fritsch, J.-L. Fuda, S. Galatà, Pascal Gay, G. Giacomelli, V. Giordano, J. P. Gómez-González, K. Graf, G. Guillard, G. Halladjian, G. Hallewell, H. van Haren, J. Hartman, A. J. Heijboer, Y. Hello, J. J. Hernández-Rey, B. Herold, J. Hössl, C. C. Hsu, M. de Jong, M. Kadler, O. Kalekin, A. Kappes, U. Katz, O. Kavatsyuk, P. Kooijman, C. Kopper, A. Kouchner, I. Kreykenbohm, V. Kulikovskiy, R. Lahmann, P. Lamare, G. Larosa, D. Lattuada, Dominique Lefèvre, G. Lim, D. Lo Presti, H. Loehner, S. Loucatos, S. Mangano, M. Marcelin, A. Margiotta, J. A. Martínez-Mora, A. Meli, T. Montaruli, M. Morganti, L. Moscoso, H. Motz, M. Neff, E. Nezri, D. Palioselitis, G. E. Pavalas, K. Payet, P. Payre, J. Petrovic, P. Piattelli, N. Picot-Clemente, V. Popa, T. Pradier, E. Presani, C. Racca, C. Reed, G. Riccobene, C. Richardt, R. Richter, C. Rivière, A. Robert, K. Roensch, A. Rostovtsev, J. Ruiz-Rivas, M. Rujoiu, G. V. Russo, F. Salesa, P. Sapienza, F. Schöck, J-P. Schuller, F. Schüssler, T. Seitz, R. Shanidze, F. Simeone, A. Spies, M. Spurio, J. J. M. Steijger, Th. Stolarczyk, A. Sánchez-Losa, M. Taiuti, Christian Tamburini, S. Toscano, B. Vallage, Corentin Vallée, V. van Elewyck, G. Vannoni, M. Vecchi, P. Vernin, S. Wagner, G. Wijnker, J. Wilms, E. de Wolf, H. Yepes, D. Zaborov, J.D. Zornoza, J. Zúñiga
- article
- 32nd International Cosmic Ray Conference (ICRC 2011), Aug 2011, Beijing, China
- Accès au bibtex
-
- titre
- 3D characterisation of damage during high temperature deformation of magnesium alloys.
- auteur
- P. Lhuissier, A. Villanueva Fernandez, L. Salvo, J.J. Blandin
- article
- THERMEC 2011, Aug 2011, Québec, Canada
- Accès au bibtex
-
- titre
- Ultra fast in situ X-ray micro-tomography : application to solidification of aluminium alloys.
- auteur
- L. Salvo, M. Dimichiel, M. Scheel, P. Lhuissier, B. Mireux, M. Suery
- article
- THERMEC 2011, Aug 2011, Québec,, Canada
- Accès au bibtex
-
- titre
- Classification of the Critical Resolved Shear Stress in the HCP materials by atomic simulation: Application to alpha-zirconium and alpha- titanium
- auteur
- A. Poty, J.-M. Raulot, H. Xu, David Rodney, C. Schuman, J.-S. Lecomte, C. Esling, M.-J. Philippe
- article
- Journal of Applied Physics, 2011, 110 (1), pp.014905. ⟨10.1063/1.3599870⟩
- Accès au texte intégral et bibtex
-
- titre
- First analysis of a numerical benchmark for 2D columnar solidification of binary alloys
- auteur
- Eric Arquis, Michel Bellet, Hervé Combeau, Yves Fautrelle, Dominique Gobin, Olga Budenkova, Bernard Dussoubs, Yves Duterrail, Arvind Kumar, Salem Mosbah, Mohamed Rady, Charles-André Gandin, Benoit Goyeau, Miha Zaloznik
- article
- 4th International Conference on Modelling and Simulation of Metallurgical Processes in Steelmaking, Jun 2011, Düsseldorf, Germany. 7 p
- Accès au texte intégral et bibtex
-
- titre
- Orientation and phase mapping in TEM microscopy (EBSD-TEM like) : application to materials science.
- auteur
- E.F. Rauch, M. Véron, S. Nibolopoulos, D. Bultreys
- article
- XIV International Conference on Electron Microscopy (EM2011), Jun 2011, WISLA, Poland
- Accès au bibtex
-
- titre
- Structural relaxation of Ti-based bulk metallic glasses
- auteur
- Jinna Mei, Jean-Louis Soubeyroux, Jean-Jacques Blandin
- article
- Titane-2011, Jun 2011, Beijing, China
- Accès au texte intégral et bibtex
-
- titre
- Approche des mécanismes d'oxydation thermique par l'émission acoustique
- auteur
- Minh-Thi Tran
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI042⟩
- Accès au texte intégral et bibtex
-
- titre
- A very promising piezoelectric property of Ta2O5 thin films. II: Birefringence and piezoelectricity
- auteur
- Marc Audier, Bernard Chenevier, Herve Roussel, Loïc Vincent, Alexandra Pena, Amélie Lintanf-Salaün
- article
- Journal of Solid State Chemistry, 2011, 184 (8), pp.2033-2040. ⟨10.1016/j.jssc.2011.06.002⟩
- Accès au bibtex
-
- titre
- A very promising piezoelectric property of Ta2O5 thin films. I: Monoclinic-trigonal phase transition
- auteur
- Marc Audier, Bernard Chenevier, Herve Roussel, Loïc Vincent, Alexandra Peña, Amélie Lintanf-Salaün
- article
- Journal of Solid State Chemistry, 2011, 184 (8), pp.2023-2032. ⟨10.1016/j.jssc.2011.06.001⟩
- Accès au bibtex
-
- titre
- Simulations multiéchelles de l'indentation : de l'atome aux milieux continus.
- auteur
- M. Fivel
- article
- 10eme colloque national en calcul des structures (CSMA)., Jun 2011, Giens, France
- Accès au bibtex
-
- titre
- Plenary lecture ICASP-3
- auteur
- Y. Fautrelle, O. Budenkova, Xiaojian Li, M. Renz
- article
- ICASP-3, Int. Advances in Solidification Processes, Jun 2011, Aachen/Rolduc, Germany
- Accès au bibtex
-
- titre
- Discrete element simulation of crack propagation in a vitreous dense biopolymer and application to the crushing of a food biopolymer foam
- auteur
- Lotfi L. Hedjazi, C.L. Martin, Sofiane Guessasma, Guy G. Della Valle, R. Dendievel
- article
- International Conference on Computational Modeling of Fracture and Failure of Materials and Structures, International Center for Numerical Methods in Engineering., Jun 2011, Barcelone, Spain
- Accès au bibtex
-
- titre
- Method for assembling carbon bodies through refractory brazing
- auteur
- J.P. Garandet, B. Drevet, D. Camel, N. Eustathopoulos, R. Israel
- article
- France, N° de brevet: EP2326606 (A2). TOP. 2011
- Accès au bibtex
-
- titre
- Precipitation Sequences in Two Al-Li-Cu Alloys
- auteur
- Benjamin Decreus, Frédéric de Geuser, Alexis Deschamps, Patricia Donnadieu, Christophe Sigli
- article
- Solid State Phenomena, 2011, 172-174, pp.267-272. ⟨10.4028/www.scientific.net/SSP.172-174.267⟩
- Accès au bibtex
-
- titre
- Size effect in metallic glasses studied by mechanical characterization of thin films
- auteur
- Antoine Volland, Ludovic Charleux, Mariette Nivard, M. Verdier, S. Gravier, J. J. Blandin
- article
- ISMANAM XVIII, Jun 2011, Gijon, Spain
- Accès au bibtex
-
- titre
- Keynote lecture to CRSHT Roumanie
- auteur
- Y. Fautrelle, O. Budenkova, K. Zaidat, T. Duffar, Y. Delannoy
- article
- Conference of the Romanian Society on Heat Transfer, May 2011, Craïova, Romania
- Accès au bibtex
-
- titre
- AFM à contact résonant : développement et modélisation
- auteur
- Fabrice Mege
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI030⟩
- Accès au texte intégral et bibtex
-
- titre
- In situ continuous X-rays micro-tomography : application to high temperature deformation of magnesium alloys and to solidification of aluminium alloys.
- auteur
- M. Fivel
- article
- E-MRS, May 2011, Nice, France
- Accès au bibtex
-
- titre
- Simulations multiechelles de l'indentation de metaux CFC : de l'atome au milieu continu
- auteur
- Marc Fivel
- article
- 10e colloque national en calcul des structures, May 2011, Giens, France. pp.Clé USB
- Accès au bibtex
-
- titre
- Identification experimentale d'une zone cohesive par correlation d'images. Exemple pour une rupture par craquelage dans un polymere amorphe
- auteur
- Rafael Estevez, Julien Réthoré
- article
- 10e colloque national en calcul des structures, May 2011, Giens, France. pp.Clé USB
- Accès au texte intégral et bibtex
-
- titre
- Optimisation des propriétés équivalentes de motifs périodiques: Cas d'un panneau architecturé en flexion
- auteur
- Laurent Laszczyk, Rémy Dendievel, Olivier Bouaziz, Yves Bréchet
- article
- 10e colloque national en calcul des structures, May 2011, Giens, France. pp.Clé USB
- Accès au texte intégral et bibtex
-
- titre
- Etudes analytiques et numériques du procédé de Bridgman démouillage: capillarité, transfert de chaleur et stabilité
- auteur
- Simona-Mihaela Epure
- article
- Mécanique [physics.med-ph]. Université de Grenoble, 2011. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Analytical and numerical studies of the dewetted bridgman process : capillarity, heat transfer and stability
- auteur
- Simona Epure
- article
- Autre. Université de Grenoble; Universitatea de Vest (Timişoara, Roumanie), 2011. Français. ⟨NNT : 2011GRENI028⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation numérique de procédés d'élaboration de matériaux sous champs magnétiques.
- auteur
- Y. Duterrail
- article
- CNI'2-2011, 2ème Colloque National sur l'Inductique., May 2011, Bejiaa, Algeria
- Accès au bibtex
-
- titre
- Modélisation numérique de procédés d'élaboration de matériaux sous champs magnétiques.
- auteur
- Y. Duterrail
- article
- CNI'2-2011 - 2ème Colloque National sur l'Inductique, May 2011, Bejiaa, Algeria
- Accès au bibtex
-
- titre
- HerMES: Lyman Break Galaxies individually detected at 0.7 < z < 2.0 in GOODS-N with Herschel/SPIRE
- auteur
- D. Burgarella, S. Heinis, G. Magdis, R. Auld, A. Blain, J. Bock, D. Brisbin, V. Buat, P. Chanial, D. L. Clements, A. Cooray, S. Eales, A. Franceschini, E. Giovannoli, J. Glenn, E. A. Gonzalez Solares, M. Griffin, H. S. Hwang, O. Ilbert, L. Marchetti, A. M. J. Mortier, S. J. Oliver, M. J. Page, A. Papageorgiou, C. P. Pearson, I. Perez-Fournon, M. Pohlen, J. I. Rawlings, G. Raymond, D. Rigopoulou, G. Rodighiero, I. G. Roseboom, M. Rowan-Robinson, D. Scott, N. Seymour, A. J. Smith, M. Symeonidis, K. E. Tugwell, M. Vaccari, J. D. Vieira, M. Viero, L. Vigroux, L. Wang, Gavin Wright
- article
- 2011
- Accès au bibtex
-
- titre
- Plasma Enhanced Atomic Layer Deposition of ZrO2: A Thermodynamic Approach.
- auteur
- E. Blanquet, D. Monnier, I. Nuta, F. Volpi, B. Doisneau, S. Coindeau, J. Roy, B. Detlefs, Y. Mi, J. Zegenhagen, C. Martinet, C. Wyon, M. Gros-Jean
- article
- 219th ECS Meeting, May 2011, Montréal, Canada
- Accès au bibtex
-
- titre
- Chemistry of iodine and aerosol composition in the primary circuit of a nuclear power plant
- auteur
- M. Gouello, M. Lacoue-Nègre, H. Mutelle, F. Cousin, S. Sobanska, I. Nuta, E. Blanquet
- article
- International Congress on Advances in Nuclear Power Plants, May 2011, Nice, France
- Accès au bibtex
-
- titre
- Indentation crystal plasticity : multiscale experiments and simulations.
- auteur
- M. Verdier
- article
- e-MRS, Symposium 'Size Dependent Properties of Nanomaterials', May 2011, STRASBOURG, France
- Accès au bibtex
-
- titre
- Nanoindentation and contact resonant AFM applied to tailored ULK porous films.
- auteur
- M. Verdier
- article
- IEEE International Interconnect Technology Conf.-IITC / Materials for Advanced Metallization-MAM, May 2011, DRESDE, Germany
- Accès au bibtex
-
- titre
- Discovery of a Multiply-Lensed Submillimeter Galaxy in Early HerMES Herschel/SPIRE Data
- auteur
- A. Conley, A. Cooray, J. D. Vieira, E. A. González Solares, S. Kim, J. E. Aguirre, A. Amblard, R. Auld, A. J. Baker, A. Beelen, A. Blain, R. Blundell, J. Bock, C. M. Bradford, C. Bridge, D. Brisbin, D. Burgarella, J. M. Carpenter, P. Chanial, E. Chapin, N. Christopher, D. L. Clements, P. Cox, S. G. Djorgovski, C. D. Dowell, S. Eales, L. Earle, T. P. Ellsworth-Bowers, D. Farrah, A. Franceschini, D. Frayer, H. Fu, R. Gavazzi, J. Glenn, M. Griffin, M. A. Gurwell, M. Halpern, E. Ibar, R. J. Ivison, M. Jarvis, J. Kamenetzky, M. Krips, L. Levenson, R. Lupu, A. Mahabal, P. D. Maloney, C. Maraston, L. Marchetti, G. Marsden, H. Matsuhara, A. M. J. Mortier, E. Murphy, B. J. Naylor, R. Neri, H. T. Nguyen, S. J. Oliver, A. Omont, M. J. Page, A. Papageorgiou, C. P. Pearson, I. Pérez-Fournon, M. Pohlen, N. Rangwala, J. I. Rawlings, G. Raymond, D. Riechers, G. Rodighiero, I. G. Roseboom, M. Rowan-Robinson, B. Schulz, Douglas Scott, K. Scott, P. Serra, N. Seymour, D. L. Shupe, A. J. Smith, M. Symeonidis, K. E. Tugwell, M. Vaccari, E. Valiante, I. Valtchanov, A. Verma, M. P. Viero, L. Vigroux, L. Wang, D. Wiebe, Gavin Wright, C. K. Xu, G. Zeimann, M. Zemcov, J. Zmuidzinas
- article
- 2011
- Accès au bibtex
-
- titre
- Wetting by liquid metals .Application to joining.
- auteur
- N. Eustathopoulos
- article
- VI International Materials Symposium (Materials 2011), Apr 2011, Guimaraes, Portugal
- Accès au bibtex
-
- titre
- Etudes submicroniques de la plasticité du monocristal de Mg.
- auteur
- Gyu Seok Kim
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI072⟩
- Accès au texte intégral et bibtex
-
- titre
- Les Carbures cémentés WC-Co
- auteur
- Emmanuel Pauty
- article
- Mottin, Stéphane and Lelièvre, Gérard. Matériaux et joints d'étanchéité pour les hautes pressions, 18, MRCT-CNRS, pp.91-103, 2011, Intégrations des savoirs et des savoir-faire, 978-2-918701-04-0. ⟨10.5281/zenodo.400485⟩
- Accès au texte intégral et bibtex
-
- titre
- Resistance Increase Due to Electromigration Induced Depletion Under TSV
- auteur
- T. Frank, C. Chappaz, P. Leduc, L. Arnaud, S. Moreau, Aurélie Thuaire, R. El Farhane, F. Lorut, Lorena Anghel
- article
- IEEE International Reliability Physics Symposium (IRPS'11), Monterey, CA, USA, April 10-14, Apr 2011, Monterey, ca., United States. pp.3F.4.1 - 3F.4.6, ⟨10.1109/IRPS.2011.5784499⟩
- Accès au bibtex
-
- titre
- Albumin-Bound Paclitaxel: The Benefit of This New Formulation in the Treatment of Various Cancers
- auteur
- M. Montana, C. Ducros, P. Verhaeghe, T. Terme, P. Vanelle, P. Rathelot
- article
- Journal of Chemotherapy, 2011, 23 (2), pp.59--66
- Accès au bibtex
-
- titre
- Portable implementation of a quantum thermal bath for molecular dynamics simulations
- auteur
- Jean-Louis Barrat, David Rodney
- article
- Journal of Statistical Physics, 2011, pp.9225346. ⟨10.1007/s10955-011-0193-z⟩
- Accès au texte intégral et bibtex
-
- titre
- ETUDE DES REACTIONS COMPLEXES EN PHASE SOLIDE POUR LE STOCKAGE D'HYDROGENE
- auteur
- Abdelouahab El Kharbachi
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2011. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermoplastic forming of bulk metallic glasses.
- auteur
- A. Volland, J. Ragani, S. Gravier, M. Suery, J.J. Blandin
- article
- Flow and Fracture of Advanced Glasses (FFAG-5)., Mar 2011, Saint Malo, France
- Accès au bibtex
-
- titre
- Random local strain effects in the relaxor ferroelectric BaTi1-xZrxO3: experimental and theoretical investigation
- auteur
- Claire Laulhé, Francoise Hippert, Jens Kreisel, Alain Pasturel, Annie Simon, Jean-Louis F Hazemann, Robert Bellissent, Gabriel Cuello
- article
- Phase Transitions, 2011, 84 (5-6), pp.438-452. ⟨10.1080/01411594.2010.547153⟩
- Accès au texte intégral et bibtex
-
- titre
- Système inductif pouvant servir de creuset froid
- auteur
- M. Dumont, R. Ernst, P. Petitpas, C. Garnier
- article
- France, N° de brevet: B10748 FR. EPM. 2011
- Accès au bibtex
-
- titre
- MESMER: MeerKAT Search for Molecules in the Epoch of Reionization
- auteur
- I. Heywood, R. P. Armstrong, R. Booth, A. J. Bunker, R. P. Deane, M. J. Jarvis, J. L. Jonas, M. E. Jones, H-R. Kloeckner, J-P. Kneib, K. K. Knudsen, F. Levrier, D. Obreschkow, D. Rigopoulou, S. Rawlings, O. M. Smirnov, A. C. Taylor, A. Verma, J. Dunlop, M. G. Santos, E. R. Stanway, C. Willott
- article
- 2011
- Accès au bibtex
-
- titre
- Discrete dislocation dynamics: principle and recent applications with a special focus on cross-slip effects.
- auteur
- M. Fivel
- article
- TMS annual meeting, Mar 2011, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Heat capacity of the AuZr compound obtained by high-temperature drop calorimetry
- auteur
- M. Lomello-Tafin, M.Y. Benarchid, C. Antion, A. Janghorban, J.M. Moreau, A. Antoni-Zdziobek, Th. Mazingue, A. Pisch
- article
- Intermetallics, 2011, 19 (3), pp.282 - 287. ⟨10.1016/j.intermet.2010.10.009⟩
- Accès au bibtex
-
- titre
- Frontiers in Solidification.
- auteur
- Y. Fautrelle, O. Budenkova, Xiaojian Li, M. Renz
- article
- TMS annual meeting, Feb 2011, San Diego, CA, United States
- Accès au bibtex
-
- titre
- Measuring misorientations and grain sizes in severely deformed metals through orientation mapping on a Transmission Electron Microscope.
- auteur
- E.F. Rauch, M. Veron
- article
- C. TOME Honorary Symposium, TMS 2011 Annual Meeting, Feb 2011, San Diego, United States
- Accès au bibtex
-
- titre
- A numerical benchmark on the prediction of macrosegregation in binary alloys
- auteur
- Hervé Combeau, Michel Bellet, Yves Fautrelle, Dominique Gobin, Eric Arquis, Olga Budenkova, Bernard Dussoubs, Yves Duterrail, Arvind Kumar, Salem Mosbah, Thibault Quatravaux, Mohamed Rady, Charles-André Gandin, Benoit Goyeau, Miha Zaloznik
- article
- TMS 2011 - 140th Annual Meeting and Exhibition, Feb 2011, San Diego, CA, United States. pp.755-762 - ISBN 9781118029466
- Accès au bibtex
-
- titre
- Elaboration par co-déformation de matériaux stratifiés alliage léger / verre métallique
- auteur
- Jennifer Ragani
- article
- Matériaux. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI017⟩
- Accès au texte intégral et bibtex
-
- titre
- Optimisation d'un code de dynamique des dislocations pour l'étude de la plasticité des aciers ferritiques
- auteur
- Daniel Garcia Rodriguez Garcia Rodriguez
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI075⟩
- Accès au texte intégral et bibtex
-
- titre
- Towards lighter metallic structures for transports: interplay between X-ray characterisation and microstructural modelling for alloy optimisation. X-rays and Neutrons in Energy-related Materials Science
- auteur
- F. de Geuser, B. Decreus, G. Fribourg, T. Marlaud, B. Malard, F. Bley, A. Deschamps
- article
- ESRF User Meeting, Feb 2011, GRENOBLE, France
- Accès au bibtex
-
- titre
- Equilibres de phases et microstructures d'alliages CU-FE-NI riches en FE
- auteur
- Coraline Crozet
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI015⟩
- Accès au texte intégral et bibtex
-
- titre
- Rheological behavior of various Al alloys during solidification.
- auteur
- M. Suéry
- article
- ICAMPS 2012, International conference on Advances in Metallic Materials and Manufacturing Processes for Strategic Sectors, Jan 2011, Thiruvananthapuram, India
- Accès au bibtex
-
- titre
- Propriétés mécaniques des verres métalliques. Mise en forme et applications
- auteur
- Moustafa Aljerf
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI001⟩
- Accès au texte intégral et bibtex
-
- titre
- Phason modes in quasicrystals
- auteur
- M. de Boissieu
- article
- Symposium on Quasicrystals Honoring Distinguished Prof. Danny Shechtman, Jan 2011, HAIFA, Israel
- Accès au bibtex
-
- titre
- Coercivity enhancement in exchange biased CoO/Co3Pt bilayers
- auteur
- T Kosub, C Schubert, H Schletter, M Daniel, M Hietschold, V Neu, M Maret, D Makarov, M Albrecht
- article
- Journal of Physics D: Applied Physics, 2011, 44 (1), pp.15002. ⟨10.1088/0022-3727/44/1/015002⟩
- Accès au texte intégral et bibtex
-
- titre
- Propriété Mécaniques des Verres Métalliques ; Mise en Forme et Applications
- auteur
- M. Aljerf
- article
- Matériaux. Université de Grenoble, 2011. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- SiC and AlN growth processing : experiments and simulation.
- auteur
- M. Pons, D. Chaussende, J.M. Dedulle, R. Boichot, E. Blanquet
- article
- International Workshop on Wide Band Gap Semiconductor Nanostructures, Jan 2011, Chennai, India
- Accès au bibtex
-
- titre
- Vapor phase processes: From HTCVD processes for high rate epitaxial growth to ALD processes for conformal ultra thin film fabrication.
- auteur
- E. Blanquet (invitée), A. Claudel, V. Brizé, R. Boichot, D. Chaussende, D. Pique, A. Mantoux, M. Pons
- article
- International Workshop on Wide Band Gap Semiconductor Nanostructures, Jan 2011, Chennai, India
- Accès au bibtex
-
- titre
- Etude de la relation entre la microstructure et les propriétés mécaniques d'un acier durci par précipitation intermétallique intense : le Fer-Silicium-Titane
- auteur
- Malika Perrier
- article
- Autre. Université de Grenoble, 2011. Français. ⟨NNT : 2011GRENI007⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrodeposition and characterization of manganese–bismuth system from chloride based acidic bath
- auteur
- B. Benfedda, N. Benbrahim, A. Kadri, E. Chainet, F. Charlot, S. Coindeau
- article
- Electrochimica Acta, 2011, 56 (3), pp.1275-1282. ⟨10.1016/j.electacta.2010.09.107⟩
- Accès au bibtex
-
- titre
- Les sciences de l Ingenieur.
- auteur
- Y. Bréchet
- article
- Conference sur l education en primaire et au college, 2011, Les Treilels, France
- Accès au bibtex
-
- titre
- Duplex Stainless Steel Microstructural Developments as Model Microstructures for Hot Ductility Investigations
- auteur
- G. Martin, M. Veron, B. Chehab, R. Fourmentin, J.D. Mithieux, S.K. Yerra, L. Delannay, T. Pardoen, Y. Brechet
- article
- Solid State Phenomena, 2011, 172-174, pp.350-356
- Accès au bibtex
-
- titre
- Properties of CMAs : Theory and experiment in Complex metallic alloys
- auteur
- E. Macia, M. de Boissieu
- article
- J.M. Dubois and E. Belin-Ferré. Wiley-VCH, pp.76, 2011
- Accès au bibtex
-
- titre
- Probing and characterizing the early stages of cavitation in glassy polymers in molecular dynamics simulations
- auteur
- R. Estevez, D. Long
- article
- Modelling and Simulation in Materials Science and Engineering, 2011, 19 (4), pp.045004. ⟨10.1088/0965-0393/19/4/045004⟩
- Accès au bibtex
-
- titre
- Carburization of Si Microwires by Chemical Vapour Deposition
- auteur
- M. Ollivier, L. Latu-Romain, A. Mantoux, Edwige Bano, T. Baron
- article
- Journal of Nanoscience and Nanotechnology, 2011, 11 (9), pp.8412-8415. ⟨10.1166/jnn.2011.5094⟩
- Accès au bibtex
-
- titre
- Effect of an applied stress on the recrystallization mechanisms of a zirconium alloy
- auteur
- L. Saintoyant, L. Legras, Y. Brechet
- article
- Scripta Materialia, 2011, 64 (5), pp.418-421. ⟨10.1016/j.scriptamat.2010.11.003⟩
- Accès au bibtex
-
- titre
- Initial stages of wetting of alumina by reactive CuAgTi alloys
- auteur
- O. Kozlova, R. Voytovych, N. Eustathopoulos
- article
- Scripta Materialia, 2011, 65 (1), pp.13-16. ⟨10.1016/j.scriptamat.2011.03.026⟩
- Accès au bibtex
-
- titre
- Microstructure and texture analysis of narrow copper line versus widths and annealing for reliability improvement
- auteur
- R. Galand, K. Haxaire, L. Arnaud, E. Petitprez, L. Clement, P. Waltz, Y. Wouters
- article
- Microelectronic Engineering, 2011, 88 (5), pp.661-665. ⟨10.1016/j.mee.2010.07.012⟩
- Accès au bibtex
-
- titre
- Investigation on AlN epitaxial growth and related etching phenomenon at high temperature using high temperature chemical vapor deposition process.
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, R. Boichot, B. Doisneau, G. Berthome, Alexandre Crisci, H. Mank, C. Moisson, D. Pique, M. Pons
- article
- Journal of Crystal Growth, 2011, 335 (1), pp.17-24. ⟨10.1016/j.jcrysgro.2011.09.018⟩
- Accès au bibtex
-
- titre
- Investigation of spacer size effect on architecture and mechanical properties of porous titanium.
- auteur
- N. Tuncer, G. Arslan, E. Maire, L. Salvo
- article
- Materials Science and Engineering: A, 2011, 530, pp.633-642. ⟨10.1016/j.msea.2011.10.036⟩
- Accès au bibtex
-
- titre
- Nanocrystallization-induced large room-temperature compressive plastic strain of Ti40Zr25Ni8Cu9Be18 BMG
- auteur
- Jinna Mei, Jean-Louis Soubeyroux, Jean-Jacques Blandin, J.S. Li, H.C. Kou, H.Z. Fu, Lian Zhou
- article
- Journal of Alloys and Compounds, 2011, 509 (5), pp.1626-1629. ⟨10.1016/j.jallcom.2010.11.071⟩
- Accès au bibtex
-
- titre
- Inhomogeneous microstructural evolution of pure iron during high-pressure torsion
- auteur
- Sylvie Descartes, Christophe Desrayaud, E.F. Rauch
- article
- Materials Science and Engineering: A, 2011, 528, pp.3666-3675. ⟨10.1016/j.msea.2011.01.029⟩
- Accès au bibtex
-
- titre
- Rapid synthesis of pure and narrowly distributed Eu doped ZnO nanoparticles by solution combustion method
- auteur
- S.K. Lathika Devi, K. Sudarsana Kumar, A. Balakrishnan
- article
- Materials Letters, 2011, 65 (1), pp.35-37. ⟨10.1016/j.matlet.2010.08.058⟩
- Accès au bibtex
-
- titre
- Relationship between alloy composition, microstructure and exfoliation corrosion in Al-Zn-Mg-Cu alloys
- auteur
- T. Marlaud, B. Malki, C. Henon, A. Deschamps, B. Baroux
- article
- Corrosion Science, 2011, 53 (10), pp.3139-3149. ⟨10.1016/j.corsci.2011.05.057⟩
- Accès au bibtex
-
- titre
- Numerical simulations study of the localized corrosion resistance of AISI 316L stainless steel and pure titanium in a simulated body fluid environment
- auteur
- K. Yaya, Y. Khelfaoui, B. Malki, M. Kerkar
- article
- Corrosion Science, 2011, 53 (10), pp.3309-3314. ⟨10.1016/j.corsci.2011.06.006⟩
- Accès au bibtex
-
- titre
- Ablative degradation of cryogenic thermal protection and fuel boil-off: Improvement of using graded density insulators
- auteur
- J. Randrianalisoa, R. Dendievel, Y. Brechet
- article
- International Journal of Heat and Mass Transfer, 2011, 54 (23-24), pp.4864-4874. ⟨10.1016/j.ijheatmasstransfer.2011.06.042⟩
- Accès au bibtex
-
- titre
- Local atomic structure of Zr-Cu and Zr-Cu-Al amorphous alloys investigated by EXAFS method
- auteur
- J. Antonowicz, A. Pietnoczka, W. Zalewski, R. Bacewicz, M. Stoica, K. Georgarakis, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2011, 509, pp.S34-S38. ⟨10.1016/j.jallcom.2010.10.105⟩
- Accès au bibtex
-
- titre
- High temperature deformation of a Cu(40)Zr(44)Ag(8)Al(8) bulk metallic glass
- auteur
- Yehan Liu, J.J. Blandin, G. Kapelski, M. Suery
- article
- Materials Science and Engineering: A, 2011, 528 (10-11), pp.3748-3753. ⟨10.1016/j.msea.2011.01.045⟩
- Accès au bibtex
-
- titre
- Morphological instability of interface, cell and dendrite during directional solidification under strong magnetic field
- auteur
- Xiaojian Li, Y. Fautrelle, Z.M. Ren, A. Gagnoud, Y.D. Zhang, C. Esling
- article
- Journal of Crystal Growth, 2011, 318 (1), pp.23-27. ⟨10.1016/j.jcrysgro.2010.10.111⟩
- Accès au bibtex
-
- titre
- In situ investigation of the fast microstructure evolution during electropulse treatment of cold drawn Ni-Ti wires.
- auteur
- B. Malard, J. Pilch, P. Sittner, R. Delville, C. Curfs.
- article
- Acta Materialia, 2011, 59, pp.1542-1556
- Accès au bibtex
-
- titre
- Influence of the alloying elements on crevice corrosion of stainless steels: a modeling approach.
- auteur
- B. Malki, L. Peguet, B. Baroux
- article
- Revue de Métallurgie, 2011, 108, pp.225-229
- Accès au bibtex
-
- titre
- Precipitations sequences in two Al-Li-Cu alloys.
- auteur
- B. Decreus, A. Deschamps, P. Donnadieu, C. Sigli, F. de Geuser
- article
- Solid State Data - Pt B: Solid State Phenomena, 2011, 172-174, pp.267-272
- Accès au bibtex
-
- titre
- Stability of High Temperature Chemical Vapor Deposited Silicon Based Structures on Metals for Solar Conversion.
- auteur
- I. Gelard, G. Chichignoud, E. Blanquet, H.N. Xuan, R. Cruz, Carmen Jiménez, E. Sarigiannidou, K. Zaidat
- article
- Journal of Nanoscience and Nanotechnology, 2011, 11 (9), pp.8318-8322. ⟨10.1166/jnn.2011.5077⟩
- Accès au bibtex
-
- titre
- Modeling the mechanics of amorphous solids at different length scale and time scale.
- auteur
- D. Rodney, Arnaud Tanguy, D. Vandembroucq
- article
- Modelling and Simulation in Materials Science and Engineering, 2011, 19 (8), pp.08001. ⟨10.1088/0965-0393/19/8/083001⟩
- Accès au bibtex
-
- titre
- Precipitation of delta’ in Al-Li-Mg : modelling and characterisation by SAXS and DSC
- auteur
- F. de Geuser, T. Mourey, C. Sigli, A. Deschamps
- article
- EUROMAT conference, 2011, Montpellier, France
- Accès au bibtex
-
- titre
- Nanostructured hard coatings deposited by cathodic arc deposition: From concepts to applications
- auteur
- Frédéric Sanchette, C. Ducros, T. Schmitt, Philippe Steyer, Alain Billard
- article
- Surface and Coatings Technology, 2011, 205 (23-24), pp.5444-5453. ⟨10.1016/j.surfcoat.2011.06.015⟩
- Accès au bibtex
-
- titre
- Strain relaxation in GaN/AlxGa1-xN superlattices grown by plasma-assisted molecular-beam epitaxy
- auteur
- Y. Kotsar, B. Doisneau, E. Bellet-Amalric, A. Das, E. Sarigiannidou, E. Monroy
- article
- Journal of Applied Physics, 2011, 110 (3), pp.033501. ⟨10.1063/1.3618680⟩
- Accès au bibtex
-
- titre
- Morphological and electrical characterization of ZnO nanocomposites in dye-sensitized solar cells
- auteur
- Germain Rey, N. Karst, Béatrice Doisneau, Hervé Roussel, Patrick Chaudouet, Vincent Consonni, Céline Ternon, Daniel Bellet
- article
- Journal of Renewable and Sustainable Energy, 2011, 3 (5), pp.059101. ⟨10.1063/1.3646762⟩
- Accès au texte intégral et bibtex
-
- titre
- GeTe phase change material and Ti based electrode: Study of thermal stability and adhesion.
- auteur
- S. Loubriat, D. Muyard, F. Fillot, A. Roule, M. Veillerot, J. Barnes, P. Gergaud, L. Vandroux, Mireille Verdier, S. Maitrejean
- article
- Microelectronic Engineering, 2011, 88 (5), pp.817-821
- Accès au bibtex
-
- titre
- Irradiation creep of SA 304L and CW 316 stainless steels: Mechanical behaviour and microstructural aspects. Part II: Numerical simulation and test of SIPA model
- auteur
- Josselin Garnier, Y. Brechet, M. Delnondedieu, A. Renault, C. Pokor, P. Dubuisson, J.P. Massoud
- article
- Journal of Nuclear Materials, 2011, 413 (2), pp.70-75. ⟨10.1016/j.jnucmat.2011.02.058⟩
- Accès au bibtex
-
- titre
- OS05-2-1 In situ experiments in Synchrotron X ray Tomography
- auteur
- Eric Maire, Jérôme Adrien, Caroline Landron, Marco Dimichiel, Luc Salvo
- article
- Abstracts of ATEM: International Conference on Advanced Technology in Experimental Mechanics: Asian Conference on Experimental Mechanics, 2011, Unknown, Unknown Region
- Accès au bibtex
-
- titre
- SAXS mapping of the microstructure in a friction-stir welded Al-Li-Cu alloy
- auteur
- F. de Geuser, B. Malard, A. Deschamps
- article
- THERMEC conference, 2011, Quebec, Canada
- Accès au bibtex
-
- titre
- Study on the influence of a post heat treatment on the mechanical properties of friction stir welding of an aluminium alloy 2050
- auteur
- W.L. Reis Santos, B. Malard, F. de Geuser, A. Deschamps
- article
- EUROMAT conference, 2011, Montpellier, France. 2011
- Accès au bibtex
-
- titre
- XPS investigations of the PEMFC active layers aging: characterization of the mitigating role of an anodic CO contamination on cathode degradation.
- auteur
- V. Parry, G. Berthomé, J.C. Joud, O. Lemaire, A.A. Franco
- article
- Journal of Power Sources, 2011, 196, pp.2530-2538
- Accès au bibtex
-
- titre
- ALEMI: A Ten-Year History of Discussions of Alloying-Element Interactions with Migrating Intefaces.
- auteur
- G. Purdy, J. Agren, A. Borgenstam, Y. Brechet, M. Enomoto, T. Furuhara, E. Gamsjager, M. Goune, M. Hillert, C. Hutchinson, M. Militzer, H. Zurob
- article
- Metallurgical and Materials Transactions A, 2011, 42A (12), pp.3703-3716. ⟨10.1007/s11661-011-0766-0⟩
- Accès au bibtex
-
- titre
- Above room temperature heat capacity and phase transition of lithium tetrahydroborate
- auteur
- A. El Kharbachi, I. Nuta, F. Hodaj, M. Baricco
- article
- Thermochimica Acta, 2011, 520 (1-2), pp.75-79. ⟨10.1016/j.tca.2011.02.043⟩
- Accès au bibtex
-
- titre
- Influence of Polishing-Induced Surface Hardening on the Adhesion of Oxide Scales Grown on a Ferritic Stainless Steel
- auteur
- Y. Madi, E. Salhi, F. Charlot, A. Galerie, Y. Wouters
- article
- Oxidation of Metals, 2011, 75 (3-4), pp.167-181. ⟨10.1007/s11085-010-9226-2⟩
- Accès au bibtex
-
- titre
- Microstructure modifications induced by a laser surface treatment in an AA7449 aluminium alloy
- auteur
- G. Fribourg, A. Deschamps, Y. Brechet, G. Mylonas, G. Labeas, U. Heckenberger, Michel Perez
- article
- Materials Science and Engineering: A, 2011, 528 (6), pp.2736-2747. ⟨10.1016/j.msea.2010.12.018⟩
- Accès au bibtex
-
- titre
- Microstructure of porous composite electrodes generated by the discrete element method
- auteur
- Xiaoxing Liu, Christophe L. Martin, Gerard Delette, Jerome Laurencin, Didier Bouvard, Thibaud Delahaye
- article
- Journal of Power Sources, 2011, 196 (4), pp.2046-2054. ⟨10.1016/j.jpowsour.2010.09.033⟩
- Accès au bibtex
-
- titre
- Characterisation of an Ar-H 2--O 2 ICP by OES: Measurement of the atomic concentrations of H and O
- auteur
- J. Altenberend, M. Maichrzak, Y. Delannoy, G. Chichignoud
- article
- Journal of Physics: Conference Series, 2011, 275 (1), pp.012001
- Accès au bibtex
-
- titre
- Nanostructuration via solid state transformation as a strategy for improving the thermoelectric efficiency of PbTe alloys.
- auteur
- S. Gorsse, P. Bellanger, Y. Brechet, E. Sellier, A. Umarji, U. Ail, Et Al.
- article
- Acta Materialia, 2011, 59 (19), pp.7425-7437
- Accès au bibtex
-
- titre
- Effect of Humidity on the Corrosion Kinetics of Ferritic Stainless Steels Subjected to Synthetic Biogas.
- auteur
- P. Promdirek, G. Lothongkum, Y. Wouters, S. Chandra-Ambhorn, A. Galerie
- article
- Materials Science Forum, 2011, 696, pp.417-422
- Accès au bibtex
-
- titre
- Summary for Policy Makers
- auteur
- Ottmar Edenhofer, R. Pichs-Madruga, Y. Sokona, K. Seyboth, D. Arvizu, T. Bruckner, J. Christensen, Jm Devernay, A. Faaij, M. Fischedick, B. Goldstein, G. Hansen, J. Huckerby, A. Jäger-Waldau, S. Kadner, D. Kammen, V. Krey, A. Kumar, A. Lewis, O. Lucon, P. Matschoss, Lourdes Maurice, Catherine Mitchell, William Moomaw, Jose Moreira, Alain Nadaï, Lars J. Nilsson, John Nyboer, Atiq Rahman, Jayant Sathaye, Janet L. Sawin, Roberto Schaeffer, Tormod Schei, Steffen Schloemer, Ralph Sims, Aviel Verbruggen, Christoph von Stechow, Kevin Urama, Ryan Wiser, Francis Yamba, Timm Zwickel
- article
- P. Matschoss, S. Kadner, T. Zwickel, P. Eickemeier, G. Hansen, S. Schlömer, CV. Stechow. IPCC Special Report on Renewable Energy Sources and Climate Change Mitigation, Cambridge, United Kingdom and New York, NY, USA, pp.1-29, 2011
- Accès au bibtex
-
- titre
- La disqualification des experts.
- auteur
- Yves Bréchet
- article
- Conférence à l'Académie des Sciences Morales et Politiques, 2011, Paris, France
- Accès au bibtex
-
- titre
- On triple dislocation nodes observed by TEM in a Ge(0.4)Si(0.6) film grown on a slightly deviating (001)Si substrate
- auteur
- S. Neily, S. Youssef, A. Gutakovskii, R. Bonnet
- article
- Philosophical Magazine Letters, 2011, 91 (8), pp.510-515. ⟨10.1080/09500839.2011.588612⟩
- Accès au bibtex
-
- titre
- Aluminum nitride homoepitaxial growth on polar and non-polar AlN PVT substrates by high temperature CVD (HTCVD).
- auteur
- A. Claudel, Y. Chowanek, E. Blanquet, D. Chaussende, R. Boichot, Alexandre Crisci, G. Berthomé, H. Mank, S. Luca, D. Pique, M. Pons
- article
- physica status solidi (c), 2011, 8 (7-8), pp.2019-2021
- Accès au bibtex
-
- titre
- Dynamics of the inner tetrahedron of the 1/1 ZnSc quaiscrystal approximant: experiment and simulations.
- auteur
- M. de Boissieu
- article
- Asian International Workshop on Quasicrystals 6, 2011, Seoul, South Korea
- Accès au bibtex
-
- titre
- Pressure-induced amorphization mechanism in Eu(2)(MoO(4))(3)
- auteur
- O. Le Bacq, D. Machon, Denis Testemale, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (21), pp.214101. ⟨10.1103/PhysRevB.83.214101⟩
- Accès au bibtex
-
- titre
- Study of stress in tensile nitrogen-plasma-treated multilayer silicon nitride films
- auteur
- Pascal Morin, G. Raymond, D. Benoit, D. Guiheux, R. Pantel, F. Volpi, M. Braccini
- article
- Journal of Vacuum Science & Technology A, 2011, 29 (47), ⟨10.1116/1.3602082⟩
- Accès au bibtex
-
- titre
- Study of Thin Sandwich Beams With Steel Faces and Perforated Polymer Core in Bending Loading: Experiments and Simulations
- auteur
- P. Lhuissier, J.P. Masse, L. Salvo, Y. Brechet
- article
- Journal of Applied Mechanics-Transactions of the Asme, 2011, 78 (1), pp.5. ⟨10.1115/1.4002364⟩
- Accès au bibtex
-
- titre
- Microstructural development of interface layers between co-sintered alumina and spinel compacts
- auteur
- E. Yalamac, C. Carry, S. Akkurt
- article
- Journal of the European Ceramic Society, 2011, 31 (9), pp.1649-1659. ⟨10.1016/j.jeurceramsoc.2011.03.020⟩
- Accès au bibtex
-
- titre
- Generalized phonon density of states of Mo3Sb7 and Mo3Sb5.4Te1.6 from inelastic neutron scattering and lattice dynamical calculations.
- auteur
- Christophe Candolfi, Bertrand Lenoir, Anne Dauscher, Michael Marek Koza, Marc de Boissieu, Małgorzata Sternik, Krzysztof Parlinski
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (22), ⟨10.1103/PhysRevB.84.224306⟩
- Accès au texte intégral et bibtex
-
- titre
- Ti-Doped Sapphire (Al(2)O(3)) Single Crystals Grown by the Kyropoulos Technique and Optical Characterizations.
- auteur
- Abdeldjelil Nehari, Alain Brenier, Gerard Panzer, Kheireddine Lebbou, Jerome Godfroy, Serge Labor, Herve Legal, Gilles Cheriaux, Jean-Paul Chambaret, Thierry Duffar, Richard Montcorge
- article
- Crystal Growth & Design, 2011, 11 (2), pp.445-448. ⟨10.1021/cg101190q⟩
- Accès au texte intégral et bibtex
-
- titre
- Métallurgie physique des alliages Al-Li-Cu. Nouvelles solutions Aluminium pour l'aéronautique.
- auteur
- A. Deschamps
- article
- Journée de l'A3F, 2011, Voreppe, France
- Accès au bibtex
-
- titre
- Evolution of the metal-oxide interface during the initial stage of the high temperature oxidation of ferritic stainless steels.
- auteur
- J. Issartel, S. Martoia, F. Charlot, V. Parry, Y. Wouters, A. Galerie
- article
- Materials at High Temperatures, 2011, 28 (4), pp.274-278. ⟨10.3184/096034011x13184232959090⟩
- Accès au bibtex
-
- titre
- From atoms to the pressure vessel.
- auteur
- Y. Bréchet
- article
- Colloque H.Bui, 2011, Paris, France
- Accès au bibtex
-
- titre
- Search for multi-functional catalysts: The electrooxidation of acetaldehyde on Platinum-Ruthenium-Rhodium electrodeposits
- auteur
- G.A.B. Mello, M. Janete Giza, Giuseppe A. Camara, Alexandre Crisci, Marian Chatenet
- article
- Journal of electroanalytical chemistry and interfacial electrochemistry, 2011, 660 (1), pp.85-90. ⟨10.1016/j.jelechem.2011.06.009⟩
- Accès au bibtex
-
- titre
- Performance of La(2-x)Sr(x)Co(0.5)Ni(0.5)O(4 +/-delta) as an Oxygen Electrode for Solid Oxide Reversible Cells
- auteur
- M.A. Laguna-Bercero, N. Kinadjan, R. Sayers, H. El Shinawi, C. Greaves, S.J. Skinner
- article
- Fuel Cells, 2011, 11 (1), pp.102-107. ⟨10.1002/fuce.201000067⟩
- Accès au bibtex
-
- titre
- Analysis of the densification of a vibrated sand packing
- auteur
- Ahmed Raihane, Olivier Bonnefoy, Jean-Marc Chaix, Jean-Louis Gelet, Gérard Thomas
- article
- Powder Technology, 2011, 208 (2), pp.289-295. ⟨10.1016/j.powtec.2010.08.018⟩
- Accès au texte intégral et bibtex
-
- titre
- Parametric study of horizontally vibrated grain packings - Comparison between Discrete Element Method and experimental results
- auteur
- Sébastien Nadler, Olivier Bonnefoy, Jean-Marc Chaix, G. Thomas, Jean-Louis Gelet
- article
- European Physical Journal E: Soft matter and biological physics, 2011, 34 (6), pp.66. ⟨10.1140/epje/i2011-11066-y⟩
- Accès au texte intégral et bibtex
-
- titre
- Absolute thermoelectric power of PbSn alloys.
- auteur
- I. Kaldre, Y. Fautrelle, J. Etay, A. Bojarevics, L. Buligins
- article
- Modern Physics Letters B, 2011, 25 (10), pp.731-738. ⟨10.1142/s0217984911026048⟩
- Accès au bibtex
-
- titre
- Local order and dynamic properties in liquid Au-Ge eutectic alloys by ab initio molecular dynamics
- auteur
- A. Pasturel, N. Jakse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (13), ⟨10.1103/PhysRevB.84.134201⟩
- Accès au bibtex
-
- titre
- Magnetic imaging by x-ray holography using extended references
- auteur
- Thomas A. Duckworth, Feodor Ogrin, Sarnjeet S. Dhesin, Sean Langridge, Amy Whiteside, Thomas Moore, Guillaume Beutier, Gerrit van Der Laan
- article
- Optics Express, 2011, 19 (17), pp.16223-16228. ⟨10.1364/OE.19.016223⟩
- Accès au bibtex
-
- titre
- Architectural optimization of porous Ultra Low K dielectric material via Finite Element simulations.
- auteur
- D. Jauffres, R. Dendievel, M. Verdier
- article
- Thin Solid Films, 2011, 520 (1), pp.430-436. ⟨10.1016/j.tsf.2011.08.085⟩
- Accès au bibtex
-
- titre
- Metallurgical aspects of corrosion resistance of aluminium alloys
- auteur
- M.C. Reboul, B. Baroux
- article
- Materials and Corrosion / Werkstoffe und Korrosion, 2011, 62 (3), pp.215-233. ⟨10.1002/maco.201005650⟩
- Accès au bibtex
-
- titre
- High-temperature deformation of the gamma-Mg(17)Al(12) complex metallic alloy
- auteur
- J. Ragani, P. Donnadieu, C. Tassin, J.J. Blandin
- article
- Scripta Materialia, 2011, 65 (3), pp.253-256. ⟨10.1016/j.scriptamat.2011.04.022⟩
- Accès au bibtex
-
- titre
- (Fe(0.5)Co(0.5))(0.75)B(0.20)Si(0.05) (96)Nb(4) Metallic Glasses with Small Cu Additions
- auteur
- M. Stoica, R. Li, S. Roth, J. Eckert, G. Vaughan, A.R. Yavari
- article
- Metallurgical and Materials Transactions A, 2011, 42A (6), pp.1476-1480. ⟨10.1007/s11661-010-0435-8⟩
- Accès au bibtex
-
- titre
- Viscous flow in sliding shear band formed during tensile deformation of hypoeutectic Zr-based metallic glass
- auteur
- Y. Yokoyama, H. Tokunaga, A.R. Yavari, M. Yamada, T. Yamasaki, K. Fujita, A. Inoue
- article
- Intermetallics, 2011, 19 (11), pp.1683-1687. ⟨10.1016/j.intermet.2011.06.017⟩
- Accès au bibtex
-
- titre
- A new approach for non-contact calorimetry: system identification using pseudo-white noise perturbation
- auteur
- P. Schetelat, J. Etay
- article
- Heat and Mass Transfer, 2011, 47 (7), pp.759-769. ⟨10.1007/s00231-010-0711-⟩
- Accès au bibtex
-
- titre
- Constitutive modeling of the behaviour of cermet compacts during reaction sintering
- auteur
- G. Largiller, L. Dong, D. Bouvard, C.P. Carry, Aurélie Gabriel
- article
- Powder Technology, 2011, 208 (2), pp.496-502. ⟨10.1016/j.powtec.2010.08.049⟩
- Accès au bibtex
-
- titre
- Coherent scattering from silicon monocrystal surface
- auteur
- F. Livet, G. Beutier, M. de Boissieu, S. Ravy, F. Picca, D. Le Bolloc'H, V. Jacques.
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2011, 605, pp.390-395. ⟨10.1016/j.susc.2010.11.006⟩
- Accès au bibtex
-
- titre
- X-ray study of antiphase domains and their stability in MBE grown GaP on Si.
- auteur
- A. Letoublon, Weiming Guo, C. Cornet, Alexandre Boulle, M. Veron, Alexandre Bondi, Olivier Durand, Tony Rohel, Olivier Dehaese, Nicolas Chevalier, Nicolas Bertru, Alain Le Corre
- article
- Journal of Crystal Growth, 2011, Proceedings of the 16th International Conference on Molecular Beam Epitaxy (ICMBE), 323 (1), pp.409-412. ⟨10.1016/j.jcrysgro.2010.10.137⟩
- Accès au bibtex
-
- titre
- Homogeneous deformation of Ti41.5Cu37.5Ni7.5Zr2.5Hf5Si1 bulk metallic glass in the supercooled liquid region
- auteur
- J.N. Mei, Jean-Louis Soubeyroux, Jean-Jacques Blandin, J.S. Li, H.C. Kou, H.Z. Fu, L. Zhou
- article
- Intermetallics, 2011, 19, pp.48-53. ⟨10.1016/j.intermet.2010.09.005⟩
- Accès au bibtex
-
- titre
- On the validity of simple precipitate size measurements by small-angle scattering in metallic systems
- auteur
- Alexis Deschamps, F. de Geuser
- article
- Journal of Applied Crystallography, 2011, 44 (2), ⟨10.1107/S0021889811003049⟩
- Accès au texte intégral et bibtex
-
- titre
- An excursion into the design space of biomimetic architectured biphasic actuators
- auteur
- S. Turcaud, L. Guiducci, P. Fratzl, J. Dunlop, Y. Brechet
- article
- International Journal of Materials Research, 2011, 102 (6), pp.607-12
- Accès au bibtex
-
- titre
- Influence of Hydrogen and Water Vapour on the Kinetics of Chromium Oxide Growth at High Temperature
- auteur
- S. Guillou, C. Cabet, C. Desgranges, Loic Marchetti, Y. Wouters
- article
- Oxidation of Metals, 2011, 76 (3-4), pp.193-214. ⟨10.1007/s11085-011-9246-6⟩
- Accès au bibtex
-
- titre
- Direct Observation of Charge Order in Triangular Metallic AgNiO2 by Single-Crystal Resonant X-Ray Scattering
- auteur
- G.L. Pascut, R. Coldea, P.G. Radaelli, A. Bombardi, G. Beutier, I. I. Mazin, M.D. Johannes, M. Jansen
- article
- Physical Review Letters, 2011, 106, pp.157206. ⟨10.1103/PhysRevLett.106.157206⟩
- Accès au bibtex
-
- titre
- Mechanical properties of hierarchical porous silica thin films: experimental characterization by nanoindentation and Finite Element modelling
- auteur
- David Jauffres, Christelle Yacou, M. Verdier, Remy Dendievel, Andre Ayral
- article
- Microporous and Mesoporous Materials, 2011, 140 (1-3), pp.120-129. ⟨10.1016/j.micromeso.2010.09.004⟩
- Accès au texte intégral et bibtex
-
- titre
- A combined approach to microstructure mapping on an Al-Cu-Li 2050 friction stir weld
- auteur
- B. Malard, F. de Geuser, W.L. Reis Santos, A. Deschamps
- article
- THERMEC conference, 2011, Quebec, Canada
- Accès au bibtex
-
- titre
- Rotating flow within a droplet actuated with AC EWOD
- auteur
- Rachid Malk, Yves Fouillet, Laurent Davoust
- article
- Sensors and Actuators B: Chemical, 2011, 154 (2), pp.191-198. ⟨10.1016/j.snb.2009.12.066⟩
- Accès au texte intégral et bibtex
-
- titre
- Reflow of supported sub-100 nm polymer films as a characterization process for Nano Imprint lithography
- auteur
- Tanguy Lévéder, Etienne Rognin, Stéfan Landis, Laurent Davoust
- article
- Microelectronic Engineering, 2011, 88 (8), pp.1867-1870. ⟨10.1016/j.mee.2011.01.078⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of carbon doping on the structure of amorphous GeTe phase change material
- auteur
- G. E. Ghezzi, J. Y. Raty, S. Maitrejean, A. Roule, E. Elkaim, F. Hippert
- article
- Applied Physics Letters, 2011, 99 (15), pp.151906. ⟨10.1063/1.3651321⟩
- Accès au bibtex
-
- titre
- EXPERIMENTAL TESTS OF QUANTUM MECHANICS PAULI EXCLUSION PRINCIPLE VIOLATION (THE VIP EXPERIMENT) AND FUTURE PERSPECTIVES
- auteur
- C. Curceanu (petrascu), S. Bartalucci, M. Bragadireanu, C. Guaraldo, M. Iliescu, T. Pietreanu, T. Ponta, A. Romero Vidal, A. Scordo, D. L. Sirghi, F. Sirghi, L. Sperandio, O. Vazquez Doce, M. Cargnelli, T. Ishiwatari, J. Marton, E. Widmann, J. Zmeskal, S. Bertolucci, Sergio Di Matteo, J. -P. Egger, E. Milotti, M. Laubenstein
- article
- International Journal of Quantum Information, 2011, 9 (1), pp.145-154. ⟨10.1142/S0219749911007162⟩
- Accès au bibtex
-
- titre
- Architectured Materials and Natural materials
- auteur
- Y. Bréchet
- article
- Fondation Ecologie d'Avenir, 2011, Paris, France
- Accès au bibtex
-
- titre
- Materiaux pour le Nucleaire.
- auteur
- Y. Bréchet
- article
- Collège de France, Conference sur l Energie,, 2011, PARIS, France
- Accès au bibtex
-
- titre
- Elaboration and characterization of bimetallic gold-silver nanoparticles supported on mesostructured silica films
- auteur
- Fernand Chassagneux, Laurence Bois, Jean-Paul Simon, Cedric Desroches, Arnaud Brioude
- article
- Journal of Materials Chemistry, 2011, 21 (32), pp.11947-11955. ⟨10.1039/c1jm11331c⟩
- Accès au bibtex
-
- titre
- Ni- and Cu-free Zr-Al-Co-Ag bulk metallic glasses with superior glass-forming ability
- auteur
- N. Hua, S. Pang, Yan Li, J. Wang, Ran Li, K. Georgarakis, A.R. Yavari, G. Vaughan, Tao Zhang
- article
- Journal of Materials Research, 2011, 26 (4), pp.539-546. ⟨10.1557/jmr.2010.65⟩
- Accès au bibtex
-
- titre
- Anomalous vibrational dynamics in the Mg(2)Zn(11) phase
- auteur
- H. Euchner, M. Mihalkovic, F. Gahler, M.R. Johnson, H. Schober, S. Rols, E. Suard, A. Bosak, S. Ohhashi, A.P. Tsai, S. Lidin, C.P. Gomez, J. Custers, S. Paschen, M. de Boissieu
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (14), ⟨10.1103/PhysRevB.83.144202⟩
- Accès au bibtex
-
- titre
- Structural relaxation of Ti40Zr25Ni8Cu9Be18 bulk metallic glass
- auteur
- J.N. Mei, Jean-Louis Soubeyroux, Jean-Jacques Blandin, J.S. Li, H.C. Kou, H.Z. Fu, Lian Zhou
- article
- Journal of Non-Crystalline Solids, 2011, 357, pp.110-115. ⟨10.1016/j.jnoncrysol.2010.09.006⟩
- Accès au texte intégral et bibtex
-
- titre
- Effects of microstructure on hydrogen induced cracking in Aluminium alloys.
- auteur
- N. Benali, D. Tanguy, R. Estevez
- article
- Scripta Materialia, 2011, 65, pp.201-213
- Accès au bibtex
-
- titre
- A combined approach to microstructure mapping of an Al-Li AA2199 friction stir weld
- auteur
- A. Steuwer, M. Dumont, J. Altenkirch, S. Birosca, A. Deschamps, P.B. Prangnell, P. J. Withers
- article
- Acta Materialia, 2011, 59 (8), pp.3002-3011. ⟨10.1016/j.actamat.2011.01.040⟩
- Accès au bibtex
-
- titre
- Shaping of metallic glasses by stress-annealing without thermal embrittlement.
- auteur
- M. Aljerf, K. Georgarakis, A.R. Yavari
- article
- Acta Materialia, 2011, 59 (10), pp.3817-3824. ⟨10.1016/j.actamat.2011.02.039⟩
- Accès au bibtex
-
- titre
- Influence of an axial uniform magnetic field on the solid/liquid interface curvature and macrosegregation in directionally solidified the Al-0.85 wt.% Cu alloy
- auteur
- Xiaojian Li, Z.M. Ren, G.H. Cao, A. Gagnoud, Y. Fautrelle
- article
- Materials Letters, 2011, 65 (21-22), pp.3340-3343. ⟨10.1016/j.matlet.2011.06.063⟩
- Accès au bibtex
-
- titre
- Investigation of thermoelectric magnetic force in solid and its effect on morphological instability in directional solidification
- auteur
- Xiaojian Li, A. Gagnoud, Y. Fautrelle, Z.M. Ren, G. H. Cao, R. Moreau, Y.D. Zhang, C. Esling
- article
- Journal of Crystal Growth, 2011, 324 (1), pp.217-224. ⟨10.1016/j.jcrysgro.2011.03.003⟩
- Accès au bibtex
-
- titre
- Creep of replicated microcellular aluminium
- auteur
- S. Soubielle, F. Diologent, L. Salvo, A. Mortensen
- article
- Acta Materialia, 2011, 59 (2), pp.440-450. ⟨10.1016/j.actamat.2010.09.037⟩
- Accès au bibtex
-
- titre
- Supercritical hydrothermal synthesis of metallic cobalt nanoparticles and its thermodynamic analysis
- auteur
- G. Seong, S. Takami, T. Arita, K. Minami, D. Hojo, A.R. Yavari, T. Adschiri
- article
- Journal of Supercritical Fluids, 2011, 60, pp.113-120. ⟨10.1016/j.actamat.2011.01.022⟩
- Accès au bibtex
-
- titre
- Design of a W/Steel functionally graded material for plasma facing components of DEMO.
- auteur
- J.M. Missiaen, J.J. Raharijaona, A. Antoni, C. Pascal, M. Richou, P. Magaud
- article
- Journal of Nuclear Materials, 2011, 416, pp.262-269. ⟨10.1016/j.jnucmat.2011.05.054⟩
- Accès au bibtex
-
- titre
- Comment on "Bulk Dislocation Core Dissociation Probed by Coherent X Rays in Silicon" Reply.
- auteur
- V.L.R. Jacques, S. Ravy, D. Le Bolloc'H, E. Pinsolle, M. Sauvage-Simkin, F. Livet
- article
- Physical Review Letters, 2011, 107 (19), ⟨10.1103/PhysRevLett.107.199602⟩
- Accès au bibtex
-
- titre
- Projet ARGIC: Analyse du retrait-gonflement et de ses incidences sur les constructions. rapport final.
- auteur
- Vincent Marc, Roger Cojean, Jean-Marie Fleureau, Yu-Jun Cui, Catherine Jacquard, Jean-Bernard Kazmierczak, Farimah Masrouri, Denis Tessier, I. Alimi-Ichola, J.P. Magnan, M. Blanchard, Richard Fabre, A. Pantet, Martine Audiguier, Emmanuelle Plat, H. Souli, S. Taïbi, Anh Minh A.M. Tang, C. Morlock, Tatiana Maison, Mohamad Mrad, N. Breda, Isabelle Djeran-Maigre, Myriam Duc, J.-M. Soubeyroux, Alain Denis, D. Proust, Zemenu Geremew, Sébastien Le Roy, M. Dumont, S. Hemmati, Hossein Nowamooz, Yves Coquet, Catherine Pothier, Lamis Makki, Marie Chrétien, C. Fontaine
- article
- 2011
- Accès au bibtex
-
- titre
- Ab initio study of hydrogen related defect in ZrO(2): Consequences on dry and aqueous oxidation.
- auteur
- B. Malki, O. Le Bacq, A. Pasturel
- article
- Journal of Nuclear Materials, 2011, 416 (3), pp.362-368. ⟨10.1016/j.jnucmat.2011.06.038⟩
- Accès au bibtex
-
- titre
- Sol-gel titania films on YHfAl foils and their influence on inhibition of transition aluminas during oxidation at high temperatures.
- auteur
- R. Chegroune, M. Keddam, Y. Wouters, E. Sahli
- article
- Applied Surface Science, 2011, 257 (9), pp.3929-3935. ⟨10.1016/j.apsusc.2010.11.124⟩
- Accès au bibtex
-
- titre
- Deformation and Fracture Behavior of Metallic Glassy Alloys and Glassy-Crystal Composites
- auteur
- D.V. Louzguine-Luzgin, A. Vinogradov, S. Li, A. Kawashima, Gaogang Xie, A.R. Yavari, A. Inoue
- article
- Metallurgical and Materials Transactions A, 2011, 42A (6), pp.1504-1510. ⟨10.1007/s11661-010-0391-3⟩
- Accès au bibtex
-
- titre
- Microscale and Mesoscale Crystallographic Textures of Nanocrystalline Ni-Based Electrodeposits
- auteur
- P. Cizek, M.R. Barnett, M.D. Nave, E.F. Rauch, R. Balasubramaniam
- article
- Metallurgical and Materials Transactions A, 2011, 42A (7), pp.2048-2060. ⟨10.1007/s11661-010-0583-x⟩
- Accès au bibtex
-
- titre
- High-pressure polarized Raman spectra of Gd(2)(MoO(4))(3): phase transitions and amorphization
- auteur
- G. Lucazeau, O. Le Bacq, A. Pasturel, P. Bouvier, T. Pagnier
- article
- Journal of Raman Spectroscopy, 2011, 42 (3), pp.452-460. ⟨10.1002/jrs.2731⟩
- Accès au bibtex
-
- titre
- Behaviour of ferritic stainless steels subjected to dry biogas atmospheres at high temperatures
- auteur
- P. Promdirek, G. Lothongkhum, S. Chandra-Ambhorn, Y. Wouters, A. Galerie
- article
- Materials and Corrosion / Werkstoffe und Korrosion, 2011, 62 (7), pp.616-622. ⟨10.1002/maco.201005878⟩
- Accès au bibtex
-
- titre
- Structure, growth characteristic and magnetic properties in directionally solidified Bi-MnBi composite under strong magnetic fieldsitu vitrification
- auteur
- Xiaojian Li, Z.M. Ren, G. H. Cao, Y. Fautrelle, C. Esling
- article
- Acta Materialia, 2011, 59 (16), pp.6297-6307. ⟨10.1016/j.actamat.2011.06.040⟩
- Accès au bibtex
-
- titre
- Advances in the reconstruction of atom probe tomography data.
- auteur
- B. Gault, D. Haley, F. de Geuser, M.P. Moody, E.A. Marquis, D.J. Larson, Et Al.
- article
- Ultramicroscopy, 2011, 111 (6), ⟨10.1016/j.ultramic.2010.11.016⟩
- Accès au bibtex
-
- titre
- Atom probe tomography and transmission electron microscopy characterisation of precipitation in an Al-Cu-Li-Mg-Ag alloy.
- auteur
- B. Gault, F. de Geuser, L. Bourgeois, B.M. Gabble, S.P. Ringer, B.M. Muddle.
- article
- Ultramicroscopy, 2011, 111 (6), ⟨10.1016/j.ultramic.2010.12.004⟩
- Accès au bibtex
-
- titre
- On the thermomechanical behavior of two-dimensional foam/metal joints with shear-deformable adherends - Parametric study
- auteur
- J. Randrianalisoa, R. Dendievel, Y. Bréchet
- article
- Composites Part B: Engineering, 2011, 42 (7), pp.2055-2066. ⟨10.1016/j.compositesb.2011.04.011⟩
- Accès au bibtex
-
- titre
- Effet de confinement géométrique sur la déformation plastique cristalline : cas du film mince sur substrat
- auteur
- S. Vu-Hoang, G. Parry, M. Verdier
- article
- Matériaux & Techniques, 2011, 99, pp.261-270
- Accès au bibtex
-
- titre
- About the internal pressure in cavities derived from implantation-induced blistering in semi-conductors.
- auteur
- G. Parry, C. Coupeau, E. Dion, M.-L. David, J. Colin, J. Grilhé
- article
- Journal of Applied Physics, 2011, 110 (11), ⟨10.1063/1.3664741⟩
- Accès au bibtex
-
- titre
- Structural stability of intermetallic phases in the Ga-Ti system
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Calphad, 2011, 35 (1), pp.133-141. ⟨10.1016/j.calphad.2010.12.003⟩
- Accès au bibtex
-
- titre
- Realization, test and modelling of honeycomb wallboards containing a Phase Change Material
- auteur
- Colas Hasse, Manuel Grenet, André Bontemps, Rémy Dendievel, Hébert Sallée
- article
- Energy and Buildings, 2011, 43, pp.232-238. ⟨10.1016/j.enbuild.2010.09.017⟩
- Accès au texte intégral et bibtex
-
- titre
- Reorganization Induced by Silver Salt Reduction Inside a Mesostructured Block Copolymer Silica Film.
- auteur
- Fernand Chassagneux, Jean-Paul Simon, L. Bois, C. Desroches, A. Brioude
- article
- Journal of Physical Chemistry C, 2011, 115 (51), pp.25201-25208. ⟨10.1021/jp207207x⟩
- Accès au bibtex
-
- titre
- Photoelectrochemical investigations on individual ferritic and austenitic grains of a duplex stainless steel oxidized in water vapour.
- auteur
- A. Srisrual, J.P. Petit, Y. Wouters, C. Pascal, A. Galerie
- article
- Materials at High Temperatures, 2011, 28 (4), pp.349-354. ⟨10.3184/096034011x13190163136192⟩
- Accès au bibtex
-
- titre
- Du Materiau par hasard au materiau sur mesure.
- auteur
- Yves Bréchet
- article
- Conference Science en fete au Trocadero, 2011, Paris, France
- Accès au bibtex
-
- titre
- New DC electromagnetic wiping system for hot-dip coating
- auteur
- M. Dumont, R. Ernst, Y. Fautrelle, B. Grenier, J.J. Hardy, M. Anderhuber
- article
- COMPEL: The International Journal for Computation and Mathematics in Electrical and Electronic Engineering, 2011, 30 (5), pp.1663-1671
- Accès au bibtex
-
- titre
- Influence of copper additions in Fe-10Ni (mass.%) alloys on cooling microstructures
- auteur
- C. Crozet, A. Antoni-Zdziobek, S. Lay, S. Coindeau
- article
- Solid State Phenomena, 2011, 172-174, pp.505-510. ⟨10.4028/www.scientific.net/SSP.172-174.505⟩
- Accès au bibtex
-
- titre
- Multiscale modeling of indentation : from atomic to continuum.
- auteur
- M. Fivel
- article
- Ioan R. Ionescu, Salima Bouvier, Oana Cazacu et Patrick Franciosi. Plasticity of Crystalline Materials. From Dislocation to Continuum., Wiley, pp.37-56, 2011, ISBN 978-1-84821-278-7
- Accès au bibtex
-
- titre
- Laves phase formation in the Fe20Co18W alloy annealed at 800°C.
- auteur
- P. Galimberti, S. Lay, A. Antoni-Zdziobek
- article
- Solid State Phenomena, 2011, 172-174, pp.517-522
- Accès au bibtex
-
- titre
- Electrochemical aspects of exfoliation corrosion of aluminium alloys: The effects of heat treatment
- auteur
- T. Marlaud, B. Malki, A. Deschamps, B. Baroux
- article
- Corrosion Science, 2011, 53, pp.1394-1400
- Accès au bibtex
-
- titre
- Bulk Dislocation Core Dissociation Probed by Coherent X Rays in Silicon
- auteur
- V.L.R. Jacques, S. Ravy, D. Le Bolloc'H, E. Pinsolle, M. Sauvage-Simkin, F. Livet
- article
- Physical Review Letters, 2011, 106 (6), ⟨10.1103/PhysRevLett.106.065502⟩
- Accès au bibtex
-
- titre
- Growth and Characterization of Thick Polycrystalline AlN Layers by HTCVD
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, R. Boichot, Roland Martin, H. Mank, Alexandre Crisci, B. Doisneau, P. Chaudouet, S. Coindeau, D. Pique, M. Pons
- article
- Journal of The Electrochemical Society, 2011, 158 (3), pp.H328-H332. ⟨10.1149/1.3536477⟩
- Accès au bibtex
-
- titre
- Inclined misfit dislocations in a film/substrate system.
- auteur
- Salem Neily, Sami Youssef, Anton Gutakovskii, Roland Bonnet
- article
- physica status solidi (a), 2011, 208 (8), pp.1896-1901
- Accès au bibtex
-
- titre
- A Simple Model to Describe the Average Propagation Energy of a Brittle Crack Running Along a Porous Interface
- auteur
- M. Dupeux
- article
- Journal of Adhesion Science and Technology, 2011, 25 (10), pp.1035-1048. ⟨10.1163/016942410X524002⟩
- Accès au bibtex
-
- titre
- On Morphology and Strain Field of Ge/Si(001) Islands According to TEM Phase Imaging Method
- auteur
- P. Donnadieu, T. Neisius, G. Amiard, A. Gouye, A. Ronda, Isabelle Berbezier
- article
- Journal of Nanoscience and Nanotechnology, 2011, 11 (10), pp.9208-9214
- Accès au bibtex
-
- titre
- Mesure de module d'Young d'un film mince à partir de mesures expérimentales de nanoindentation réalisées sur des systèmes multicouches
- auteur
- D. Mercier, V. Mandrillon, M. Verdier, Y. Bréchet
- article
- Matériaux & Techniques, 2011, 99, pp.168-178
- Accès au bibtex
-
- titre
- Direct observation of local atomic order in a metallic glass
- auteur
- A. Hirata, P.F. Guan, T. Fujita, Y. Hirotsu, A. Inoue, A.R. Yavari, T. Sakurai, M.W. Chen
- article
- Nature Materials, 2011, 10 (1), pp.28-33. ⟨10.1038/nmat2897⟩
- Accès au bibtex
-
- titre
- Modelling in materials science
- auteur
- Y. Bréchet
- article
- Elyt Summer school, 2011, Lyon, France
- Accès au bibtex
-
- titre
- Interface reactivity and induced phenomena during sintering in a Fe-W-C/WC-Fe bi-material.
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- Advanced Engineering Materials, 2011, 13 (7), pp.594-598
- Accès au bibtex
-
- titre
- First-principles approach to phase stability for a ternary sigma phase: Application to Cr-Ni-Re
- auteur
- M. Palumbo, T. Abe, S.G. Fries, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (14)
- Accès au bibtex
-
- titre
- Hydrogen Electro-Insertion into Pd/Pt(111) Nanofilms: An in Situ Surface X-ray Diffraction Study
- auteur
- Y. Soldo-Olivier, M. C. Lafouresse, Maurizio de Santis, C. Lebouin, M. de Boissieu, E. Sibert
- article
- Journal of Physical Chemistry C, 2011, 115 (24), pp.12041-12047. ⟨10.1021/jp201376d⟩
- Accès au bibtex
-
- titre
- L'esprit Chevenard.
- auteur
- Y. Brechet
- article
- Conference pour le centenaire de Imphy, 2011, Imphy, France
- Accès au bibtex
-
- titre
- Size mismatch, grain boundary and bandwidth effects on structural, magnetic and electrical properties of Pr0.67Ba0.33MnO3 and Pr0.67Sr0.33MnO3 perovskites
- auteur
- S. Hcini, S. Zemni, A. Triki, H Rahmouni, Michel Boudard
- article
- Journal of Alloys and Compounds, 2011, 509 (5), pp.1394-1400. ⟨10.1016/j.jallcom.2010.10.190⟩
- Accès au bibtex
-
- titre
- Structural stability of the D8m- Ti5Sn2Si compound
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Calphad, 2011, 35 (4), pp.643-647. ⟨10.1016/j.calphad.2011.05.008⟩
- Accès au bibtex
-
- titre
- An ab initio study of the structural, electronic, and thermodynamic properties of Ti6Si2B and Ti6Ge2B with Fe2P -type structure
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Solid State Communications, 2011, 14-15, pp.1018-1021. ⟨10.1016/j.ssc.2011.04.021⟩
- Accès au bibtex
-
- titre
- Elucidation of mechanisms involved during silica reduction on silicon powders
- auteur
- J.M. Lebrun, J.M. Missiaen, C. Pascal
- article
- Scripta Materialia, 2011, 64 (12), pp.1102-1105. ⟨10.1016/j.scriptamat.2011.02.031⟩
- Accès au bibtex
-
- titre
- A Phenomenological Analysis of Sintering Mechanisms of W-Cu from the Effect of Copper Content on Densification Kinetics
- auteur
- J.J. Raharijaona, J.M. Missiaen, D. Bouvard
- article
- Metallurgical and Materials Transactions A, 2011, 42A (8), pp.2411-2419. ⟨10.1007/s11661-011-0615-1⟩
- Accès au bibtex
-
- titre
- From Si nanowire to SiC nanotube
- auteur
- L. Latu-Romain, M. Ollivier, A. Mantoux, G. Auvert, O. Chaix-Pluchery, E. Sarigiannidou, Edwige Bano, B. Pelissier, C. Roukoss, Hervé Roussel, F. Dhalluin, B. Salem, N. Jegenyes, G. Ferro, D. Chaussende, T. Baron
- article
- Journal of Nanoparticle Research, 2011, 13 (10), pp.5425-5433. ⟨10.1007/s11051-011-0530-9⟩
- Accès au bibtex
-
- titre
- An analytical description of the mechanical hysteresis of entangled materials during loading–unloading in uniaxial compression
- auteur
- Olivier Bouaziz, J.P. Masse, Yves Brechet
- article
- Scripta Materialia, 2011, 64, pp.107-109. ⟨10.1016/j.scriptamat.2010.09.007⟩
- Accès au bibtex
-
- titre
- Resistance to oxidation of graphite silicided by reactive infiltration
- auteur
- Rana Israel, Guillaume de Combarieu, Beatrice Drevet, Denis Camel, Nicolas Eustathopoulos, Olivier Raymond
- article
- Journal of the European Ceramic Society, 2011, 31 (12), pp.2167-2174. ⟨10.1016/j.jeurceramsoc.2011.05.005⟩
- Accès au bibtex
-
- titre
- Precipitation and hardening behaviour of the Fe20Co18W alloy aged at 800 degrees C
- auteur
- P. Galimberti, S. Lay, A. Antoni-Zdziobek, S. Coindeau, M. Veron, F. Bley, M. de Boissieu
- article
- Intermetallics, 2011, 19 (4), pp.556-562. ⟨10.1016/j.intermet.2010.12.007⟩
- Accès au bibtex
-
- titre
- High spatial resolution semi-automatic crystallite orientation and phase mapping of nanocrystals in transmission electron microscopes
- auteur
- P. Moeck, S. Rouvimov, E.F. Rauch, M. Veron, H. Kirmse, I. Hausler, W. Neumann, D. Bultreys, Y. Maniette, S. Nicolopoulos
- article
- Crystal Research and Technology, 2011, 46 (6), pp.589-606. ⟨10.1002/crat.201000676⟩
- Accès au bibtex
-
- titre
- Interface Reactivity and Induced Phenomena during Sintering in a Model Steel/Cemented Carbide Bimaterial
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- Advanced Engineering Materials, 2011, 13 (7), pp.594-598. ⟨10.1002/adem.201000343⟩
- Accès au bibtex
-
- titre
- Atomic-scale simulation of screw dislocation/coherent twin boundary interaction in Al, Au, Cu and Ni
- auteur
- M. Chassagne, Marc Legros, D. Rodney
- article
- Acta Materialia, 2011, 59 (4), pp.1456-1463. ⟨10.1016/j.actamat.2010.11.007⟩
- Accès au bibtex
-
- titre
- Structural basis for supercooled liquid fragility established by synchrotron-radiation method and computer simulation
- auteur
- D.V. Louzguine-Luzgin, R. Belosludov, A.R. Yavari, K. Georgarakis, G. Vaughan, Y. Kawazoe, T. Egami, A. Inoue
- article
- Journal of Applied Physics, 2011, 110 (4), ⟨10.1063/1.3624745⟩
- Accès au texte intégral et bibtex
-
- titre
- Shear Behavior of AA6061 Aluminum in the Semisolid State Under Isothermal and Nonisothermal Conditions
- auteur
- E. Giraud, M. Suery, Michel Coret
- article
- Metallurgical and Materials Transactions A, 2011, 42A (11), pp.3370-3377. ⟨10.1007/s11661-011-0743-7⟩
- Accès au texte intégral et bibtex
-
- titre
- Flux-driven nucleation at interfaces during reactive diffusion
- auteur
- Andriy M. Gusak, Fiqiri Hodaj, Guido Schmitz
- article
- Philosophical Magazine Letters, 2011, 91 (9), pp.610-620. ⟨10.1080/09500839.2011.600257⟩
- Accès au bibtex
-
- titre
- Irradiation creep of SA 304L and CW 316 stainless steels: Mechanical behaviour and microstructural aspects. Part I: Experimental results
- auteur
- Josselin Garnier, Y. Brechet, M. Delnondedieu, C. Pokor, P. Dubuisson, A. Renault, X. Averty, J.P. Massoud
- article
- Journal of Nuclear Materials, 2011, 413 (2), pp.63-69. ⟨10.1016/j.jnucmat.2011.02.057⟩
- Accès au bibtex
-
- titre
- A combined approach to microstructure mapping on an Al-Cu-Li 2050 friction stir weld
- auteur
- B. Malard, F. de Geuser, W.L. Reis Santos, A. Deschamps
- article
- EUROMAT conference, 2011, Montpellier, France
- Accès au bibtex
-
- titre
- First-principles study of defect behavior in irradiated uranium monocarbide
- auteur
- R. Ducher, R. Dubourg, M. Barrachin, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 83 (10), ⟨10.1103/PhysRevB.83.104107⟩
- Accès au bibtex
-
- titre
- Hot Tearing Sensitivity of Al-Mg-Si Alloys Evaluated by X-Ray Microtomography After Constrained Solidification at High Cooling Rate
- auteur
- Eliane Giraud, Michel Suéry, Jérôme Adrien, Eric Maire, Michel Coret
- article
- Thomas Böllinghaus, John Lippold, Carl E. Cross. Hot Cracking Phenomena in Welds III, Springer Berlin Heidelberg, pp.87-99, 2011, 978-3-642-16863-5. ⟨10.1007/978-3-642-16864-2_6⟩
- Accès au texte intégral et bibtex
-
- titre
- Introduction to materials behaviour under irradiation
- auteur
- Y. Bréchet
- article
- Conference SFEN, 2011, PARIS, France
- Accès au bibtex
-
- titre
- Nucleation of Recrystallisation
- auteur
- Y. Bréchet
- article
- Conference Recristallisation ( in honour of P.Duval, Grenoble), 2011, Grenoble, France
- Accès au bibtex
-
- titre
- Les aspects techniques du véhicule électrique.
- auteur
- F. Livet
- article
- Les transports : innovations et déplacements verts, 2011, Dijon, France
- Accès au bibtex
-
- titre
- Biomimetics and Architectured materials.
- auteur
- Y. Bréchet
- article
- ELYT Conference, 2011, Sendai, Japan
- Accès au bibtex
-
- titre
- Age Hardening in Aluminium Alloys: Phases, microstructures, precipitation kinetics, precipitation hardening
- auteur
- A. Deschamps
- article
- International School on Aluminium Technology, 2011, Trondheim, Norway
- Accès au bibtex
-
- titre
- EWOD-based chip characterization under AC voltage
- auteur
- R. Malk, L. Davoust, Y. Fouillet
- article
- Microelectronic Engineering, 2011, 88 (8), pp.1745-1748
- Accès au bibtex
-
- titre
- Constitutive Rheological Modeling of Flow Serration Behaviour in Metallic Glasses Showing Nanocrystallization during Deformation
- auteur
- M.A. Yousfi, K. Hajlaoui, Z. Tourki, A.R. Yavari
- article
- Journal of Nanomaterials, 2011, pp.8. ⟨10.1155/2011/910962⟩
- Accès au bibtex
-
- titre
- Experimental investigation of dewetting models
- auteur
- L. Sylla, T. Duffar
- article
- Journal of Crystal Growth, 2011, 324, pp.53-62
- Accès au bibtex
-
- titre
- Physical metallurgy, a modern science.
- auteur
- Y. Bréchet
- article
- Conf Société Française de Physique, 2011, Grenoble, France
- Accès au bibtex
-
- titre
- Phonons and Phasons in Icosahedral Quasicrystals.
- auteur
- M. de Boissieu
- article
- Israel Journal of Chemistry, 2011, (11-12, SI), pp.1292-303
- Accès au bibtex
-
- titre
- Precipitation strengthening in high manganese austenitic TWIP steels.
- auteur
- C. Scott, B. Remy, J.-L. Collet, A. Cael, C. Bao, F. Danoix, B. Malard
- article
- International Journal of Materials Research, 2011, 102 (5), pp.538-49
- Accès au bibtex
-
- titre
- Fracture mechanics of nickel-titanium and stainless steel laser joined wires
- auteur
- J. Vannod, Michel Bornert, J.-E. Bidaux, L. Bataillard, A. Karimi, J.-M. Drezet, M. Rappaz, A. Hessler-Wyser
- article
- Acta Materialia, 2011, 59 (17), pp.6538-6546. ⟨10.1016/j.actamat.2011.06.031⟩
- Accès au bibtex
-
- titre
- Conformal Atomic Layer Deposition of TA-Based Diffusion Barrier Film Using a Novel Mono-Guanidinate Precursor.
- auteur
- T. Prieur, V. Brize, T. Cornier, B. Doisneau, A. Farcy, R. Boichot, A. Mantoux, S. Daniele, E. Blanquet
- article
- Journal of Nanoscience and Nanotechnology, 2011, 11 (9), pp.8383-8386. ⟨10.1166/jnn.2011.5057⟩
- Accès au bibtex
-
- titre
- Confirmation of the Domino-Cascade Model by LiFePO(4)/FePO(4) Precession Electron Diffraction
- auteur
- G. Brunetti, D. Robert, P. Bayle-Guillemaud, J.L. Rouviere, E.F. Rauch, J.F. Martin, J.F. Colin, F. Bertin, C. Cayron
- article
- Chemistry of Materials, 2011, 23 (20), pp.4515-4524. ⟨10.1021/cm201783z⟩
- Accès au bibtex
-
- titre
- Developments of TaN ALD Process for 3D Conformal Coatings
- auteur
- V. Brize, T. Prieur, P. Violet, L. Artaud, G. Berthome, E. Blanquet, R. Boichot, B. Doisneau, A. Farcy, A. Mantoux, I. Nuta, M. Pons, F. Volpi
- article
- Chemical Vapor Deposition, 2011, 17 (10-12), pp.284-295. ⟨10.1002/cvde.201100045AccessionNumber:WOS⟩
- Accès au bibtex
-
- titre
- Phase transformations in the NiS Nickel sulphide: microstructure, mechanisms and modelling through in situ microscopy
- auteur
- O. Yousfi, Y. Bréchet, P. Donnadieu, F. Robaut, F. Charlot, A. Kasper, F. Serruys
- article
- Solid State Phenomena, 2011, 172-174, pp.402-407
- Accès au bibtex
-
- titre
- Kinetics of isothermal structural relaxation in metallic glasses measured by real-time diffraction using synchrotron radiation
- auteur
- K. Hajlaoui, M.A. Yousfi, I. Ouelhazi, K. Georgarakis, Z. Tourki, G. Vaughan, A.R. Yavari
- article
- Philosophical Magazine Letters, 2011, 91 (2), pp.122-133
- Accès au bibtex
-
- titre
- New Experimental Limit on the Pauli Exclusion Principle Violation by Electrons-The VIP Experiment
- auteur
- C. Curceanu (petrascu), S. Bartalucci, S. Bertolucci, M. Bragadireanu, M. Cargnelli, Sergio Di Matteo, J. -P. Egger, C. Guaraldo, M. Iliescu, T. Ishiwatari, M. Laubenstein, J. Marton, E. Milotti, D. Pietreanu, T. Ponta, A. Romero Vidal, D. L. Sirghi, F. Sirghi, L. Sperandio, O. Vazquez Doce, E. Widmann, J. Zmeskal
- article
- Foundations of Physics, 2011, 41 (3), pp.282-287. ⟨10.1007/s10701-009-9378-6⟩
- Accès au bibtex
-
- titre
- Structural heterogeneity and pressure-relaxation in compressed borosilicate glasses by in situ small angle X-ray scattering
- auteur
- S. Reibstein, L. Wondraczek, D. de Ligny, S. Krolikowski, S. Sirotkin, J.P. Simon, V. Martinez, Bernard Champagnon
- article
- Journal of Chemical Physics, 2011, 134 (20), ⟨10.1063/1.3593399⟩
- Accès au bibtex
-
- titre
- Cohesive zone model for intergranular slow crack growth in ceramics: influence of the process and the microstructure
- auteur
- M.R. de La Osa, R. Estevez, Christian Olagnon, Jérome Chevalier, C. Tallaron
- article
- Modelling and Simulation in Materials Science and Engineering, 2011, 19 (7), pp.074009. ⟨10.1088/0965-0393/19/7/074009⟩
- Accès au bibtex
-
- titre
- Modelling the plastic behaviour of metals under complex loading conditions
- auteur
- E.F. Rauch, J.J. Gracio, F. Barlat, G. Vincze
- article
- Modelling and Simulation in Materials Science and Engineering, 2011, 19 (3), pp.035009. ⟨10.1088/0965-0393/19/3/035009⟩
- Accès au bibtex
-
- titre
- Structural stability of intermetallic phases in the Si-Ti system. Point defects and chemical potentials in D88-Si3Ti5 phase
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Intermetallics, 2011, 18 (8), pp.1444-1454. ⟨10.1016/j.intermet.2010.03.028⟩
- Accès au bibtex
-
- titre
- Evolution of Precipitate Microstructure During Creep of an AA7449 T7651 Aluminum Alloy.
- auteur
- G. Fribourg, Y. Brechet, J.L. Chemin, A. Deschamps
- article
- Metallurgical and Materials Transactions A, 2011, 42A (13), pp.3934-3940. ⟨10.1007/s11661-011-0786-9⟩
- Accès au bibtex
-
- titre
- Morphology of epitaxial magnetic alloy nanostructures grown on WSe(2)(0001) studied by grazing-incidence small-angle X-ray scattering.
- auteur
- M. Maret, F. Liscio, D. Makarov, Jean-Paul Simon, Yves Gauthier, M. Albrecht
- article
- Journal of Applied Crystallography, 2011, 44, pp.1173-1181. ⟨10.1107/s002188981104115x⟩
- Accès au bibtex
-
- titre
- Strength of Highly Porous Ceramic Electrodes.
- auteur
- X.X. Liu, C.L. Martin, D. Bouvard, S. Di Iorio, J. Laurencin, G. Delette
- article
- Journal of the American Ceramic Society, 2011, 94 (10), pp.3500-3508. ⟨10.1111/j.1551-2916.2011.04669.x⟩
- Accès au bibtex
-
- titre
- Interest and durability of multilayers: from model films to complex films
- auteur
- G. Garnier, S. Marouani, B. Yrieix, C. Pompeo, M. Chauvois, L. Flandin, Y. Brechet
- article
- Polymers for Advanced Technologies, 2011, 22 (6), pp.847-856. ⟨10.1002/pat.1587⟩
- Accès au bibtex
-
- titre
- Microstructure-based modelling of isotropic and kinematic strain hardening in a precipitation-hardened aluminium alloy
- auteur
- G. Fribourg, Y. Brechet, A. Deschamps, A. Simar
- article
- Acta Materialia, 2011, 59 (9), pp.3621-3635. ⟨10.1016/j.actamat.2011.02.035⟩
- Accès au bibtex
-
- titre
- One-dimensional model of the equiaxed grain formation in multi-crystalline silicon
- auteur
- M. Beaudhuin, T. Duffar, M. Lemiti, K. Zaidat
- article
- Journal of Crystal Growth, 2011, 319 (1), pp.106-113. ⟨10.1016/j.jcrysgro.2011.02.001⟩
- Accès au bibtex
-
- titre
- Modelling of Compaction and Green Strength of Aggregated Ceramic Powders
- auteur
- A. Balakrishnan, C.L. Martin, B.P. Saha, S. Joshi
- article
- Journal of the American Ceramic Society, 2011, 94 (4), pp.1046-1052. ⟨10.1111/j.1551-2916.2010.04237.x⟩
- Accès au bibtex
-
- titre
- An alternative to kinematic hardening in classical plasticity
- auteur
- F. Barlat, J.J. Gracio, M.G. Lee, E.F. Rauch, G. Vincze
- article
- International Journal of Plasticity, 2011, 27 (9), pp.1309-1372. ⟨10.1016/j.ijplas.2011.03.003⟩
- Accès au bibtex
-
- titre
- Interface, Flows and Transfer in an Electromagnetic Process Devoted to Liquid/Liquid Extraction
- auteur
- C. Courtessole, J. Etay
- article
- Advanced Engineering Materials, 2011, 13 (7), pp.556-562. ⟨10.1002/adem.201000352⟩
- Accès au bibtex
-
- titre
- Shear bands in metallic glasses: Size effects on thermal profiles
- auteur
- D.B. Miracle, A. Concustell, Y. Zhang, A.R. Yavari, A.L. Greer
- article
- Acta Materialia, 2011, 59 (7), pp.2831-2840. ⟨10.1016/j.actamat.2011.01.022⟩
- Accès au bibtex
-
- titre
- Determination of Matrix Composition Based on Solute-Solute Nearest-Neighbor Distances in Atom Probe Tomography
- auteur
- F. de Geuser, Williams Lefebvre
- article
- Microscopy Research and Technique, 2011, 74 (3), pp.257-263. ⟨10.1002/jemt.20899⟩
- Accès au bibtex
-
- titre
- Magnetocaloric properties in Ln(0.67)Ba(0.33)Mn(1-x)Fe(x)O(3) (Ln = La or Pr) manganites
- auteur
- M. Baazaoui, Michel Boudard, S. Zemni
- article
- Materials Letters, 2011, 65 (14), pp.2093-2095. ⟨10.1016/j.matlet.2011.04.051⟩
- Accès au bibtex
-
- titre
- Trabecular bone remodelling simulated by a stochastic exchange of discrete bone packets from the surface
- auteur
- M.A. Hartmann, J.W.C. Dunlop, Y. Brechet, P. Fratzl, R. Weinkamer
- article
- Journal of the mechanical behavior of biomedical materials, 2011, 4 (6), pp.879-887
- Accès au bibtex
-
- titre
- Experimental study of uranium carbide pyrophoricity
- auteur
- Clément Berthinier, S. Coullomb, Cyril Rado, Elisabeth Blanquet, Raphaël Boichot, Christian Chatillon
- article
- Powder Technology, 2011, 208 (2), pp.312-327. ⟨10.1016/j.powtec.2010.08.022⟩
- Accès au texte intégral et bibtex
-
- titre
- From the interface energy to the solubility limit of aluminium in nickel from first-principles and Kinetic Monte Carlo calculations
- auteur
- Céline Hin, Joël Lépinoux, Jeffrey Neaton, Mildred Dresselhaus
- article
- Materials Science and Engineering: B, 2011, 176 (9), pp.767-771. ⟨10.1016/j.mseb.2011.02.023⟩
- Accès au texte intégral et bibtex
-
- titre
- Viscosity measurements of thin polymer films from reflow of spatially modulated nanoimprinted
- auteur
- Etienne Rognin, Stéfan Landis, Laurent Davoust
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2011, 84 (4), ⟨10.1103/PhysRevE.84.041805⟩
- Accès au texte intégral et bibtex
-
- titre
- Low temperature precipitation kinetics of niobium nitride platelets in Fe
- auteur
- Alexis Deschamps, F. Danoix, F. de Geuser, T. Epicier, H. Leitner, Michel Perez
- article
- Materials Letters, 2011, 65 (14), pp.2265-2268. ⟨10.1016/j.matlet.2011.03.110⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical Changes at the Interface Between Low Carbon Steel and an Al-Si Alloy During Solution Heat Treatment
- auteur
- M. Zhe, O. Dezellus, B. Gardiola, M. Braccini, J.C. Viala
- article
- Journal of Phase Equilibria and Diffusion, 2011, 32 (6), pp.486-497. ⟨10.1007/s11669-011-9949-z⟩
- Accès au texte intégral et bibtex
-
- titre
- Revisiting the microstructure changes during rapid hardening of an Al-Cu-Mg alloy using in situ synchrotron Small angle X-ray Scattering and Nuclear Magnetic Resonance
- auteur
- A. Deschamps, T.J. Bastow, F. de Geuser, A.J. Hill, C.R. Hutchinson
- article
- EUROMAT conference, 2011, Montpellier, France
- Accès au bibtex
-
- titre
- Ordering effects in disordered systems: the Au-Si system
- auteur
- N. Jakse, T.L.T. Nguyen, A. Pasturel
- article
- Journal of Physics: Condensed Matter, 2011, 23 (40), ⟨10.1088/0953-8984/23/40/404205⟩
- Accès au bibtex
-
- titre
- XPS investigations of the proton exchange membrane fuel cell active layers aging: Characterization of the mitigating role of an anodic CO contamination on cathode degradation
- auteur
- Valérie Parry, Grégory Berthome, Jean-Charles Joud, Olivier Lemaire, A.A. Franco
- article
- Journal of Power Sources, 2011, 196 (5), pp.2530-2538
- Accès au bibtex
-
- titre
- Pentavacancy as the key nucleus for vacancy clustering in aluminum.
- auteur
- H. Wang, D. Rodney, D.S. Xu, R. Yang, P. Veyssiere
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2011, 84 (22), ⟨10.1103/PhysRevB.84.220103⟩
- Accès au bibtex
-
- titre
- Variations in atomic structural features of a supercooled Pd-Ni-Cu-P glass forming liquid during in situ vitrification.
- auteur
- K. Georgarakis, D.V. Louzguine, J. Antonowicz, G. Vaughan, A.R. Yavari, T. Egami, A. Inoue
- article
- Acta Materialia, 2011, 59, pp.708-716
- Accès au bibtex
-
- titre
- Residual strain around grown-in defects in CVD diamond single crystals: A 2D and 3D Raman imaging study
- auteur
- Alexandre Crisci, Francis Baillet, Michel Mermoux, G. Bogdan, M. Nesladek, K. Hae
- article
- physica status solidi (a), 2011, 208 (9), pp.2038-2044. ⟨10.1002/pssa.201100039⟩
- Accès au bibtex
-
- titre
- Limitations and Advantages of Raman Spectroscopy for the Determination of Oxidation Stresses
- auteur
- P.Y. Hou, J. Ager, J. Mougin, A. Galerie
- article
- Oxidation of Metals, 2011, 75 (5-6), pp.229-245. ⟨10.1007/s11085-011-9235-9⟩
- Accès au bibtex
-
- titre
- Tough Hypoeutectic Zr-Based Bulk Metallic Glasses
- auteur
- Y. Yokoyama, H. Tokunaga, A.R. Yavari, T. Kawamata, T. Yamasaki, K. Fujita, K. Sugiyama, P.K. Liaw, A. Inoue
- article
- Metallurgical and Materials Transactions A, 2011, 42A (6), pp.1468-1475. ⟨10.1007/s11661-011-0631-⟩
- Accès au bibtex
-
- titre
- Fission products stability in uranium dioxide
- auteur
- G. Brillant, F. Gupta, A. Pasturel
- article
- Journal of Nuclear Materials, 2011, 412 (1), pp.170-176. ⟨10.1016/j.jnucmat.2011.02.054⟩
- Accès au bibtex
-
- titre
- On the potential energy landscape of supercooled liquids and glasses
- auteur
- D. Rodney, T. Schroder
- article
- European Physical Journal E: Soft matter and biological physics, 2011, 34 (9), ⟨10.1140/epje/i2011-11100-2⟩
- Accès au bibtex
-
- titre
- Atomic structure of T(1) precipitates in Al-Li-Cu alloys revisited with HAADF-STEM imaging and small-angle X-ray scattering.
- auteur
- P. Donnadieu, Y. Shao, F. de Geuser, G.A. Botton, S. Lazar, M. Cheynet, M. de Boissieu, A. Deschamps
- article
- Acta Materialia, 2011, 59 (2), pp.462-472. ⟨10.1016/j.actamat.2010.09.044⟩
- Accès au bibtex
-
- titre
- Influence of Bulk and Interface Porosity on the Adhesion of Sintered Ag Films on Barium Titanate Substrates
- auteur
- C.Y. Lee, M. Dupeux, W.H. Tuan
- article
- Advanced Engineering Materials, 2011, 13 (1-2), pp.64-67. ⟨10.1002/adem.201000197⟩
- Accès au bibtex
-
- titre
- In situ evaluation of the microstructure evolution during rapid hardening of an Al-2.5Cu-1.5Mg (wt.%) alloy
- auteur
- A. Deschamps, T. J. Bastow, F. de Geuser, A. J. Hill, C. R. Hutchinson
- article
- Acta Materialia, 2011, 59 (8), pp.2918-2927. ⟨10.1016/j.actamat.2011.01.027⟩
- Accès au bibtex
-
- titre
- of a W/steel functionally graded material for plasma facing components of DEMO.
- auteur
- J.M. Missiaen, J.J. Raharijaona, A. Antoni, C. Pascal, M. Richou, P. Magaud
- article
- Journal of Nuclear Materials, 2011, 416 (3), pp.262-269. ⟨10.1016/j.jnucmat.2011.05.054⟩
- Accès au bibtex
-
- titre
- Eurofer corrosion by the flow of the eutectic alloy Pb-Li in the presence of a strong magnetic field
- auteur
- Rene Moreau, Yves Brechet, Laurent Maniguet
- article
- Fusion Engineering and Design, 2011, 86 (1), pp.106-120. ⟨10.1016/j.fusengdes.2010.08.050⟩
- Accès au bibtex
-
- titre
- Analysis of grain orientation in cold crucible continuous casting of photovoltaic Si.
- auteur
- B. Gallien, T. Duffar, S. Lay, R. Robaut
- article
- Journal of Crystal Growth, 2011, 318 (1), pp.208-211. ⟨10.1016/j.jcrysgro.2010.10.100⟩
- Accès au bibtex
-
- titre
- Enhanced cleanability of super-hydrophilic TiO(2)-SiO(2) composite surfaces prepared via a sol-gel route
- auteur
- M. Houmard, G. Berthomé, J.C. Joud, M. Langlet
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2011, 605 (3-4), pp.456-462. ⟨10.1016/j.susc.2010.11.017⟩
- Accès au bibtex
-
- titre
- Fatigue and cyclic creep of replicated microcellular aluminium
- auteur
- S. Soubielle, L. Salvo, F. Diologent, A. Mortensen
- article
- Materials Science and Engineering: A, 2011, 528 (6), pp.2657. ⟨10.1016/j.msea.2010.12.007⟩
- Accès au bibtex
-
- titre
- Influence of cell aspect ratio on architecture and compressive strength of titanium foams
- auteur
- Nihan Tuncer, Gursoy Arslan, Eric Maire, Luc Salvo
- article
- Materials Science and Engineering: A, 2011, 528 (24), pp.7368-7374. ⟨10.1016/j.msea.2011.06.028⟩
- Accès au bibtex
-
- titre
- Homogeneous phase and multi-phase approaches for modeling radiative transfer in foams
- auteur
- R. Coquard, D. Baillis, J. Randrianalisoa
- article
- International Journal of Thermal Sciences, 2011, 50 (9), pp.1648-1663. ⟨10.1016/j.ijthermalsci.2011.04.012⟩
- Accès au bibtex
-
- titre
- Effects of heat treatments on the microstructure and mechanical properties of a 6061 aluminium alloy
- auteur
- D. Maisonnette, M. Suery, D. Nelias, P. Chaudet, T. Epicier
- article
- Materials Science and Engineering: A, 2011, 528 (6), pp.2718-2724. ⟨10.1016/j.msea.2010.12.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of the metal/oxide interface during the initial stages of the high temperature oxidation of ferritic stainless steels.
- auteur
- J. Issartel, F. Charlot, A. Galerie, Y. Wouters, S. Martoia
- article
- Materials at High Temperatures, 2011, 28 (4), pp.274-278
- Accès au bibtex
-
- titre
- Taylored Materials
- auteur
- Y. Bréchet
- article
- Conference DIMAT, 2011, Paris, France
- Accès au bibtex
-
- titre
- La métallurgie physique.
- auteur
- Y. Bréchet
- article
- Conference à l'Academie des Sciences, 2011, Paris, France
- Accès au bibtex
-
- titre
- Thermodynamics of (Ga-In)-Sb-O-Si and impact on dewetting process
- auteur
- L. Sylla, T. Duffar
- article
- Crystal Research and Technology, 2011, 46, pp.1111-1121
- Accès au bibtex
-
- titre
- Thermodynamics of Void Nucleation in Nanoparticles.
- auteur
- A.M. Gusak, F. Hodaj, T. Zaporozhets
- article
- Philosophical Magazine Letters, 2011, 91, pp.12
- Accès au bibtex
-
- titre
- Procédé de préparation de polycristaux et monocristaux d(oxyde de zinc sur un germe par sublimation activée chimiquement à haute température
- auteur
- G. Chichignoud, M. Couchaud, J.L. Santailler
- article
- France, Patent n° : EP 2262935 (A1). EPM. 2010
- Accès au bibtex
-
- titre
- Acoustic emission: Towards a real-time diagnosis technique for Proton Exchange Membrane Fuel Cell operation
- auteur
- B. Legros, P.-X. Thivel, Y. Bultel, M. Boinet, R.P. Nogueira
- article
- Journal of Power Sources, 2010, 195 (24), pp.8124 - 8133. ⟨10.1016/j.jpowsour.2010.07.045⟩
- Accès au bibtex
-
- titre
- Investigation on TSV impact on 65nm CMOS devices and circuits
- auteur
- H. Chaabouni, M. Rousseau, P. Leduc, A. Farcy, R. El Farhane, Aurélie Thuaire, G. Haury, A. Valentian, G. Billiot, M. Assous, F. de Crecy, J. Cluzel, A. Toffoli, D. Bouchu, L. Cadix, T. Lacrevaz, P. Ancey, N. Sillon, B. Flechet
- article
- IEEE International Electron Devices Meeting,, Dec 2010, San Francisco, United States
- Accès au bibtex
-
- titre
- Reliability approach of high density Through Silicon Via (TSV)
- auteur
- T. Frank, C. Chappaz, P. Leduc, L. Arnaud, S. Moreau, Aurélie Thuaire, R. El Farhane, Lorena Anghel
- article
- 12th Electronics Packaging Technology Conference (EPTC'10), Dec 2010, Singapore, Singapore. pp.321 - 324, ⟨10.1109/EPTC.2010.5702655⟩
- Accès au bibtex
-
- titre
- Tribological behavior of thin electroplated and chemically deposited Ni-P coatings on copper substrates
- auteur
- N. Myshkin, A. Ya. Grigoriev, D. Gutsev, M. Ignat, E. Chainet, V. Grandvallett, J. Sautel
- article
- Journal of Friction and Wear, 2010, 31 (6), pp.413-418. ⟨10.3103/S1068366610060024⟩
- Accès au bibtex
-
- titre
- Size-effect and Change in Deformation Mode from Heterogeneous to Homogeneous in Metallic Glasses with Microscopic Dimensions
- auteur
- A.R. Yavari, K. Georgarakis
- article
- Materials Research Society (MRS) Fall Meeting, Nov 2010, BOSTON, United States
- Accès au bibtex
-
- titre
- Diffusion dans UO2±x et thermodynamique du composé.
- auteur
- C. Chatillon, F. Hodaj
- article
- 7ième Journées de Thermodynamique Expérimentale Haute Température, Nov 2010, LYON, France
- Accès au bibtex
-
- titre
- Mise en place d'un TP de simulation, élaboration et caractérisation d'une cellule photovoltaïque de première génération.
- auteur
- C. Ternon, D. Constantin, A. Kaminski, L. Claudon, F. Volpi, Q. Rafhay, A. Bsiesy
- article
- 11èmes Journée Pédagogiques du CNFM, Nov 2010, saint malo, France. p. 137-142 ISBN 2-9522395-3-3
- Accès au bibtex
-
- titre
- Effets d'ondes électromagnétiques à basses fréquences sur les bioprocédés de traitement des eaux usées
- auteur
- Naoufel Omri, E. Gonze, Gilles Cauffet, G. Merlin
- article
- Journée Scientifique du COGEDEPRA (Comité de développement du génie des procédés en Rhône-Alpes), Nov 2010, Grenoble, France
- Accès au bibtex
-
- titre
- Water vapour effects on the oxidation of chromia-forming alloys
- auteur
- A. Galerie, J.-P. Petit, A. Srisrual, Y. Wouters, P. Hou
- article
- International Symposium on High Temperature Oxidation and Corrosion 2010, Nov 2010, ZUSHI, Japan
- Accès au bibtex
-
- titre
- Epitaxial and polycrystalline growth of AlN by high temperature CVD: Experimental results and simulation
- auteur
- R. Boichot, A. Claudel, N. Baccar, A. Milet, E. Blanquet, M. Pons
- article
- Surface and Coatings Technology, 2010, 205 (5), pp.1294 - 1301. ⟨10.1016/j.surfcoat.2010.08.049⟩
- Accès au bibtex
-
- titre
- Bacterial diversity dynamics in rumen epithelium of wethers fed forage and mixed concentrate forage diets
- auteur
- Sophie Sadet-Bourgeteau, C. Martin, Bruno Meunier, Diego Morgavi
- article
- Veterinary Microbiology, 2010, 146 (1-2), pp.98 - 104. ⟨10.1016/j.vetmic.2010.04.029⟩
- Accès au bibtex
-
- titre
- Sollicitations mécaniques de nano-plots métalliques.
- auteur
- S. Vu Hoang, G. Parry, G. Beutier, M. Verdier
- article
- Matériaux 2010, Oct 2010, NANTES, France
- Accès au bibtex
-
- titre
- Solving the 180° orientation ambiguity related to spot diffraction patterns in Transmission Electron Microscopy
- auteur
- Bernard Perrin, M. Veron
- article
- M&M 2013, Oct 2010, Indianapolis, United States
- Accès au bibtex
-
- titre
- Revêtements sol-gel TiO2-SiO2 naturellement super-hydrophiles, visant à développer des surfaces à nettoyabilité accrue
- auteur
- M. Houmard, C. Holtzinger, J.C. Joud, M. Langlet
- article
- MATERIAUX 2010, Oct 2010, NANTES, France
- Accès au bibtex
-
- titre
- Développements de dépôts ALD : expériences et évaluations thermodynamiques
- auteur
- E. Blanquet, I. Nuta, V. Brize, R. Boichot, P. Violet, S. Daniele
- article
- Matériaux 2010, Oct 2010, NANTES, France
- Accès au bibtex
-
- titre
- Propagation d'une fissure dans une interface entre des lignes de polymère et un substrat rigide.
- auteur
- C. Cuminatto, G. Schelcher, G. Parry, F. Parrain, M. Braccini
- article
- MATERIAUX 2010, Oct 2010, NANTES, France
- Accès au bibtex
-
- titre
- Discrete element simulation: modeling sintering at the particle length scale
- auteur
- Z. Yan, O. Guillon, R.K. Bordia, C.L. Martin
- article
- ICCPS12, Oct 2010, Portland, United States
- Accès au bibtex
-
- titre
- Effet de confinement géométrique sur la déformation plastique cristalline : cas du film mince sur substrat.
- auteur
- S. Vu Hoang, G. Parry, M. Verdier
- article
- Matériaux 2010, Oct 2010, NANTES, France
- Accès au bibtex
-
- titre
- Techniques d'imagerie pour la caractérisation 3D des matériaux à l'ESRF
- auteur
- Elodie Boller, Paul Tafforeau, Wolfgang Ludwig, Lukas Helfen, Timm Weitkamp, Luc Salvo, Peter Cloetens, José Baruchel
- article
- Matériaux 2010, Oct 2010, Nantes, France
- Accès au texte intégral et bibtex
-
- titre
- Elaboration de silicium pour l'industrie photovoltaïque.
- auteur
- G. Chichignoud, Y. Delannoy
- article
- Conférence pluridisciplinaire sur les matériaux, Oct 2010, Nantes, France
- Accès au bibtex
-
- titre
- Determination of high temperature micro-scale strain distribution
- auteur
- G. Martin, D. Caldemaison, Michel Bornert, C. Pinna, M. Veron, B. Chehab, R. Fourmentin, J. D. Mithieux, S.K Yerra, L. Delannay, T. Pardoen, Y. Brechet
- article
- Application to duplex stainless steels, DSS2010, Duplex World 2010 conference, Oct 2010, Beaune, France
- Accès au bibtex
-
- titre
- Random local strain effects in homovalent-substituted relaxor ferroelectrics: a first-principles study of BaTi0.74Zr0.26O3
- auteur
- Claire Laulhé, Alain Pasturel, Francoise Hippert, Jens Kreisel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82, pp.132102. ⟨10.1103/PhysRevB.82.132102⟩
- Accès au texte intégral et bibtex
-
- titre
- CALPHAD based kinetic Monte-Carlo simulation of clustering in binary Al-Cu alloy
- auteur
- Frédéric de Geuser, Brian M. Gable, Barry C Muddle
- article
- Philosophical Magazine, 2010, 91 (2), pp.327. ⟨10.1080/14786435.2010.519354⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of Reservoir on Electromigration of Short Interconnects
- auteur
- P. Lamontagne, D. Ney, Y. Wouters
- article
- IEEE International Integrated Reliability Workshop, IEEE Conference, Oct 2010, South Lake Tahoe, United States
- Accès au bibtex
-
- titre
- Developments of ALD Processes: Experiments and Thermodynamic Evaluations
- auteur
- E. Blanquet, I. Nuta, V. Brize, R. Boichot, A. Mantoux, P. Violet, S. Daniele
- article
- 218th ECS Meeting, Oct 2010, LAS VEGAS, NV, United States
- Accès au bibtex
-
- titre
- Fabrication of bimaterial components by conventional powder metallurgy
- auteur
- A. Thomazic, Yannis Le Guennec, Y. Kamdem, C. Pascal, J.M. Chaix, P. Doremus, D. Imbault, D. Bouvard, F. Doré
- article
- International Powder Metallurgy World Congress & Exhibition - PM2010, Oct 2010, FLORENCE, Italy
- Accès au bibtex
-
- titre
- Etude thermodynamique et cinétique de la pyrophoricité du carbure d'uranium
- auteur
- Clément Berthinier
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Herschel/HIFI discovery of interstellar chloronium (H2Cl+)
- auteur
- D. C. Lis, J. C. Pearson, D. A. Neufeld, P. Schilke, H. S. P. Müller, H. C. Gupta, T. A. Bell, C. Comito, T. G. Phillips, E. A. Bergin, C. Ceccarelli, P. F. Goldsmith, G. A. Blake, A. Bacmann, Alain Baudry, M. Benedettini, A. Benz, J. Black, A. Boogert, S. Bottinelli, S. Cabrit, P. Caselli, Alain Castets, E. Caux, J. Cernicharo, C. Codella, A. Coutens, N. Crimier, N. R. Crockett, F. Daniel, K. Demyk, C. Dominic, M.-L. Dubernet, M. Emprechtinger, P. Encrenaz, E. Falgarone, A. Fuente, M. Gerin, T. F. Giesen, J. R. Goicoechea, F. Helmich, P. Hennebelle, Th. Henning, E. Herbst, Pierre Hily-Blant, Å. Hjalmarson, D. Hollenbach, T. Jacq, C. Joblin, D. Johnstone, C. Kahane, M. Kama, M. Kaufman, A. Klotz, W. D. Langer, B. Larsson, J. Le Bourlot, B. Lefloch, F. Le Petit, D. Li, R. Liseau, S. D. Lord, A. Lorenzani, S. Maret, P. G. Martin, G. J. Melnick, K. M. Menten, P. Morris, J. A. Murphy, Z. Nagy, B. Nisini, V. Ossenkopf, S. Pacheco, L. Pagani, B. Parise, M. Pérault, R. Plume, S.-L. Qin, E. Roueff, M. Salez, A. Sandqvist, P. Saraceno, S. Schlemmer, K. Schuster, R. Snell, J. Stutzki, A. Tielens, N. Trappe, F. F. S. van Der Tak, M. H. D. van Der Wiel, E. van Dishoeck, C. Vastel, S. Viti, Valentine Wakelam, A. Walters, S. Wang, F. Wyrowski, H. W. Yorke, S. Yu, J. Zmuidzinas, Y. Delorme, J.-P. Desbat, R. Güsten, J.-M. Krieg, B. Delforge
- article
- Astronomy and Astrophysics - A&A, 2010, 521, pp.L9. ⟨10.1051/0004-6361/201014959⟩
- Accès au bibtex
-
- titre
- Quasicrystal an introduction' and 'Phason modes in aperiodic crystals
- auteur
- M. de Boissieu
- article
- International School on Aperiodic Crystals, Sep 2010, CARQUEIRANNE, France
- Accès au bibtex
-
- titre
- Detailed chemical characterization of the PEMFC materials aging: identification of the mitigating role of an anodic CO contamination on cathode degradation
- auteur
- V. Parry, G. Berthomé, J.-C. Joud, O. Lemaire, A. A. Franco
- article
- 61st ISE Annual Meeting, Sep 2010, NICE, France
- Accès au bibtex
-
- titre
- Coherent X-rays in Surface Studies.
- auteur
- F. Livet, G. Beutier, V. Jacques, I. K Robinson, R. Bean, D. Le Bolloc'H
- article
- XTOP 2010, Sep 2010, WARWICK, United Kingdom
- Accès au bibtex
-
- titre
- Wettability and reactivity of Ag-Cu melts with Ti3SiC2
- auteur
- O. Dezellus, S. Lay, J.C. Viala
- article
- HT-CMC 7, 7th International Conference on high temperature ceramic matrix composites, Sep 2010, BAYREUTH, Bavière, Germany
- Accès au bibtex
-
- titre
- Drain Current Variability in 45nm Heavily Pocket-implanted Bulk MOSFET: Characterization and Modeling
- auteur
- C. M. Mezzomo, A. Bajolet, A. Cathignol, G. Ghibaudo
- article
- 40th European Solid-State Device Research Conference,, Sep 2010, Sevilla, Spain
- Accès au bibtex
-
- titre
- Interfacial reactions in metal/ceramic systems. Thermodynamics of alloys
- auteur
- F. Hodaj
- article
- TOFA 2010, Sep 2010, PORTO, Portugal
- Accès au bibtex
-
- titre
- Numerical simulation of the forbidden Bragg reflection spectra observed in ZnO
- auteur
- E. N. Ovchinnikova, V. E. Dmitrienko, A. P. Oreshko, G. Beutier, S. P. Collins
- article
- Journal of Physics: Condensed Matter, 2010, 22, pp.5404. ⟨10.1088/0953-8984/22/35/355404⟩
- Accès au bibtex
-
- titre
- On the cracks formation in AlN films grown by chlorinated High Temperature Chemical Vapor Deposition.
- auteur
- E. Latu-Romain, A. Claudel, Alexandre Crisci, E. Blanquet, Michel Mermoux, B. Doisneau
- article
- IWN 2010, Sep 2010, TAMPA, United States
- Accès au bibtex
-
- titre
- Procédé de préparation de polycristaux et monocristaux d(oxyde de zinc sur un germe par sublimation activée chimiquement à haute température
- auteur
- G. Chichignoud, M. Couchaud, J.L. Santailler
- article
- France, Patent n° : FR2929959 (B1). EPM. 2010
- Accès au bibtex
-
- titre
- Crystalline surface studies with coherent x-ray scattering
- auteur
- G. Beutier, F. Livet, M. de Boissieu, S. Ravy, F. Picca, Le Bolloc'H, I. K Robinson, S. P Collins
- article
- 12èmes Journées de la Matière Condensée, Aug 2010, TROYES, France
- Accès au bibtex
-
- titre
- Antiferromagnetically Spin Polarized Oxygen Observed in Magnetoelectric TbMn2O5
- auteur
- T. A. W. Beale, S. B. Wilkins, R. D. Johnson, S. R. Bland, Yves Joly, T. R. Forrest, D. F. Mcmorrow, F. Yakhou, D. Prabhakaran, A. T. Boothroyd, P. D. Hatton
- article
- Physical Review Letters, 2010, 105, pp.087203. ⟨10.1103/PhysRevLett.105.087203⟩
- Accès au texte intégral et bibtex
-
- titre
- METHOD AND DEVICE FOR SELECTIVE ETCHING
- auteur
- Francis Baillet, N. Gondrexon
- article
- France, Patent n° : EP2212907 (A2). 2010
- Accès au bibtex
-
- titre
- Improvement in mechanical properties of a Zr-Based bulk metallic glass by laser surface treatment.
- auteur
- Bingqing Chen, Shujie Pang, Peipei Han, Yan Li, Alain Yavari, Gavin Vaughan, Tao Zhang
- article
- Journal of Alloys and Compounds, 2010, 504 (Supplement 1), pp.S45-S47. ⟨10.1016/j.jallcom.2010.04.053⟩
- Accès au bibtex
-
- titre
- Alliages amorphe métalliques
- auteur
- A.R. Yavari, M. Aljerf, K. Georgarakis
- article
- France, N° de brevet: FR10356022.3. TOP. 2010
- Accès au bibtex
-
- titre
- Surface induced supercooling in the AuSi eutectic nanowire liquid catalyst
- auteur
- A. Pasturel
- article
- Int. Conference on frustrated spin systems, cold atoms, nanomaterials, Jul 2010, HANOI, Vietnam
- Accès au bibtex
-
- titre
- Nanostructuration of Liquids and amorphous systems.
- auteur
- N. Jakse, A. Pasturel
- article
- XIV LAM Conference, Jul 2010, ROME, Italy
- Accès au bibtex
-
- titre
- Cristallisation du silicium photovoltaïque sous induction électromagnétique : étude d'une vanne de rétention et de la ségrégation sous brassage
- auteur
- Fatoumata Bintou Santara
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- When resilience is Not Enough to Break Metallic Glasses
- auteur
- A.R. Yavari
- article
- International Symposium on Metastable, Amorphous and Nanostructured Materials, Jul 2010, ZURICH, Switzerland
- Accès au bibtex
-
- titre
- Structural and mechanical properties of a-C:H and Si doped a-C:H thin films grown by LF-PECVD.
- auteur
- C. Chouquet, Guillaume Gerbaud, M. Bardet, S. Barrat, Alain Billard, Frédéric Sanchette, C. Ducros
- article
- Surface and Coatings Technology, 2010, 204 (9-10), pp.1339-1346
- Accès au bibtex
-
- titre
- Corrigendum to "Call for contributions to a numerical benchmark problem for 2D columnar solidification of binary alloys" [Int. J. Thermal Sci. 48 (11) (2009) 2013-2016]
- auteur
- Michel Bellet, H. Combeau, Y. Fautrelle, D. Gobin, M. Rady, E. Arquis, O. Budenkova, B. Dussoubs, Y. Duterrail, Salem Mosbah, Charles-André Gandin, B. Goyeau, A. Kumar, M. Zaloznik
- article
- International Journal of Thermal Sciences, 2010, 49 (7), pp.1318-1318. ⟨10.1016/j.ijthermalsci.2010.01.001⟩
- Accès au bibtex
-
- titre
- Knudsen cell, High Temperature Mass Spectrometry
- auteur
- M. Heyrman, I. Nuta, C. Chatillon
- article
- Elsevier. The Encyclopedia of Mass Spectrometry, Elsevier, pp.1088, 2010, Elemental and Isotope Ratio Mass Spectrometry
- Accès au bibtex
-
- titre
- Advanced SEM and TEM investigations of individual and self-aassembled colloidal PbSe nanocrystals.
- auteur
- M. Cheynet, T. Neisus, S. Lazar, E. Rauch, O. Robbe, Justin Habinshuti
- article
- 3re International Conference on NANO-structures self-assembly, Jun 2010, Cassis, France
- Accès au bibtex
-
- titre
- Numerical Simulation of SiC Growth processes: a characterization tool for the design of epitaxial structures in electronics
- auteur
- M. Pons, S. Nishizawa, E. Blanquet, R. Boichot, D. Chaussende
- article
- Renewable Energy 2010, Jun 2010, Yokohama, Japan
- Accès au bibtex
-
- titre
- Comparing Kinetic Monte Carlo simulations with Cluster Dynamics: what can we learn about precipitation? Application to AlZr alloys.
- auteur
- Joel Lepinoux
- article
- Philosophical Magazine, 2010, 90 (23), pp.3261-3280. ⟨10.1080/14786435.2010.484403⟩
- Accès au texte intégral et bibtex
-
- titre
- Combining classical and ab initio molecular dynamics. Application to the Liquid-liquid transition,
- auteur
- N. Jakse, A. Pasturel
- article
- Workshop Solvation in Complex Liquids : Bridging length scales by theory and experiments,, Jun 2010, LEIPZIG, Germany
- Accès au bibtex
-
- titre
- Structural quality, diffuse scattering and phason modes in quasicrystals
- auteur
- M. de Boissieu, T. Yamada, C. Cui, H. Euchner, C. Pay Gomez
- article
- ICQ11, Jun 2010, SAPPORO, Japan
- Accès au bibtex
-
- titre
- Effect of Asymmetric Rolling on Plastic Anisotropy of Low Carbon Steels during Simple Shear Tests
- auteur
- J.J. Gracio, H.J. Kim, G. Vincze, B.B. Panigrahi, F. Barlat, E.F. Rauch, J.W. Yoon
- article
- 10th International Conference on Numerical Methods in Industrial Forming Processes (NUMIFORM 2010), Jun 2010, Pohang, South Korea. pp.181-184
- Accès au bibtex
-
- titre
- Lattice dynamics in complex zinc-mangnesium phases
- auteur
- H. Euchner, M. Mihalkovič, M.R. Johnson, H. Schober, A.P. Tsai, S. Ohhashi, C. Gomez, S. Lidin, M. de Boissieu
- article
- ICQ11, Jun 2010, SAPPORO, Japan
- Accès au bibtex
-
- titre
- PROCEDE ET DISPOSITIF DE GRAVURE SELECTIVE
- auteur
- Francis Baillet, N. Gondrexon
- article
- France, Patent n° : FR2923947 (B1). 2010
- Accès au bibtex
-
- titre
- Frittage, cofrittage et maîtrise des microstructures de matériaux à base d'oxydes : zircone, alumine, spinelle, alumine-zircone, spinelle-alumine
- auteur
- Emre Yalamac
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Integration and frequency dependent electrical modeling of Through Silicon Vias (TSV) for high density 3DICs
- auteur
- L. Cadix, M. Rousseau, C. Fuchs, P. Leduc, Aurélie Thuaire, H. Chaabouni, R. Anciant, J.-L. Huguenin, P. Coudrain, A. Farcy, R. El Farhane, C. Bermond, N. Sillon, B. Fléchet, P. Ancey
- article
- IEEE International Interconnect Technology Conference, Jun 2010, San Francisco, United States
- Accès au bibtex
-
- titre
- Phase transition in the Zn6Sc 1/1 periodic approximant to the quasicrystal
- auteur
- M. de Boissieu, T. Yamada, H. Euchner, R. Tamura, M. Mihalkovic
- article
- PTM 2010, Jun 2010, AVIGNON, France
- Accès au bibtex
-
- titre
- Metal ceramic reactivity : thermodynamics and kinetics.
- auteur
- F. Hodaj
- article
- 12th International Ceramics congress, CIMTEC 2010, Jun 2010, MONTECATINI TERME, Italy
- Accès au bibtex
-
- titre
- On the twinning occurrence in bulk semiconductor crystal growth.
- auteur
- T. Duffar, A. Nadri
- article
- Triple lines in metals and ceramics., May 2010, LES HOUCHES, France
- Accès au bibtex
-
- titre
- Strain analysis by inversion of coherent Bragg X-ray diffraction intensity: the illumination problem
- auteur
- Virginie Chamard, Mickaël Dollé, G. Baldinozzi, F. Livet, M. de Boissieu, S. Labat, F. Picca, C. Mocuta, P. Donnadieu, T.H. Metzger
- article
- Journal of Modern Optics, 2010, 57 (9), pp.818-825. ⟨10.1080/09500341003746645⟩
- Accès au texte intégral et bibtex
-
- titre
- First principles modeling of nuclear materials
- auteur
- E.S. Tasci, M. Sluiter, A. Pasturel
- article
- Calphad, XXXIX, May 2010, JEJU, South Korea
- Accès au bibtex
-
- titre
- Evolutions and progresses in AC to DC inductive Processes in EPM.
- auteur
- R. Ernst, M. Dumont, O. Budenkova, X. Li, Z.M. Ren, Y. Fautrelle
- article
- HES-10, May 2010, PADOUA, Italy
- Accès au bibtex
-
- titre
- HerMES: Halo Occupation Number and Bias Properties of Dusty Galaxies from Angular Clustering Measurements
- auteur
- Asantha Cooray, A. Amblard, L. Wang, B. Altieri, V. Arumugam, R. Auld, H. Aussel, T. Babbedge, A. Blain, J. Bock, A. Boselli, V. Buat, D. Burgarella, N. Castro-Rodriguez, A. Cava, P. Chanial, D. L. Clements, A. Conley, L. Conversi, C. D. Dowell, E. Dwek, S. Eales, D. Elbaz, D. Farrah, M. Fox, A. Franceschini, W. Gear, J. Glenn, M. Griffin, M. Halpern, E. Hatziminaoglou, E. Ibar, K. Isaak, R. J. Ivison, A. A. Khostovan, Guilaine Lagache, L. Levenson, N. Lu, S. Madden, B. Maffei, G. Mainetti, L. Marchetti, G. Marsden, K. Mitchell-Wynne, A. M. J. Mortier, H. T. Nguyen, B. O'Halloran, S. J. Oliver, A. Omont, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, I. Pérez-Fournon, M. Pohlen, J. I. Rawlings, G. Raymond, D. Rigopoulou, D. Rizzo, I. G. Roseboom, M. Rowan-Robinson, M. Sanchez Portal, B. Schulz, Douglas Scott, P. Serra, N. Seymour, D. L. Shupe, A. J. Smith, J. A. Stevens, M. Symeonidis, M. Trichas, K. E. Tugwell, M. Vaccari, I. Valtchanov, J. D. Vieira, L. Vigroux, R. Ward, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- The HerMES SPIRE submillimeter local luminosity function
- auteur
- M. Vaccari, L. Marchetti, A. Franceschini, B. Altieri, A. Amblard, V. Arumugam, R. Auld, H. Aussel, T. Babbedge, A. Blain, J. Bock, A. Boselli, V. Buat, D. Burgarella, N. Castro-Rodriguez, A. Cava, P. Chanial, D. L. Clements, A. Conley, L. Conversi, A. Cooray, C. D. Dowell, E. Dwek, S. Dye, S. Eales, D. Elbaz, D. Farrah, M. Fox, W. Gear, J. Glenn, E. A. Gonzalez Solares, M. Griffin, M. Halpern, E. Hatziminaoglou, J. Huang, E. Ibar, K. Isaak, R. J. Ivison, Guilaine Lagache, L. Levenson, N. Lu, S. Madden, B. Maffei, G. Mainetti, A. M. J. Mortier, H. T. Nguyen, B. O'Halloran, S. J. Oliver, A. Omont, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, I. Perez-Fournon, M. Pohlen, J. I. Rawlings, G. Raymond, D. Rigopoulou, D. Rizzo, G. Rodighiero, I. G. Roseboom, M. Rowan-Robinson, M. Sanchez Portal, B. Schulz, Douglas Scott, N. Seymour, D. L. Shupe, A. J. Smith, J. A. Stevens, M. Symeonidis, M. Trichas, K. E. Tugwell, E. Valiante, I. Valtchanov, L. Vigroux, L. Wang, R. Ward, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- HerMES: Far-infrared properties of known AGN in the HerMES fields
- auteur
- E. Hatziminaoglou, A. Omont, J. A. Stevens, A. Amblard, V. Arumugam, R. Auld, H. Aussel, T. Babbedge, A. Blain, J. Bock, A. Boselli, V. Buat, D. Burgarella, N. Castro-Rodriguez, A. Cava, P. Chanial, D. L. Clements, A. Conley, L. Conversi, A. Cooray, C. D. Dowell, E. Dwek, S. Dye, S. Eales, D. Elbaz, D. Farrah, M. Fox, A. Franceschini, W. Gear, J. Glenn, E. A. Gonzalez Solares, M. Griffin, M. Halpern, E. Ibar, K. Isaak, R. J. Ivison, Guilaine Lagache, L. Levenson, N. Lu, S. Madden, B. Maffei, G. Mainetti, L. Marchetti, A. M. J. Mortier, H. T. Nguyen, B. O'Halloran, S. J. Oliver, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, I. Perez-Fournon, M. Pohlen, J. I. Rawlings, D. Rigopoulou, D. Rizzo, I. G. Roseboom, M. Rowan-Robinson, M. Sanchez Portal, B. Schulz, Douglas Scott, N. Seymour, D. L. Shupe, A. J. Smith, M. Symeonidis, M. Trichas, K. E. Tugwell, M. Vaccari, I. Valtchanov, L. Vigroux, L. Wang, R. Ward, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- HerMES: SPIRE galaxy number counts at 250, 350 and 500 microns
- auteur
- S. J. Oliver, L. Wang, A. J. Smith, B. Altieri, A. Amblard, V. Arumugam, R. Auld, H. Aussel, T. Babbedge, A. Blain, J. Bock, A. Boselli, V. Buat, D. Burgarella, N. Castro-Rodriguez, A. Cava, P. Chanial, D. L. Clements, A. Conley, L. Conversi, A. Cooray, C. D. Dowell, E. Dwek, S. Eales, D. Elbaz, M. Fox, A. Franceschini, W. Gear, J. Glenn, M. Griffin, M. Halpern, E. Hatziminaoglou, E. Ibar, K. Isaak, R. J. Ivison, Guilaine Lagache, L. Levenson, N. Lu, S. Madden, B. Maffei, G. Mainetti, L. Marchetti, K. Mitchell-Wynne, A. M. J. Mortier, H. T. Nguyen, B. O'Halloran, A. Omont, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, I. Perez-Fournon, M. Pohlen, J. I. Rawlings, G. Raymond, D. Rigopoulou, D. Rizzo, I. G. Roseboom, M. Rowan-Robinson, M. Sanchez Portal, R. Savage, B. Schulz, Douglas Scott, N. Seymour, D. L. Shupe, J. A. Stevens, M. Symeonidis, M. Trichas, K. E. Tugwell, M. Vaccari, E. Valiante, I. Valtchanov, J. D. Vieira, L. Vigroux, R. Ward, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- HerMES: The SPIRE confusion limit
- auteur
- H. T. Nguyen, B. Schulz, L. Levenson, A. Amblard, V. Arumugam, H. Aussel, T. Babbedge, A. Blain, J. Bock, A. Boselli, V. Buat, N. Castro-Rodriguez, A. Cava, P. Chanial, E. Chapin, D. L. Clements, A. Conley, L. Conversi, A. Cooray, C. D. Dowell, E. Dwek, S. Eales, D. Elbaz, M. Fox, A. Franceschini, W. Gear, J. Glenn, M. Griffin, M. Halpern, E. Hatziminaoglou, E. Ibar, K. Isaak, R. J. Ivison, Guilaine Lagache, N. Lu, S. Madden, B. Maffei, G. Mainetti, L. Marchetti, G. Marsden, J. Marshall, B. O'Halloran, S. J. Oliver, A. Omont, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, I. Perez-Fournon, M. Pohlen, N. Rangwala, D. Rigopoulou, D. Rizzo, I. G. Roseboom, M. Rowan-Robinson, Douglas Scott, N. Seymour, D. L. Shupe, A. J. Smith, J. A. Stevens, M. Symeonidis, M. Trichas, K. E. Tugwell, M. Vaccari, I. Valtchanov, L. Vigroux, L. Wang, R. Ward, D. Wiebe, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- The far-infrared/radio correlation as probed by Herschel
- auteur
- R. J. Ivison, B. Magnelli, E. Ibar, P. Andreani, D. Elbaz, B. Altieri, A. Amblard, V. Arumugam, R. Auld, H. Aussel, T. Babbedge, S. Berta, A. Blain, J. Bock, A. Bongiovanni, A. Boselli, V. Buat, D. Burgarella, N. Castro, A. Cava, J. Cepa, P. Chanial, Alessandro Cimatti, M. Cirasuolo, D. L. Clements, A. Conley, L. Conversi, A. Cooray, Emanuele Daddi, H. Dominguez, C. D. Dowell, E. Dwek, S. Eales, D. Farrah, M. Fox, A. Franceschini, W. Gear, R. Genzel, J. Glenn, M. Griffin, C. Gruppioni, M. Halpern, E. Hatziminaoglou, K. Isaak, Guilaine Lagache, L. Levenson, N. Lu, D. Lutz, S. Madden, B. Maffei, G. Magdis, G. Mainetti, R. Maiolino, L. Marchetti, G. E. Morrison, A. M. J. Mortier, H. T. Nguyen, R. Nordon, B. O'Halloran, S. J. Oliver, A. Omont, F. N. Owen, M. J. Page, P. Panuzzo, A. Papageorgiou, C. P. Pearson, A. Poglitsch, M. Pohlen, P. Popesso, F. Pozzi, J. I. Rawlings, G. Raymond, D. Rigopoulou, L. Riguccini, D. Rizzo, G. Rodighiero, I. G. Roseboom, M. Rowan-Robinson, A. Saintonge, M. Sanchez Portal, P. Santini, B. Schulz, Douglas Scott, N. Seymour, L. Shao, D. L. Shupe, A. J. Smith, J. A. Stevens, E. Sturm, M. Symeonidis, L. Tacconi, M. Trichas, K. E. Tugwell, M. Vaccari, I. Valtchanov, J. Vieira, L. Vigroux, L. Wang, R. Ward, Gavin Wright, C. K. Xu, M. Zemcov
- article
- 2010
- Accès au bibtex
-
- titre
- Microstructure and texture analysis of advandced copper interconnects using Electron Backscattered Difraction and Scanning Transmission Electron Microscopy
- auteur
- R. Galand, L. Clément, P. Waltz, Y. Wouters
- article
- SPIE Scanning Microscopy 2010, May 2010, MONTEREY, Californie, United States
- Accès au bibtex
-
- titre
- Thermodynamique des matériaux: équilibres de phases et métastabilité.
- auteur
- P. Desré, F. Hodaj.
- article
- EDP Sciences. EDP Sciences, pp.394, 2010, ISBN 978-2-7598-0427-6
- Accès au bibtex
-
- titre
- CT-based characterization and simulation of a Bentheim sandstone
- auteur
- D. Sangare, L. Jasinski, N. Gland, V. Mourzenko, S. Youssef, Jean-François Thovert, Olga Vizika-Kavvadias, P.M Adler
- article
- ECCM 2010, May 2010, Paris, France. pp
- Accès au bibtex
-
- titre
- Detailed chemical characterization of the PEMFC materials aging: identification of the mitigating role of an anodic CO contamination on cathode degradation.
- auteur
- V. Parry, G. Berthomé, J.-C. Joud, O. Lemaire, A. A. Franco
- article
- 217th ECS Meeting, Apr 2010, VANCOUVER, Canada
- Accès au bibtex
-
- titre
- Générateur Thermo Acoustique MHD pour la Production Directe d'Energie Electrique.
- auteur
- Antoine Alemany, Armands Krauze
- article
- 10ème Congrès Français d'Acoustique, Apr 2010, Lyon, France
- Accès au texte intégral et bibtex
-
- titre
- Etude des dépôts par plasma ALD de diélectriques à forte permittivité diélectrique (dits « High-K ») pour les applications capacités MIM
- auteur
- D. Monnier
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- METHOD FOR REFINING THE GRAIN OF METALLIC COMPONENTS BY CONTROLLED STRAIN PATH CHANGE
- auteur
- J.J. de Almeida Gracio, E.F. Rauch, F. Barlat, J.W. Yoon, G. Vincze
- article
- France, Patent n° : WO2009144676 (A3). 2010
- Accès au bibtex
-
- titre
- Effect of a thin gold layer deposited on WN on its wetting by a gold-tin alloy
- auteur
- P. Descours, R. Voytovych, Aline Garnier, F. Greco, F. Hodaj
- article
- COST MP0202 Meeting, Apr 2010, BRATISLAVA, Slovakia
- Accès au bibtex
-
- titre
- Influence de la pression partielle en hydrogène sur les propriétés semiconductrices des oxydes formés sur les alliages à basse de nickel dans l'eau primaire des REP
- auteur
- A. Loucif, O. Calonne, L. Fournier, J.-P. Petit, A. Galerie, Y. Wouters, P. Combrade
- article
- Journées Jeunes Chercheurs 2010, Apr 2010, GIF SUR YVETTE, France
- Accès au bibtex
-
- titre
- Etude de la corrosion haute température des aciers réfractaires par le sulfate de sodium lors de la conversion thermochimique de la biomasse
- auteur
- L. Couture, A. Galerie, Y. Wouters, F. Grosjean, Jean Kittel, F. Ropital
- article
- 41èmes Journées d'Etude sur la Cinétique hétérogène, Apr 2010, LE PUY EN VELAY, France
- Accès au bibtex
-
- titre
- Elaboration de Silicium polycristallin par frittage pour application photovoltaïque
- auteur
- Jean-Marie Lebrun, Jean-Michel Missiaen, Céline Pascal, Florence Servant, Jean-Paul Garandet.
- article
- Journées Jeunes Chercheurs 2010 en CSC/FPH, Apr 2010, GIF SUR YVETTE, France
- Accès au bibtex
-
- titre
- Influence de l'atmosphère sur l'oxydation initiale de l'acier ferritique AIS 441 lors du recuit final de recristallisation
- auteur
- J. Issartel, A. Galerie, Y. Wouters, S. Martoia
- article
- 41èmes Journées d'Etude sur la Cinétique hétérogène, Apr 2010, France
- Accès au bibtex
-
- titre
- METHOD AND DEVICE FOR DRAINING LIQUID COATING METAL AT THE OUTPUT OF A TEMPERING METAL COATING TANK
- auteur
- B. Grenier, J.J. Hardy, R. Ernst, Y. Fautrelle
- article
- France, Patent n° : WO2010034892 (A1). 2010
- Accès au bibtex
-
- titre
- Measurements of Cs-buffer gas collisional frequency shift using CPT interrogation
- auteur
- O. Kozlova, R. Boudot, S. Guérandel, E. de Clercq
- article
- EFTF 2010, Apr 2010, Netherlands. pp.P1.20
- Accès au bibtex
-
- titre
- Etude des propriétés semiconductrices des oxydes formés sur alliages à base de nickel en eau primaire des Réacteurs à eau sous pression.
- auteur
- A. Loucif, O. Calonne, L. Fournier, J.P. Petit, A. Galerie, Y. Wouters, P. Combrade
- article
- 41èmes Journées d'Etude sur la Cinétique hétérogène, Mar 2010, LE PUY EN VELAY, France
- Accès au bibtex
-
- titre
- METHOD FOR PURIFYING SILICON FOR PHOTOVOLTAIC APPLICATIONS
- auteur
- C. Trassy, Y. Delannoy
- article
- France, Patent n° : FR2928641 (B1). 2010
- Accès au bibtex
-
- titre
- Temperature in shear bands
- auteur
- A.R. Yavari
- article
- WPI-AIMR Annual Workshop Sendait International Centre, Mar 2010, SENDAI, Japan
- Accès au bibtex
-
- titre
- Etude de la sensibilité à la fissuration à chaud d'un alliage CuCrZr au cours d'un soudage par faisceau d'électron , F. Primaux
- auteur
- J. M. Drezet, D. Ayrault, J. Wisniewski, M. Barras, P. Pilvin, D. Carron
- article
- Séminaire Commission simulation numérique du soudage (AFM) Maison de la mécanique, Mar 2010, Paris, France
- Accès au bibtex
-
- titre
- Phase equilibria and interface reactivity in steel/TiC metal matrix composites.
- auteur
- M. Courleux, O. Dezellus, J.C. Viala, S. Lay, M. Mourot, M. Dehma, E. Aeby-Gautier, O. Martin, N. Karnatak
- article
- 36th Conference on Phase Equilibria, JEEP'10, Mar 2010, MONTPELLIER, France
- Accès au bibtex
-
- titre
- Thermodynamic properties of the Fe-Ti-B binary system
- auteur
- A. Antoni-Zdziobek, M. Gospodinova, F. Hodaj
- article
- 36th Conference on Phase Equilibria, JEEP'10, Mar 2010, MONTPELLIER, France
- Accès au bibtex
-
- titre
- Interaction à haute température entre les gainages SiC ou V et les liners W, Ta, Nb-Zr, Re pour les réacteurs RCG
- auteur
- Alexandre Berche, S. Gossé, T. Alpettaz, S. Chatain, C. Guéneau, C. Blanc, A. Chenière, F. Hodaj
- article
- 36th Conference on Phase Equilibria, JEEP'10, Mar 2010, MONTPELLIER, France
- Accès au bibtex
-
- titre
- Milieu granulaire soumis à des vibrations horizontales : simulations numériques
- auteur
- Sébastien Nadler, Olivier Bonnefoy, Jean-Marc Chaix, Jean-Louis Gelet, Gérard Thomas
- article
- Journées Annuelles du Groupe Français de la Céramique 2010, Mar 2010, Saint-Etienne, France. Aucune pagination
- Accès au texte intégral et bibtex
-
- titre
- Etude de la précipitation dans les alliages Al-Li-Cu de troisième génération – Relations entre microstructures et propriétés mécaniques
- auteur
- Benjamin Decreus
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- First principles modeling of nuclear materials
- auteur
- A. Pasturel
- article
- WRRS 2010, Mar 2010, MONTPELLIER, France
- Accès au bibtex
-
- titre
- Strain gradient elastic homogenization of bidimensional cellular media
- auteur
- Nicolas Auffray, Regis Bouchet, Y. Brechet
- article
- International Journal of Solids and Structures, 2010, 47 (13), pp.1698-1710. ⟨10.1016/j.ijsolstr.2010.03.011⟩
- Accès au texte intégral et bibtex
-
- titre
- Procede d'assembalge de pièces carbonees par brasage refractaire
- auteur
- J.P. Garandet, D. Camel, B. Drevet, N. Eustathopoulos, I. Rana
- article
- France, N° de brevet: FR2935622. 2010
- Accès au bibtex
-
- titre
- Materiau a architecture multicouche dedie a une mise en contact avec du silicium liquide
- auteur
- J.P. Garandet, D. Camel, B. Drevet, N. Eustathopoulos, I. Rana
- article
- France, N° de brevet: FR2935636. 2010
- Accès au bibtex
-
- titre
- Process for forming a non-stick coating based on silicon carbide
- auteur
- J.P. Garandet, B. Drevet, N. Eustathopoulos, E. Flahaut, T. Pietri
- article
- France, Patent n° : WO2010026342. 2010
- Accès au bibtex
-
- titre
- PROCEDE D'ASSEMBLAGE MOYENNEMENT REFRACTAIRE DE PIECES EN MATERIAUX A BASE DE SIC PAR BRASAGE NON REACTIF SOUS ATMOSPHERE OXYDANTE, COMPOSITIONS DE BRASURE, ET JOINT ET ASSEMBLAGE OBTENUS PAR CE PROCEDE.
- auteur
- O. Maillard, V. Chaumat, F. Hodaj
- article
- France, N° de brevet: FR2923947. 2010
- Accès au bibtex
-
- titre
- A structural investigation of SmCo5/Fe nanostructured alloys obtained by high-energy ball milling and subsequent annealing
- auteur
- Jean Marie Le Breton, Rodrigue Lardé, H. Chiron, V. Pop, Dominique Givord, Olivier Isnard, I. Chicinas
- article
- Journal of Physics D: Applied Physics, 2010, 43 (8), pp.5001. ⟨10.1088/0022-3727/43/8/085001⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband frequency and in-situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
- auteur
- T. Bertaud, C. Bermond, T. Lacrevaz, Corentin Vallée, Y. Morand, B. Fléchet, A. Farcy, M. Gros-Jean, S. Blonkowski
- article
- Microelectronic Engineering, 2010, 87 (3), pp.301-305. ⟨10.1016/j.mee.2009.06.016⟩
- Accès au bibtex
-
- titre
- Sollicitations mécaniques
- auteur
- M. Verdier
- article
- Ecole Thématique CNRS 'Mécanique des Nano-objets, Mar 2010, AUTRANS, France
- Accès au bibtex
-
- titre
- HOLZ lines splitting on SiGe/Si relaxed samples: Analytical solutions for the kinematical equation
- auteur
- Claude Alfonso, L. Alexandre, Christine Leroux, G. Jurczak, W. Saikaly, A. Charai, J. Thibault-Penisson
- article
- Ultramicroscopy, 2010, 110 (4), pp.285 - 296. ⟨10.1016/j.ultramic.2009.12.005⟩
- Accès au bibtex
-
- titre
- Discrete Element Simulation: An Efficient Tool for Optimizing Powder Processes from the Sintering Stage to the Final Properties
- auteur
- Christophe Martin, Xiaoxing Liu, Amangoua Jean-Jacques Kadjo, Didier Bouvard
- article
- TMS 2010, Feb 2010, Seattle, United States
- Accès au bibtex
-
- titre
- Loss of Polar correlations in the relaxor ferroelectric BaTiZrO3 : a first-principles study
- auteur
- C. Laulhe, J. Kreisel, A. Pasturel
- article
- Advances in the Fundamental Physics of Ferroelectrics ans Related Materials, Jan 2010, ASPEN, United States
- Accès au bibtex
-
- titre
- An Explicit Compact Model of Quantum-Mechanical Effects for Cylindrical Surrounding-Gate MOSFETs
- auteur
- B. Cousin, M. Reyboz, O. Rozeau, M.A. Jaud, T. Ernest, J. Jomaah
- article
- 6th EUROSOI Workshop, Jan 2010, Grenoble, France. pp.127-128
- Accès au bibtex
-
- titre
- First principles modeling of phase equilibria
- auteur
- A. Pasturel
- article
- 4th General meeting of ACCMS-VO, IMR,, Jan 2010, SENDAI, Japan
- Accès au bibtex
-
- titre
- Elaboration de multimatériaux multifonctionnels par métallurgie des poudres – Mécanismes de frittage de bimatériaux
- auteur
- Aurélie Thomazic
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration de multimatériaux multifonctionnels par métallurgie des poudres Mécanismes de frittage de bimatériaux
- auteur
- A. Thomazic
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2010. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Effect of particle size in aggregated and agglomerated ceramic powders
- auteur
- A. Balakrishnan, P. Pizette, C.L. Martin, S. V. Joshi, B. P. Saha
- article
- Acta Materialia, 2010, 58 (3), pp.802-812. ⟨10.1016/j.actamat.2009.09.058⟩
- Accès au bibtex
-
- titre
- Growth, structure and magnetic properties of FePt nanostructures on NaCl(001) and MgO(001)
- auteur
- F. Liscio, D. Makarov, M. Maret, B. Doisneau-Cottignies, Hervé Roussel, M. Albrecht
- article
- Nanotechnology, 2010, 21 (6), pp.065602. ⟨10.1088/0957-4484/21/6/065602⟩
- Accès au bibtex
-
- titre
- In situ study of nucleation and growth of the irregular alpha-Al/beta-Al5FeSi eutectic by 3-D synchrotron X-ray microtomography
- auteur
- S. Terzi, J.A. Taylor, Y. Cho, L. Salvo, M. Suery, E. Boller, A.K. Dahle
- article
- Acta Materialia, 2010, 58 (16), pp.5370-5380. ⟨10.1016/j.actamat.2010.06.012⟩
- Accès au bibtex
-
- titre
- Zr60Al15(Ni,Cu)(25) noncrystalline alloys created by referring to ionic arrangements of a garnet structure with molecular dynamics simulations based on a plastic crystal model
- auteur
- A. Takeuchi, K. Yubuta, A. R. Yavari, A. Inoue
- article
- Intermetallics, 2010, 18 (3), pp.330-341. ⟨10.1016/j.intermet.2009.08.003⟩
- Accès au bibtex
-
- titre
- Effect of Grain Size Reduction and Geometrical Confinement in Fine Grained Copper: Potential Applications as a Material for Reversible Electrical Contacts
- auteur
- Y. Champion, Y. Brechet
- article
- Advanced Engineering Materials, 2010, 12 (8), pp.798-802. ⟨10.1002/adem.200900346⟩
- Accès au bibtex
-
- titre
- Atomic layer deposition of tantalum oxide thin films for their use as diffusion barriers in microelectronic devices
- auteur
- A. Lintanf-Salaun, A. Mantoux, E. Djurado, E. Blanquet
- article
- Microelectronic Engineering, 2010, 87 (3), pp.373-378. ⟨10.1016/j.mee.2009.06.015⟩
- Accès au bibtex
-
- titre
- Grain morphology of Cu damascene lines
- auteur
- S. Brandstetter, V. Carreau, S. Maitrejean, M. Verdier, Marc Legros
- article
- Microelectronic Engineering, 2010, 87 (3), pp.383-386. ⟨10.1016/j.mee.2009.05.033⟩
- Accès au bibtex
-
- titre
- Cu-based metallic glass particle additions to significantly improve overall compressive properties of an Al alloy
- auteur
- D.V. Dudina, K. Georgarakis, M. Aljerf, Y. Li, M. Braccini, A.R. Yavari, A. Inoue
- article
- Acta Materialia, 2010, 41 (10), pp.1551-1557. ⟨10.1016/j.compositesa.2010.07.004⟩
- Accès au bibtex
-
- titre
- Pattern size dependence of grain growth in Cu interconnects
- auteur
- S. Brandstetter, E.F. Rauch, V. Carreau, S. Maitrejean, M. Verdier, Marc Legros
- article
- Scripta Materialia, 2010, 63 (10), pp.965-968. ⟨10.1016/j.scriptamat.2010.07.017⟩
- Accès au bibtex
-
- titre
- Polarity of prismatic facets delimiting WC grains in WC-Co alloys
- auteur
- S. Lay, P. Donnadieu, M. Loubradou
- article
- Micron, 2010, 41 (5), pp.472-477. ⟨10.1016/j.micron.2010.01.013⟩
- Accès au bibtex
-
- titre
- In situ microtomography investigation of microstructural evolution in Al-Cu alloys during holding in semi-solid state
- auteur
- S. Terzi, L. Salvo, M. Suery, A. Dahle, E. Boller
- article
- Transactions of Nonferrous Metals Society of China, 2010, 20 (Supplément 3), pp.S734-S738. ⟨10.1016/S1003-6326(10)60572-9⟩
- Accès au bibtex
-
- titre
- Neutron diffraction study of the stress distribution in steel sheet around active Ni-Ti inserts.
- auteur
- V. Davydov, P. Lukas, M. Vrana, B. Malard, V. Maximov, J. Pilch
- article
- Materials Science and Engineering: A, 2010, 527 (15), pp.3310-3316
- Accès au bibtex
-
- titre
- 3D imaging in material science: Application of X-ray tomography
- auteur
- Luc Salvo, Michel Suéry, Ariane Marmottant, Nathalie Limodin, Dominique Bernard
- article
- Comptes Rendus. Physique, 2010, 11 (9-10), pp.641-649. ⟨10.1016/j.crhy.2010.12.003⟩
- Accès au bibtex
-
- titre
- The role of the triple line in solid-state microstructural evolutions: Interplay with interfaces and consequences on instabilities and pattern selection
- auteur
- Y. Brechet
- article
- Scripta Materialia, 2010, 62 (12), pp.910-913
- Accès au bibtex
-
- titre
- Magnetically controlled electroslag melting of titanium alloys.
- auteur
- Y. Kompan, I. Protokovilov, Y. Fautrelle, Y. Gelfgat, A. Bojarevics
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2010, 46 (3), pp.317-324
- Accès au bibtex
-
- titre
- Diffusion of oxygen in uranium dioxide: A first-principles investigation
- auteur
- F. Gupta, A. Pasturel, G. Brillant
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (1), pp.6. ⟨10.1103/PhysRevB.81.014110⟩
- Accès au bibtex
-
- titre
- Existence of a stable compound in the Au-Ge alloy system
- auteur
- E.S. Tasci, M.H.F. Sluiter, A. Pasturel, N. Jakse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (17), pp.3. ⟨10.1103/PhysRevB.81.172202⟩
- Accès au bibtex
-
- titre
- Horizontal single-walled carbon nanotubes on MgO(110) and MgO(001) substrates
- auteur
- M. Maret, B. Saubat, J. Flock, A. Mantoux, F. Charlot, D. Makarov
- article
- Chemical Physics Letters, 2010, 495 (1-3), pp.96-101. ⟨10.1016/j.cplett.2010.06.062⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical vapour deposition and atomic layer deposition of amorphous and nanocrystalline metallic coatings: Towards deposition of multimetallic films
- auteur
- E. Blanquet, A. Mantoux, M. Pons, C. Vahlas
- article
- Journal of Alloys and Compounds, 2010, 504S, pp.422-424. ⟨10.1016/j.jallcom.2010.03.205⟩
- Accès au texte intégral et bibtex
-
- titre
- Interfacial properties of immiscible Co-Cu alloys
- auteur
- I. Egry, D M Herlach, L. Ratke, M. Kolbe, D. Chatain, S. Curiotto, L. Battezzati, E. Johnson, N. Pryds
- article
- Journal of Materials Science, 2010, 45 (8), pp.1979-1985. ⟨10.1007/s10853-009-3890-0⟩
- Accès au texte intégral et bibtex
-
- titre
- In-Situ Investigation of the Fast Lattice Recovery during Electropulse Treatment of Heavily Cold Drawn Nanocrystalline Ni-Ti Wires.
- auteur
- P. Sittner, J. Pilch, B. Malard, R. Delville, C. Curfs
- article
- J. Šesták, J.J. Mareš, P. Hubík. Institute of Physics, Prague. Glassy, amorphous and nano-crystalline materials: thermal physics, analysis, structure and properties, J. Šesták, J.J. Mareš, P. Hubík. Institute of Physics, Prague, pp.245, 2010, ISBN: 978-90-481-2881
- Accès au bibtex
-
- titre
- Apport du champ proche en métallurgie
- auteur
- M. Verdier
- article
- Y. Quéré, A. Pineau. La Métallurgie Science et Ingénierie, Académie des Sciences, 2010
- Accès au bibtex
-
- titre
- Structural origin of perpendicular magnetic anisotropy in epitaxial CoPt3 nanostructures grown on WSe2(0001).
- auteur
- F. Liscio, M. Maret, C. Meneghini, S. Mobilio, O. Proux, D. Makarov, M. Albrecht.
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 125417 (81), pp.1
- Accès au bibtex
-
- titre
- Vapor Surface Treatments
- auteur
- A. Galerie
- article
- ISTE, LONDON, UK/WILEY, HOBOKEN, USA, pp.390, 2010
- Accès au bibtex
-
- titre
- Comprehensive review on grain and twin structures in bulk photovoltaic silicon.
- auteur
- T. Duffar
- article
- Recent Research Developments in crystal growth., 2010, 5, pp.61-111
- Accès au bibtex
-
- titre
- Protein conformational changes induced by adsorption onto material surfaces: an important issue for biomedical applications of material science
- auteur
- T. Ballet, L. Boulange, Y. Brechet, F. Bruckert, M. Weidenhaupt
- article
- Bulletin of the Polish Academy of Sciences: Technical Sciences, 2010, 58 (2), pp.303-315
- Accès au bibtex
-
- titre
- Internal stress evolution in Fe laths deformed at low temperature analysed by dislocation dynamics simulations
- auteur
- J. Chaussidon, C. Robertson, M. Fivel, B. Marini
- article
- Modelling and Simulation in Materials Science and Engineering, 2010, 18 (2), pp.14. ⟨10.1088/0965-0393/18/2/025003⟩
- Accès au bibtex
-
- titre
- Foams & Porous Materials: Investigation of PM Parameters' Effect on Architecture of Titanium Foams Using X-Ray Microtomography
- auteur
- Nihan Tuncer, Eric Maire, Luc Salvo, Gursoy Arslan
- article
- European Congress and Exhibition on Powder Metallurgy. European PM Conference Proceedings, 2010, Unknown, Unknown Region. pp.1
- Accès au bibtex
-
- titre
- Advances in tomographic reconstruction for atom probe microscopy
- auteur
- B. Gault, E.A. Marquis, M.P. Moody, F. de Geuser, S.P. Ringer, G.D.W. Smith
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2010, Avignon, France
- Accès au bibtex
-
- titre
- Mechanical Behavior of AA6061 Aluminum in the Semisolid State Obtained by Partial Melting and Partial Solidification
- auteur
- E. Giraud, M. Suery, Michel Coret
- article
- Metallurgical and Materials Transactions A, 2010, 41A, pp.2257-2268. ⟨10.1007/s11661-010-0268-5⟩
- Accès au texte intégral et bibtex
-
- titre
- Preface to the viewpoint set: Triple lines
- auteur
- J.M. Chaix, A. Mortensen, A. Passerone
- article
- Scripta Materialia, 2010, 62 (12), pp.887-888. ⟨10.1016/j.scriptamat.2010.03.018⟩
- Accès au bibtex
-
- titre
- On the free volume kinetics during isochronal structural relaxation of Pd-based metallic glass: effect of temperature and deformation
- auteur
- K. Hajlaoui, M. A. Yousfi, Z. Tourki, G. Vaughan, A. R. Yavari
- article
- Journal of Materials Science, 2010, 45 (12), pp.3344-3349. ⟨10.1016/j.jcrysgro.2009.10.002⟩
- Accès au bibtex
-
- titre
- Densification and microstructure evolution of Y-Tetragonal Zirconia Polycrystal powder during direct and hybrid microwave sintering in a single-mode cavity
- auteur
- S. Charmond, C.P. Carry, D. Bouvard
- article
- Journal of the European Ceramic Society, 2010, 30 (6), pp.1211-1221. ⟨10.1016/j.jeurceramsoc.2009.11.014⟩
- Accès au bibtex
-
- titre
- Thermal stability of cerium-based bulk metallic glasses. Influence of iron addition
- auteur
- Q. A. Wang, Jean-Marc Pelletier, J.J. Blandin
- article
- Journal of Alloys and Compounds, 2010, 504 (2), pp.357-361. ⟨10.1016/j.jallcom.2010.05.070⟩
- Accès au bibtex
-
- titre
- Mechanical properties of a co-extruded Metallic Glass/Alloy (MeGA) rod-Effect of the metallic glass volume fraction
- auteur
- S. Gravier, J.J. Blandin, M. Suery
- article
- Materials Science and Engineering: A, 2010, 527 (16-17), pp.4197-4201. ⟨10.1016/j.msea.2010.03.030⟩
- Accès au bibtex
-
- titre
- Effect of temperature on the mechanical behaviour of a Ni-free zirconium-based bulk metallic glass
- auteur
- M. Nascimento, Jennifer Ragani, Sébastien Gravier, J.J. Blandin, Jean-Louis Soubeyroux
- article
- Journal of Alloys and Compounds, 2010, 495 (2), pp.348-351. ⟨10.1016/j.jallcom.2009.10.186⟩
- Accès au bibtex
-
- titre
- Dynamical properties of deeply undercooled and amorphous systems: Combined classical and ab initio molecular dynamics simulations approaches.
- auteur
- Noel Jakse, Alain Pasturel
- article
- Computational Materials Science, 2010, 49 (4), pp.S272-S275. ⟨10.1016/j.commatsci.2010.03.022⟩
- Accès au bibtex
-
- titre
- Influence of forced/natural convection on segregation during the directional solidification of Al-based binary alloys.
- auteur
- A. Noeppel, A.I. Ciobanas, X.D. Wang, K. Zaïdat, Nathalie Mangelinck-Noël, O. Budenkova, A. Weiss, G. Zimmermann, Y. Fautrelle
- article
- Metallurgical and Materials Transactions B, 2010, 41 (1), pp.193-208
- Accès au bibtex
-
- titre
- A Compact Model of Short Channel Effects for Undoped Cylindrical Gate-All-Around MOSFETs
- auteur
- B. Cousin, M. Reyboz, O. Rozeau, M.A. Jaud, J. Jomaah
- article
- International Workshop on Compact Modeling, 2010, Taipei, Taiwan. pp.29-31
- Accès au bibtex
-
- titre
- Automated nanocrystal orientation and phase mapping in the transmission electron microscope on the basis of precession electron diffraction
- auteur
- E.F. Rauch, J. Portillo, S. Nicolopoulos, D. Bultreys, S. Rouvimov, P. Moeck
- article
- Zeitschrift für Kristallographie, 2010, 225 (2-3), pp.103-109. ⟨10.1524/zkri.2010.1205⟩
- Accès au bibtex
-
- titre
- Activation volume and deviation from Cottrell-Stokes law at small grain size
- auteur
- C. Duhamel, Y. Brechet, Y. Champion
- article
- International Journal of Plasticity, 2010, 26 (5), pp.747-757. ⟨10.1016/j.ijplas.2009.10.00⟩
- Accès au bibtex
-
- titre
- Modeling grain growth and related phenomena with vertex dynamics
- auteur
- J. Lepinoux, D. Weygand, M. Verdier
- article
- Comptes Rendus. Physique, 2010, 11 (3-4), pp.265-273
- Accès au bibtex
-
- titre
- Fundamental issues of reactive wetting by liquid metals
- auteur
- Olivier Dezellus, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (16), pp.4256-4264. ⟨10.1007/s10853-009-4128-x⟩
- Accès au texte intégral et bibtex
-
- titre
- Ab initio approaches to designing alloy phase equilibria.
- auteur
- A. Pasturel, N. Jakse
- article
- Comptes Rendus. Physique, 2010, 11 (3-4), pp.210-215. ⟨10.1016/j.crhy.2010.07.003⟩
- Accès au bibtex
-
- titre
- Kinetic Monte Carlo study of activated states and correlated STZ activity during the deformation of an amorphous metal.
- auteur
- E.R. Homer, D. Rodney, C.A. Schuh
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (064204), pp.1-11
- Accès au bibtex
-
- titre
- Quantitative assessment of platelet precipitates morphology in the Al-Cu-Li system by small-angle scattering: in-situ measurements and microstructure mapping.
- auteur
- F. de Geuser, P. Donnadieu, B. Decreus, A. Denquin, F. Bley, A. Deschamps
- article
- PTM2010 - International Conference on Solid-Solid Phase Transformations, 2010, AVIGNON, France
- Accès au bibtex
-
- titre
- Large Deformability of Wrought Magnesium Alloys: Is Superplasticity Needed? Editor: D. G. Sanders
- auteur
- R. Boissiere, J.J. Blandin, L. Salvo
- article
- 267-272. Superplasticity in Advanced Materials, Trans Tech Publications Ltd, pp.433, 2010, Key Engineering Materials, ⟨10.4028/www.scientific.net/KEM.433.267⟩
- Accès au bibtex
-
- titre
- Coupled phenomena Modeling in CVD
- auteur
- M. Pons, Francis Baillet
- article
- Alain Galerie. Vapor surface treatments, Wiley, USA, pp.199-243, 2010
- Accès au bibtex
-
- titre
- Control of the composition profile of tungsten-copper functionally graded materials for fusion technology application
- auteur
- J.J. Raharijaona, J.M. Missiaen, Raphaël Mitteau, A. Thomazic
- article
- Trans Tech Publications Ltd. Multiscale, Multifunctional and Functionally Graded Materials, A. Kawasaki, A. Kumakawa and M. Niino, pp.279-284, 2010, Materials Science Forum, ⟨10.4028/www.scientific.net/MSF.631-632.279⟩
- Accès au bibtex
-
- titre
- Influence of alloy composition and heat treatment on precipitate composition in Al-Zn-Mg-Cu alloys
- auteur
- T. Marlaud, A. Deschamps, F. Bley, Williams Lefebvre, B. Baroux
- article
- Acta Materialia, 2010, 58 (1), pp.248-260. ⟨10.1016/j.actamat.2009.09.003⟩
- Accès au bibtex
-
- titre
- Columnar-to-equiaxed transitions in al-based alloys during directional solidification under a high magnetic field
- auteur
- Xiaojian Li, K. Zaidat, Y. Fautrelle, A. Gagnoud, Z.M. Ren, R. Moreau, Y.D. Zhang, C. Esling
- article
- Journal of Crystal Growth, 2010, 312 (2), pp.267-272. ⟨10.1016/j.jcrysgro.2009.10.002⟩
- Accès au bibtex
-
- titre
- Capillary interactions between molten silicon and porous graphite
- auteur
- R. Israel, R. Voytovych, P. Protsenko, B. Drevet, D. Camel, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (8), pp.2210-2217. ⟨10.1007/s10853-009-3889-6⟩
- Accès au bibtex
-
- titre
- Gaia spectroscopy: processing, performances and scientific returns
- auteur
- D. Katz, M. Cropper, F. Meynadier, A. Jean-Antoine, C. Allende Prieto, S. Baker, K. Benson, J. Berthier, Lionel Bigot, R. Blomme, S. Boudreault, L. Chemin, F. Crifo, Y. Damerdji, M. David, P. David, C. Delle Luche, C. Dolding, Y. Frémat, N. Gerbier, J. Gerssen, A. Gómez, E. Gosset, A. Guerrier, L. Guy, D. Hall, D. Hestroffer, H. Huckle, Gérard Jasniewicz, H.-G. Ludwig, C. Martayan, T. Morel, A.-T. Nguyen, P. Ocvirk, C. Parr, F. Royer, P. Sartoretti, G. Seabroke, E. Simon, M. Smith, C. Soubiran, M. Steinmetz, F. Thévenin, C. Turon, S. Udry, L. Veltz, Y. Viala
- article
- GAIA: At the Frontiers of Astrometry, 2010, France. pp.189-194, ⟨10.1051/EAS/1045032⟩
- Accès au bibtex
-
- titre
- Homogenization of plastic deformation in metallic glass foils less than one micrometer thick
- auteur
- A.R. Yavari, K. Georgarakis, W.J. Botta, A. Inoue, G. Vaughan
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (17), pp.4. ⟨10.1103/PhysRevB.82.172202⟩
- Accès au bibtex
-
- titre
- ALD TaN from PDMAT in TSV Architectures
- auteur
- Virginie Brizé, Laurent Artaud, Grégory Berthomé, Raphaël Boichot, S. Daniele, I. Nuta, Arnaud Mantoux, E. Blanquet
- article
- ECS Transactions, 2010, 33 (2), pp.183-193. ⟨10.1149/1.3485255⟩
- Accès au bibtex
-
- titre
- Using thermoforming capacity of metallic glasses to produce multi materials,
- auteur
- J. Ragani, A. Volland, S. Valque, Yehan Liu, S. Gravier, J.J. Blandin, M. Suéry
- article
- Journal of Alloys and Compounds, 2010, 504, pp.S267-S270
- Accès au bibtex
-
- titre
- Production of bi-material tubular structures by powder metallurgy.
- auteur
- Y. Kamdem, D. Bouvard, P. Doremus, D. Imbault, F. Dore
- article
- Powder Metallurgy, 2010, 53, pp.274-277
- Accès au bibtex
-
- titre
- Comparison of Radio Frequency Physical Vapor Deposition target material used for LaOx cap layer deposition in 32nm
- auteur
- S. Baudot, P. Caubet, M. Grégoire, R. A. Bianchi, R. Pantel, S. Zoll, M. Gros-Jean, R. Boujamaa, P. Normandon, Cédric Leroux, G. Ghibaudo
- article
- Int. Conf. materials for advanced metallization, MAM 2010, 2010, Malines, Belgium
- Accès au bibtex
-
- titre
- Precipitation sequence and kinetics in an Fe-Si-Ti alloy.
- auteur
- M. Perrier, A. Deschamps, P. Donnadieu, F. de Geuser, F. Danoix, O. Bouaziz, Y. Brechet
- article
- PTM2010 - International Conference on Solid-Solid Phase Transformations, 2010, AVIGNON, France. ⟨10.4028/www.scientific.net/SSP.172-174.833⟩
- Accès au bibtex
-
- titre
- Proposition to improve the efficiency of the heat soak test following EN14179-1.
- auteur
- O. Yousfi, Y. Bréchet, P. Donnadieu, A. Kasper, F. Serruys.
- article
- Verre, 2010, 16, pp.38-44
- Accès au bibtex
-
- titre
- Effect of Strain Rate, Adiabatic Heating and Phase Transformation Phenomena on the Mechanical Behaviour of Stainless Steel
- auteur
- A. Andrade-Campos, F. Teixeira-Dias, U. Krupp, F. Barlat, E.F. Rauch, J. J. Gracio
- article
- Strain, 2010, 46 (3), pp.283-297. ⟨10.1111/j.1475-1305.2008.00572.x⟩
- Accès au bibtex
-
- titre
- Fabrication of (cemented carbides/steel) bilayered materials by powder metallurgy
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- Trans Tech Publications Ltd. Multiscale, Multifunctional and Functionally Graded Materials, A. Kawasaki, A. Kumakawa and M. Niino, pp.239-244, 2010, ⟨10.4028/www.scientific.net/MSF.631-632.239⟩
- Accès au bibtex
-
- titre
- Large Deformability of Wrought Magnesium Alloys: Is Superplasticity Needed?
- auteur
- Rémi Boissiere, J.J. Blandin, L. Salvo
- article
- Key Engineering Materials, 2010, 433, ⟨10.4028/www.scientific.net/KEM.433.267⟩
- Accès au bibtex
-
- titre
- Micromechanics of high-temperature damage in dual-phase stainless steel
- auteur
- B. Chehab, Y. Brechet, M. Veron, P. Jacques, G. Parry, J.D. Mithieux, J.C. Glez, T. Pardoen
- article
- Acta Materialia, 2010, 58 (2), pp.626-637
- Accès au bibtex
-
- titre
- Influence of aeration on the localized trenching on aluminum alloy.
- auteur
- R. Oltra, B. Malki, F. Rechou
- article
- Electrochimica Acta, 2010, 55, pp.15
- Accès au bibtex
-
- titre
- New fine structures resolved at the ELNES Ti-L2,3 edge spectra of anatase and rutile: comparison between experiment and calculation.
- auteur
- M. Cheynet, S. Pockrant, S. Irsen, Peter Krüger
- article
- Ultramicroscopy, 2010, 110 (8), pp.1046-1053. ⟨10.1016/j.ultramic.2010.03.001⟩
- Accès au bibtex
-
- titre
- Modelling the competition between interface debonding and particle fracture using a plastic strain dependent cohesive zone
- auteur
- Y. Charles, R. Estevez, Y. Brechet, E. Maire
- article
- Engineering Fracture Mechanics, 2010, 77 (4), pp.705-718. ⟨10.1016/j.engfracmech.2009.11.012⟩
- Accès au bibtex
-
- titre
- Study of the compatibility between criteria in a set of materials requirements: Application to a machine tool.
- auteur
- H. Wargnier, G. Castillo, M. Danis, Y. Brechet
- article
- Materials & Design, 2010, 31 (2), pp.732-740. ⟨10.1016/j.matdes.2009.08.003⟩
- Accès au bibtex
-
- titre
- Wetting dynamics versus interfacial reactivity of AlSi alloys on carbon
- auteur
- N.R. Calderon, R. Voytovych, J. Narciso, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (8), pp.2150-2156. ⟨10.1007/s10853-009-3909-6⟩
- Accès au bibtex
-
- titre
- The effect of carbon nanolayers on wetting of alumina by NiSi alloys
- auteur
- A. Koltsov, Alexandre Crisci, F. Hodaj, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (8), pp.2062-2070. ⟨10.1007/s10853-009-4066-7⟩
- Accès au bibtex
-
- titre
- Characterisation of wettability in gas diffusion layer in proton exchange membrane fuel cells
- auteur
- V. Parry, E. Appert, J.C. Joud
- article
- Applied Surface Science, 2010, 256 (8), pp.2474-2478. ⟨10.1016/j.apsusc.2009.10.091⟩
- Accès au bibtex
-
- titre
- Bulk growth of SiC – review on advances of SiC vapor growth
- auteur
- S.A. Sakwe, M. Stockmeie, P. Hens, R. Müeller, D. Queren, U. Kunecke, K. Konias, A. Magerl, M. Pons, A. Winnacker, P. Wellmann
- article
- Peter Friedrichs, Tsunenobu Kimoto, Lothar Ley, Gerhard Pensl. Silicon Carbide, Wiley VCH Berlin, pp.1-29, 2010
- Accès au bibtex
-
- titre
- Methods for the study of clustering and precipitation in Al-based alloys by APT
- auteur
- Williams Lefebvre, F. Vurpillot, M. Torsaeter, F. de Geuser
- article
- International Conference on Aluminium Alloys (ICAA 12), 2010, Yokohama, Japan
- Accès au bibtex
-
- titre
- Foreword: Numerical metallurgy and scale transitions
- auteur
- Yves Brechet
- article
- Comptes Rendus de l'Academie des Sciences. Série IV, Physique, Astronomie, 2010, 11 (3-4), pp.207-209. ⟨10.1016/j.crhy.2010.08.001⟩
- Accès au bibtex
-
- titre
- Aging of the HF-H2SO4 electrolyte used for the electro-polishing of SRF cavities: origins and cure.
- auteur
- F. Eozénou, S. Berry, C. Antoine, Y. Gasser, J.P. Charrier, B. Malki
- article
- Physical Review Special Topics: Accelerators and Beams, 2010, 13, pp.8. ⟨10.1103/PhysRevSTAB.13.083501⟩
- Accès au bibtex
-
- titre
- Corrosion resistance of stainless steel bipolar plates in a PEFC environment: A comprehensive study.
- auteur
- Johan Andre, Laurent Antoni, Jean-Pierre Petit
- article
- Journal of Fuel Cell Science and Technology, 2010, 35 (8), pp.3684-3697. ⟨10.1016/j.ijhydene.2010.01.062⟩
- Accès au bibtex
-
- titre
- A discrete dislocation dynamics modelling for thermal fatigue of preferred oriented copper via patterns.
- auteur
- Gyu Seok Kim, Fivel Marc, Hyo-Jong Lee, Chansun Shin, Heung Nam Han, Hyung-Jun Chang, Kyunghwan Oh
- article
- Scripta Materialia, 2010, 63 (7), pp.788-791. ⟨10.1016/j.scriptamat.2010.06.018⟩
- Accès au bibtex
-
- titre
- Micro-crack initiation in thermal fatigue - an analysis based on experimental and DD simulation results.
- auteur
- C.F. Robertson, C.F. Desprès, M.C. Fivel
- article
- Trans. Indian Institute of Metals, 2010, 63, pp.529-533
- Accès au bibtex
-
- titre
- Morphologie de nanostructures d'alliages magnétiques déterminée par diffusion de rayons X aux petits angles en incidence rasante.
- auteur
- M. Maret, F. Liscio, D. Makarov, B. Doisneau-Cottignies, J.P. Simon, M. Albrecht
- article
- Matériaux 2010, 2010, NANTES, France
- Accès au bibtex
-
- titre
- Multiscale modelling of indentation in FCC metals: From atomic to continuum
- auteur
- H. J. Chang, M. Fivel, D. Rodney, M. Verdier
- article
- Comptes Rendus. Physique, 2010, 11 (3-4), pp.285-292. ⟨10.1016/j.crhy.2010.07.007⟩
- Accès au bibtex
-
- titre
- On the twinning occurrence in bulk semiconductor crystal growth
- auteur
- T. Duffar, A. Nadri
- article
- Scripta Materialia, 2010, 62 (12), pp.955-960. ⟨10.1016/j.scriptamat.2010.02.034⟩
- Accès au bibtex
-
- titre
- Tailoring powder processing and thermal treatment to optimize the densification of W-CuO powder
- auteur
- J.J. Raharijaona, J.M. Missiaen
- article
- International Journal of Refractory Metals & Hard Materials, 2010, 28 (3), pp.388-393. ⟨10.1016/j.ijrmhm.2009.12.004⟩
- Accès au bibtex
-
- titre
- Effect of a high magnetic field on the Al-Al3Ni fiber eutectic during directional solidification
- auteur
- Xiaojian Li, Y. Fautrelle, Z.M. Ren, Y.D. Zhang, C. Esling
- article
- Acta Materialia, 2010, 58 (7), pp.2430-2441. ⟨10.1016/j.actamat.2009.12.029⟩
- Accès au bibtex
-
- titre
- Propagation of shear bands in metallic glasses and transition from serrated to non-serrated plastic flow at low temperatures
- auteur
- A. Vinogradov, A. Lazarev, D.V. Louzguine-Luzgin, Y. Yokoyama, S. Li, A.R. Yavari, A. Inoue
- article
- Acta Materialia, 2010, 58 (20), pp.6736-6743. ⟨10.1016/j.actamat.2010.08.039⟩
- Accès au bibtex
-
- titre
- Chemically assisted vapour transport for bulk ZnO crystal growth
- auteur
- J.L. Santailler, C. Audoin, G. Chichignoud, R. Obrecht, B. Kaouache, P. Marotel, D. Pelenc, S. Brochen, J. Merlin, I. Bisotto, C. Granier, G. Feuillet, F. Levy
- article
- Journal of Crystal Growth, 2010, 312 (23), pp.3417-3424. ⟨10.1016/j.jcrysgro.2010.08.046⟩
- Accès au bibtex
-
- titre
- Hydrophobic functionalization of cotton-based textile fabrics through a non-fluorinated sol-gel route
- auteur
- M. Messaoud, M. Houmard, S. Briche, F. Roussel, M. Langlet
- article
- Journal of Sol-Gel Science and Technology, 2010, 55 (2), pp.243-254. ⟨10.1007/s10971-010-2240-7⟩
- Accès au bibtex
-
- titre
- On the microstructure of Si coimplanted with H+ and He + ions at moderate energies
- auteur
- Shay Reboh, F. Schaurich, A. Declemy, J-F. Barbot, M.F. Beaufort, Nikolay Cherkashin, P.F.P. Fichtner
- article
- Journal of Applied Physics, 2010, 108 (2), pp.023502. ⟨10.1063/1.3459884⟩
- Accès au texte intégral et bibtex
-
- titre
- In-situ Small Angle X-ray Scattering measurements during tensile tests: a tool for characterising the coupling between precipitation and applied stress or plastic strain.
- auteur
- A. Deschamps, F. de Geuser, G. Fribourg, J. L. Chemin
- article
- PTM2010 - International Conference on Solid-Solid Phase Transformations, 2010, France
- Accès au bibtex
-
- titre
- High temperature homogeneous plastic flow behavior of a Zr based bulk metallic glass matrix composite
- auteur
- Q. A. Wang, D.K. Wang, T. Fu, J.J. Blandin, J.M. Pelletier, Y.D. Dong
- article
- Journal of Alloys and Compounds, 2010, 495 (1), pp.50-54. ⟨10.1016/j.jallcom.2010.02.017⟩
- Accès au bibtex
-
- titre
- Composition and microstructure of nickel sulphide stones found in tempered glass.
- auteur
- O. Yousfi, P. Donnadieu, Y. Brechet, Alexandre Crisci, A. Kasper, F. Serruys.
- article
- Verre, 2010, 16, pp.30-35
- Accès au bibtex
-
- titre
- Vertical Bridgman and Dewetting
- auteur
- T. Duffar, L. Sylla
- article
- T. Duffar. Chapter 6 in Crystal Growth Processes based on Capillarity : Czochralski, Floating Zone, shaping and crucible techniques., J. Wiley-Blackwell, 2010
- Accès au bibtex
-
- titre
- Rheology and modelling
- auteur
- D.H. Kirkwood, M. Suéry, P. Kapranos, H.V. Atkinson, K.P. Young
- article
- Semi-solid Processing of Alloys, Springer Series in Materials Science, pp.43-103, vol. 124, 2010, Springer Series in Materials Science
- Accès au bibtex
-
- titre
- Tensile deformation behaviour of Zr-based glassy alloys
- auteur
- D. Louzguine-Luzgin, A.R. Yavari, G.Q. Xie, S. Madge, S. Li, J. Saida, A.L. Greer, A. Inoue
- article
- Philosophical Magazine Letters, 2010, 90 (2), pp.139-148
- Accès au bibtex
-
- titre
- Fast in-situ X-ray micro tomography characterisation of microstructural evolution and strain-induced damage in alloys at various temperatures
- auteur
- M. Suery, J. Adrien, C. Landron, S. Terzi, E. Maire, L. Salvo, J.J. Blandin
- article
- International Journal of Materials Research, 2010, 101 (9), pp.1080-1088. ⟨10.3139/146.10386⟩
- Accès au bibtex
-
- titre
- Detection of breakaway oxidation with Acoustic Emission during titanium oxide scale growth
- auteur
- M.T. Tran, M. Boinet, A. Galerie, Y. Wouters
- article
- Corrosion Science, 2010, 52 (7), pp.2365-2371. ⟨10.1016/j.corsci.2010.03.015⟩
- Accès au bibtex
-
- titre
- Evolution of precipitate microstructures during the retrogression and re-ageing heat treatment of an Al-Zn-Mg-Cu alloy
- auteur
- T. Marlaud, A. Deschamps, F. Bley, Williams Lefebvre, B. Baroux
- article
- Acta Materialia, 2010, 58 (14), pp.4814-4826. ⟨10.1016/j.actamat.2010.05.017⟩
- Accès au bibtex
-
- titre
- Pressureless infiltration versus wetting in AlSi/graphite system
- auteur
- N.R. Calderon, R. Voytovych, J. Narciso, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (16), pp.4345-4350. ⟨10.1007/s10853-010-4358-y⟩
- Accès au bibtex
-
- titre
- Thermodynamic assessment of the calcium-germanium system
- auteur
- Y. Djaballah, A. Pasturel, A. Belgacem-Bouzida
- article
- Journal of Alloys and Compounds, 2010, 497 (1-2), pp.74-79. ⟨10.1016/j.jallcom.2010.02.189⟩
- Accès au bibtex
-
- titre
- Cracking study of pentakis(dimethylamino)tantalum vapors by Knudsen cell mass spectrometry
- auteur
- P. Violet, I. Nuta, C. Chatillon, E. Blanquet
- article
- Rapid Communications in Mass Spectrometry, 2010, 24 (2), pp.2949-2956. ⟨10.1002/rcm.4727⟩
- Accès au bibtex
-
- titre
- Effects on high magnetic fields on the microstructure of Al-Al2Cu eutectic.
- auteur
- Xiaojian Li, Z. M. Ren, Y. Fautrelle, Y. D. Zhang, C. Esling
- article
- Materials Letters, 2010, 64 (23), pp.2597-2600. ⟨10.1016/j.matlet.2010.07.070⟩
- Accès au bibtex
-
- titre
- Silicon purity controlled under electromagnetic levitation (SPYCE): influences on undercooling
- auteur
- M. Beaudhuin, K. Zaidat, T. Duffar, M. Lemiti
- article
- Journal of Materials Science, 2010, 45 (8), pp.2218-2222. ⟨10.1007/s10853-009-4011-9⟩
- Accès au bibtex
-
- titre
- Wetting and interfacial interactions in the CaO-Al2O3-SiO2/silicon carbide system
- auteur
- O. Mailliart, V. Chaumat, F. Hodaj
- article
- Journal of Materials Science, 2010, 45 (8), pp.2123-2132. ⟨10.1007/s10853-009-3950-5⟩
- Accès au bibtex
-
- titre
- Quantitative evaluation of high temperature deformation mechanisms: a specific microgrid extensometry technique coupled with EBSD analysis
- auteur
- A. Soula, D. Locq, D. Boivin, Y. Renollet, P. Caron, Y. Brechet
- article
- Journal of Materials Science, 2010, 45 (20), pp.5649-5659. ⟨10.1007/s10853-010-4630-1⟩
- Accès au bibtex
-
- titre
- Reactive infiltration by Si: Infiltration versus wetting
- auteur
- N. Eustathopoulos, R. Israel, B. Drevet, D. Camel
- article
- Scripta Materialia, 2010, 62 (12), pp.966-971. ⟨10.1016/j.scriptamat.2010.02.030⟩
- Accès au bibtex
-
- titre
- Advances in the reconstruction of atom probe tomography data
- auteur
- F. Gault, D. Haley, F. de Geuser, M.P. Moody, E.A. Marquis, D.J. Larson, B.P. Geiser
- article
- 52st International Field Emission Symposium (IFES), 2010, Sydney, Australia
- Accès au bibtex
-
- titre
- Réactivité et transferts de matière dans la région interfaciale lors du frittage de bi-matériaux acier/carbure cémenté
- auteur
- A. Thomazic, J.M. Chaix, C. Pascal
- article
- Matériaux 2010, 2010, Nantes, France
- Accès au bibtex
-
- titre
- Glass formation in the Nb-Si binary system.
- auteur
- K. Georgarakis, Y. Li, M. Aljerf, D. Dudina, A. Lemoulec, A.R. Yavari, G. Vaughan, A. Inoue
- article
- Journal of Alloys and Compounds, 2010, 504 (Supp. 1), pp.S14-S17. ⟨10.1016/j.jallcom.2010.03.034⟩
- Accès au bibtex
-
- titre
- Mesenchymal stem cell-dependent formation of heterotopic tendon-bone insertions (osteotendinous junctions).
- auteur
- S. Shahab-Osterloh, F. Witte, A. Hoffmann, A. Winkel, S. Laggies, B. Neumann, V. Seiffart, W. Lindenmaier, Ad Gruber, J. Ringe, T. Häupl, F. Thorey, E. Willbold, P. Corbeau, G. Cross
- article
- STEM CELLS, 2010, 28 (9), pp.1590-1601. ⟨10.1002/stem.487⟩
- Accès au bibtex
-
- titre
- A report critical of the Academy of Sciences and the Academy Technology on " Metallurgy Science and Engineering
- auteur
- J.M. Steiler, R. Alberny, Y. Quere, Y. Brechet, André Pineau
- article
- Revue de Métallurgie, 2010, 107 (10-11), pp.409. ⟨10.1051/metal/2011018⟩
- Accès au bibtex
-
- titre
- Mapping the microstructure of a friction-stir welded (FSW) Al-Li-Cu alloy
- auteur
- F. de Geuser, F. Bley, A. Denquin, A. Deschamps
- article
- Journal of Physics: Conference Series, 2010, 247, pp.012-034. ⟨10.1088/1742-6596/247/1/012034⟩
- Accès au bibtex
-
- titre
- MHD flow in an insulating rectangular duct under a non-uniform magnetic field.
- auteur
- R. Moreau, S. Smolentsev, S. Cuevas
- article
- Journal of Physics B: Atomic and Molecular Physics, 2010, 3, pp.3
- Accès au bibtex
-
- titre
- Mechanical properties of low carbon steel hardened by the Fe(2)SiTi phase at high volume fraction
- auteur
- M. Perrier, O. Bouaziz, Y. Brechet, A. Deschamps, P. Donnadieu
- article
- Journal of Physics: Conference Series, 2010, 240, pp.012095
- Accès au bibtex
-
- titre
- Night cooling with a Ventilated Internal Double Wall during the night
- auteur
- G. Fraisse, R. Boichot, J.L. Kouyoumji, B. Souiry
- article
- Energy and Buildings, 2010, 42, pp.393-400
- Accès au bibtex
-
- titre
- morphological instabilities and alignment of lamellar eutectics during directional solidification under a strong magnetic field.
- auteur
- X. Li, Z.M. Ren, Y. Fautrelle
- article
- Acta Materialia, 2010, 58 (4), pp.1403-1417
- Accès au bibtex
-
- titre
- Investigation of liquid phase motion generated by the thermoelectric current and magnetic field interaction.
- auteur
- I. Kaldre, Y. Fautrelle, J. Etay, A. Bojarevics, L. Buligins
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2010, 46 (4), pp.371-370
- Accès au bibtex
-
- titre
- Parametic study for dewetted Bridgman method : crystal-crucible gap dependence on the Bond and Laplace numbers.
- auteur
- S. Epure, T. Duffar, L. Braescu
- article
- Journal of Materials Science, 2010, 45, pp.2140-2143
- Accès au bibtex
-
- titre
- Effect of oxygen on the molten BGO/Ir wetting and sticking
- auteur
- T. Duffar, O. Bochu, P. Dusserre
- article
- Journal of Materials Science, 2010, 45 (8), pp.2140-2143. ⟨10.1007/s10853-009-4041-3⟩
- Accès au bibtex
-
- titre
- Numerial investigation of the influence of forced convection induced by a travelling magnetic field during solidification of metallic alloys.
- auteur
- A. Noeppel, O. Budenkova, Y. Fautrelle
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2010, in press
- Accès au bibtex
-
- titre
- SAXS investigation of the effect of temperature on the multiscale structure of a macroporous poly(N-isopropylacrylamide) gel
- auteur
- Mohand Chalal, Françoise Ehrburger-Dolle, Isabelle Morfin, Françoise Bley, Maria-Rosa Aguilar de Armas, María-Luisa López Donaire, Julio San Roman, Nimet Bölgen, Erhan Pişkin, Omar Ziane, Roger Casalegno
- article
- Macromolecules, 2010, Macromolecules, 43 (4), pp.2009 - 2017. ⟨10.1021/ma902655h⟩
- Accès au texte intégral et bibtex
-
- titre
- Mathematical analysis of the oscillations of a liquid metal drop submitted to low frequency magnetic fields.
- auteur
- K. Spragg, A. Sneyd, Y. Fautrelle
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2010, in press
- Accès au bibtex
-
- titre
- Elastic strain around needle-shaped particles embedded in Al matrix
- auteur
- Joël Douin, P. Donnadieu, Florent Houdellier
- article
- Acta Materialia, 2010, 58 (17), pp.5782-5788. ⟨10.1016/j.actamat.2010.06.053⟩
- Accès au texte intégral et bibtex
-
- titre
- Ultra-Thin (4nm) Gate-All-Around CMOS devices with High-k/Metal for Low Power Multimedia Applications
- auteur
- J.-L. Huguenin, S. Monfray, G. Bidal, S. Denorme, P. Perreau, N. Loubet, Y. Campidelli, M.-P. Samson, C. Arvet, K. Benotmane, F. Leverd, P. Gouraud, B. Le-Gratiet, C. De-Butet, L. Pinzelli, R. Beneyton, S. Barnola, T. Morel, A. Halimaoui, F. Boeuf, G. Ghibaudo, T. Skotnicki
- article
- SSDM 2010, 2010, tokyo, Japan
- Accès au bibtex
-
- titre
- High temperature chemical vapor deposition of AlN/W1−xRex coatings on bulk SiC
- auteur
- F.Z. Roki, M. Pons, Florian Mercier, R. Boichot, C. Bernard, E. Blanquet, M. Morais, G. Huot, A. Claudel, D. Pique, Ph. Berne, S. Poissonnet, L. Chaffron
- article
- Surface and Coatings Technology, 2010, 205 (5), pp.1302-1306. ⟨10.1016/j.surfcoat.2010.08.107⟩
- Accès au bibtex
-
- titre
- Evolution of the liquid-vapor coexistence of the hard-core Yukawa fluid as a function of the interaction range
- auteur
- E.B. El Mendoub, J. F. Wax, N. Jakse
- article
- Journal of Chemical Physics, 2010, 132 (16), pp.8. ⟨10.1063/1.3385894⟩
- Accès au bibtex
-
- titre
- Understanding the mechanical properties of 2198 Al-Li-Cu alloy in relation with the intra-granular and inter-granular precipitate microstructure
- auteur
- B. Decreus, A. Deschamps, P. Donnadieu
- article
- Journal of Physics: Conference Series, 2010, 240, pp.012096. ⟨10.1088/1742-6596/240/1/012096⟩
- Accès au bibtex
-
- titre
- Recent advances in Transmission Electron Microscopy illustrated by an application to atomic scale characterization of nanoscale precipitation
- auteur
- P. Donnadieu, Y. Shao, G. Botton, S. Lazar, M. Cheynet, F. de Geuser, A. Deschamps, Joël Douin, Christophe Gatel, Florent Houdellier
- article
- PTM2010 - International Conference on Solid-Solid Phase Transformations, 2010, AVIGNON, France
- Accès au bibtex
-
- titre
- Structural and dynamic evolution in liquid Au-Si eutectic alloy by ab initio molecular dynamics
- auteur
- A. Pasturel, E.S. Tasci, M.H.F. Sluiter, N. Jakse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 81 (14), pp.4. ⟨10.1103/PhysRevB.81.140202⟩
- Accès au bibtex
-
- titre
- Liquid structure as a guide for phase stability in the solid state: Discovery of a stable compound in the Au-Si alloy system
- auteur
- E.S. Tasci, M.H.F. Sluiter, A. Pasturel, P. Villars
- article
- Acta Materialia, 2010, 58 (2), pp.449-456
- Accès au bibtex
-
- titre
- A simple Cellular Automaton algorithm to optimise heat transfer in complex configurations
- auteur
- R. Boichot, Lingai Luo
- article
- International Journal of Exergy, 2010, 7 (1), pp.51-64. ⟨10.1504/IJEX.2010.029614⟩
- Accès au bibtex
-
- titre
- Clinical impact of surgical glues: interdisciplinary indications for its use
- auteur
- B.R.M. Perrin, M. Braccini, M. Dupeux
- article
- European Journal of Cardio-Thoracic Surgery, 2010, 37 (4), pp.985-986. ⟨10.1016/j.ejcts.2009.10.022⟩
- Accès au bibtex
-
- titre
- Comment on the paper "Contribution to the stability analysis of the dewetted Bridgman growth under microgravity conditions
- auteur
- T. Duffar, S. Epure
- article
- Crystal Research and Technology, 2010, 45 (11), pp.1209-1210. ⟨10.1002/crat.201000461⟩
- Accès au bibtex
-
- titre
- Conduction mechanism in La0.67Ba0.33Mn1-xFexO3 (x=0-0.2) perovskites
- auteur
- M. Baazaoui, S. Zemni, Michel Boudard, H. Rahmouni, M. Oumezzine, A. Selmi
- article
- Physica B: Condensed Matter, 2010, 405 (6), pp.1470-1474. ⟨10.1016/j.physb.2009.12.017⟩
- Accès au bibtex
-
- titre
- Substrate-enhanced supercooling in AuSi eutectic droplets
- auteur
- T. U. Schülli, R. Daudin, G. Renaud, A. Vaysset, Olivier Geaymond, A. Pasturel
- article
- Nature, 2010, 464 (7292), pp.1174-1177. ⟨10.1038/nature08986⟩
- Accès au bibtex
-
- titre
- Mapping of elastic modulus sub-micrometer scale with acoustic contact resonance AFM
- auteur
- F. Mege, F. Volpi, M. Verdier
- article
- Microelectronic Engineering, 2010, 87 (3), pp.416-420. ⟨10.1016/j.mee.2009.05.028⟩
- Accès au bibtex
-
- titre
- Phase transformations in nickel sulphide: Microstructures and mechanisms
- auteur
- O. Yousfi, P. Donnadieu, Y. Brechet, F. Robaut, F. Charlot, A. Kasper, F. Serruys
- article
- Acta Materialia, 2010, 58 (9), pp.3367-3380. ⟨10.1016/j.actamat.2010.02.011⟩
- Accès au bibtex
-
- titre
- Plasticity of quasicrystals and of bulk metallic glasses: An analogy
- auteur
- P. Guyot
- article
- Intermetallics, 2010, 18 (10), pp.1925-1929. ⟨10.1016/j.intermet.2010.03.021⟩
- Accès au bibtex
-
- titre
- Development of shear bands during deformation of partially solid alloys
- auteur
- B. Meylan, S. Terzi, C.M. Gourlay, M. Suery, A.K. Dahle
- article
- Scripta Materialia, 2010, 63 (12), pp.1185-1188. ⟨10.1016/j.scriptamat.2010.08.032⟩
- Accès au bibtex
-
- titre
- Metallic glass/light alloy (MEGA) multimaterials elaborated by co-pressing at high temperature
- auteur
- J. Ragani, A. Volland, S. Gravier, J.J. Blandin, M. Suery
- article
- Journal of Alloys and Compounds, 2010, 495 (2), pp.323-326. ⟨10.1016/j.jallcom.2009.10.101⟩
- Accès au bibtex
-
- titre
- On the oxidation of (U, Pu)C fuel: Experimental and kinetic aspects, practical issues
- auteur
- F. Mazaudier, C. Tamani, A. Galerie, Y. Marc
- article
- Journal of Nuclear Materials, 2010, 406 (3), pp.277-284. ⟨10.1016/j.jnucmat.2010.07.041⟩
- Accès au bibtex
-
- titre
- Sintered hollow spheres: Random stacking behaviour under uniaxial tensile loading
- auteur
- P. Lhuissier, L. Salvo, Y. Brechet
- article
- Scripta Materialia, 2010, 63 (3), pp.277-280. ⟨10.1016/j.scriptamat.2010.04.010⟩
- Accès au bibtex
-
- titre
- Global Techniques for Characterizing Phase Transformations - A Tutorial Review
- auteur
- Michel Perez, O. Lame, Alexis Deschamps
- article
- Advanced Engineering Materials, 2010, 12 (6), pp.433-446. ⟨10.1002/adem.201000039⟩
- Accès au bibtex
-
- titre
- Strain in epitaxial Si/SiGe graded buffer structures grown on Si(100), SI(110) and SI(111) optically evaluated by polarized Raman spectroscopy and imaging.
- auteur
- Michel Mermoux, Alexandre Crisci, Francis Baillet, V. Destefanis, V. Rouchon, A.M. Papon, H. Hartmann
- article
- Journal of Applied Physics, 2010, 107, pp.013512 1-10
- Accès au bibtex
-
- titre
- Brazing copper to alumina using reactive CuAgTi alloys.
- auteur
- O. Kozlova, M. Braccini, R. Voytovych, N. Eustathopoulos, P. Marinetti, M.F. Devismes
- article
- Acta Materialia, 2010, 58 (4), pp.1252-1260. ⟨10.1016/j.actamat.2009.10.029⟩
- Accès au bibtex
-
- titre
- Ab initio molecular dynamics to designing structural and dynamic properties in metallic glass-forming alloys.
- auteur
- A. Pasturel, N. Jakse
- article
- Computational Materials Science, 2010, 49 (4), pp.S210-S213. ⟨10.1016/j.commatsci.2010.01.015⟩
- Accès au bibtex
-
- titre
- Analytical and numerical studies of the meniscus equation in the case of crystals grown in zero gravity conditions by the Dewetted Bridgman technique.
- auteur
- Simona-Mihaela Epure
- article
- International Journal of Mathematical Models and Methods in Applied Sciences, 2010, 4 (1), pp.50-57
- Accès au bibtex
-
- titre
- On mechanical properties of in situ composites containing CMA phases
- auteur
- P. Donnadieu, S. Scudino, J.J. Blandin, C. Tassin, K. B. Surreddi, M. Stoica, J. Eckert
- article
- C-MAC Days, 2010, DRESDE, France
- Accès au bibtex
-
- titre
- Experimental and numerical analysis of the hot tearing susceptibility of a CuCrZr alloy
- auteur
- J. M. Drezet, D. Ayrault, J. Wisniewski, P. Pilvin, D. Carron, F. Primaux
- article
- H. Cerjak and N. Enzinger, TU-Graz. Mathematical Modelling of Weld Phenomena IX, 9, Verlag der Technischen Universität Graz, pp.997-1010, 2010, 978-3-85125-127-2
- Accès au bibtex
-
- titre
- Atom probe tomography and transmission electron microscopy characterisation of precipitation in an Al-Cu-Li-Mg-Ag alloy
- auteur
- F. Gault, F. de Geuser, L. Bourgeois, B.M. Gable, S.P. Ringer, B.C. Muddle
- article
- 52st International Field Emission Symposium (IFES), 2010, Sydney, Australia. 2010
- Accès au bibtex
-
- titre
- Early stages of Omega phase precipitation in Al-Cu-Mg-Ag observed in situ with and without applied stress by small angle X-ray scattering
- auteur
- F. de Geuser, F. Bley, A. Deschamps
- article
- International Conference on Aluminium Alloys (ICAA 12), 2010, Yokohama, Japan
- Accès au bibtex
-
- titre
- Quantitative evaluation of low temperature precipitation of NbN platelets in alpha-Fe using Small Angle Neutron Scattering and Atom Probe Tomography
- auteur
- A. Deschamps, F. Danoix, T. Epicier, F. de Geuser, Michel Perez
- article
- International Conference on Solid-Solid Phase Transformations in Inorganic Materials (PTM), 2010, Avignon, France. 2010
- Accès au bibtex
-
- titre
- Thermodynamics and kinetics of dissolutive wetting of Si by liquid Cu
- auteur
- P. Protsenko, J. P. Garandet, R. Voytovych, N. Eustathopoulos
- article
- Acta Materialia, 2010, 58 (20), pp.6565-6574
- Accès au bibtex
-
- titre
- Predicting Dislocation Climb and Creep from Explicit Atomistic Details
- auteur
- M. Kabir, T.T. Lau, D. Rodney, S. Yip, K.J. van Vliet
- article
- Physical Review Letters, 2010, 105 (9), pp.0955501. ⟨10.1103/PhysRevLett.105.095501⟩
- Accès au bibtex
-
- titre
- Characterization of wettability in gas diffusion layer in proton exchange membrane fuel cells.
- auteur
- V. Parry, E. Appert, J.C. Joud
- article
- Applied Surface Science, 2010, 256, pp.2474-2478
- Accès au bibtex
-
- titre
- Cyclic oxidaton and wear of tungsten rods in contact with glass in atmospheric air
- auteur
- C. Dorgans, J.M. Chaix, Y. Brechet
- article
- Journal of Materials Science, 2010, 45 (3), pp.688-700. ⟨10.1007/s10853-009-3986-6⟩
- Accès au bibtex
-
- titre
- Mesoscopic modelling of precipitation: A tool for extracting physical parameters of phase transformations in metallic alloys
- auteur
- A. Deschamps, Michel Perez
- article
- Comptes Rendus. Physique, 2010, 11 (34), pp.236-244. ⟨10.1016/j.crhy.2010.07.005⟩
- Accès au bibtex
-
- titre
- Evaluation of the crystal volume fraction in a partially nanocrystallized bulk metallic glass.
- auteur
- S. Gravier, P. Donnadieu, S. Lay, B. Doisneau, F. Bley, L. Salvo, J.J. Blandin
- article
- Journal of Alloys and Compounds, 2010, 504 (1), pp.S226-S229. ⟨10.1016/j.jallcom.2010.05.008⟩
- Accès au bibtex
-
- titre
- On the atomic structure of Zr-Ni and Zr-Ni-Al metallic glasses
- auteur
- K. Georgarakis, A.R. Yavari, M. Aljerf, D.V. Louzguine-Luzgin, M. Stoica, G. Vaughan, A. Inoue
- article
- Journal of Molecular Catalysis A: Chemical, 2010, 328 (1-2), pp.7. ⟨10.1063/1.3446131⟩
- Accès au bibtex
-
- titre
- Electronic and structural properties of Laves-phase MgZn2 of varying chemical disorde
- auteur
- M. Andersson, M. de Boissieu, S. Brühne, Conrad Drescher, W. Assmus, S. Ohahshi, A.P. Tsai, M. Mihalkovič, M. Krajčí, Ö. Rapp
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2010, 82 (2), pp.10. ⟨10.1103/PhysRevB.82.024202⟩
- Accès au bibtex
-
- titre
- Growth of SiC microwires through Si microwires carburization
- auteur
- M. Ollivier, A. Mantoux, Edwige Bano, K. Rogdakis, T. Baron, L. Latu-Romain
- article
- European Conference on Silicon Carbide and Related, 2010, Oslo, Norway. pp.512-515
- Accès au bibtex
-
- titre
- Crystal Growth Processes based on Capillarity : Czochralski, Floating Zone, Shaping and crucible techniques
- auteur
- T. Duffar
- article
- J. Wiley-Blackwell. J. Wiley-Blackwell, 2010
- Accès au bibtex
-
- titre
- On the capillary stability of the crystal-crucible gap during dewetted Bridgman process.
- auteur
- S. Epure, T. Duffar, L. Braescu
- article
- Journal of Crystal Growth, 2010, 312, pp.1416-1420
- Accès au bibtex
-
- titre
- Characterization and modelling of device variability in advanced CMOS technologies
- auteur
- G. Ghibaudo, C. Mezzomo, A. Bajolet, A. Cathignol, R. Difrenza
- article
- Int. workshop on Simulation and Characterization of Statistical CMOS Variability and Reliability, 2010, Bologna, Italy
- Accès au bibtex
-
- titre
- Non invasive conservation genetics of the critically endangered golden-crowned sifaka (Propithecus tattersalli) : high diversity and significant genetic differentiation over a small range
- auteur
- E. Quéméré, E. Louis, A. Ribéron, L. Chikhi, B. Crouau-Roy
- article
- Conservation Genetics, 2010, 11, pp.675-687
- Accès au bibtex
-
- titre
- Hybrid Localized SOI/Bulk technology for Low Power System-on-Chip.
- auteur
- J.-L. Huguenin, S. Monfray, G. Bidal, S. Denorme, P. Perreau, S. Barnola, M.-P. Samson, C. Arvet, K. Benotmane, N. Loubet, Q. Liu, Y. Campidelli, F. Leverd, F. Abbate, L. Clement, C. Borowiak, A. Cros, A. Bajolet, S. Handler, D. Marin-Cudraz, T. Benoist, P. Galy, C. Fenouillet-Beranger, O. Faynot, G. Ghibaudo, F. Boeuf, T. Skotnicki
- article
- IEEE VLSI symposium 2010, 2010, Hawai, United States
- Accès au bibtex
-
- titre
- Non-reactive versus dissolutive wetting of Ag-Cu alloys on Cu substrates
- auteur
- O. Kozlova, R. Voytovych, P. Protsenko, N. Eustathopoulos
- article
- Journal of Materials Science, 2010, 45 (8), pp.2099-2105
- Accès au bibtex
-
- titre
- Phases formation during heating of Mg-Cu-Ag-Y bulk metallic glasses
- auteur
- Jean-Louis Soubeyroux, Sylvain Puech
- article
- Journal of Alloys and Compounds, 2010, 495 (2), pp.330-333. ⟨10.1016/j.jallcom.2009.11.041⟩
- Accès au bibtex
-
- titre
- Critical grain size for dislocation storage and consequences for strain hardening of nanocrystalline materials
- auteur
- Olivier Bouaziz, Y. Estrin, Yves Brechet, J.D. Embury
- article
- Scripta Materialia, 2010, 63, pp.477-479. ⟨10.1016/j.scriptamat.2010.05.006⟩
- Accès au bibtex
-
- titre
- First-principles study of the structural, electronic and elastic properties of W5Si3
- auteur
- Philippe Jund, Tao Xiaoma, Catherine Colinet, Jean-Claude Tedenac
- article
- Intermetallics, 2010, 18 (4), pp.688-693. ⟨10.1016/j.intermet.2009.11.008⟩
- Accès au bibtex
-
- titre
- Parametric study for dewetted Bridgman method: crystal-crucible gap dependence on the Bond and Laplace numbers and on contact angle
- auteur
- S. Epure, T. Duffar, L. Braescu
- article
- Journal of Materials Science, 2010, 45 (8), pp.2239-2345. ⟨10.1007/s10853-009-4119-y⟩
- Accès au bibtex
-
- titre
- Influence of extrusion temperature on microstructure and mechanical properties of Mg-4Y-4Sm-0.5Zr alloy
- auteur
- D.Q. Li, Q.D. Wang, W.J. Ding, J.J. Blandin, M. Suery
- article
- Transactions of Nonferrous Metals Society of China, 2010, 20 (7), pp.1311-1315. ⟨10.1016/s1003-6326(09)60296-x⟩
- Accès au bibtex
-
- titre
- Amorphous/nanocrystalline composites analysed by the Rietveld method
- auteur
- M. Baricco, S. Enzo, T.A. Baser, M. Satta, G. Vaughan, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2010, 495 (2), pp.377-381. ⟨10.1016/j.jallcom.2009.11.024⟩
- Accès au bibtex
-
- titre
- Wetting, infiltration and sticking phenomena in Si3N4 releasing coatings in the growth of photovoltaic silicon
- auteur
- B. Drevet, O. Pajani, N. Eustathopoulos
- article
- Solar Energy Materials and Solar Cells, 2010, 94 (3), pp.425-431. ⟨10.1016/j.solmat.2009.10.020⟩
- Accès au bibtex
-
- titre
- Numerical simulation of microstructural evolution during sintering at the mesoscale in a 3D powder compact
- auteur
- V. Tikare, M. Braginsky, D. Bouvard, A. Vagnon
- article
- Computational Materials Science, 2010, 48 (2), pp.317-325. ⟨10.1016/j.commatsci.2010.01.013⟩
- Accès au bibtex
-
- titre
- Experimental and numerical study of the thermo-mechanical behavior of Al bi-crystal in tension using full field measurements and micromechanical modeling
- auteur
- Afaf As Saai, Hervé Louche, Laurent Tabourot, H.J. Chang
- article
- Mechanics of Materials, 2010, 42 (3), pp.275-292. ⟨10.1016/j.mechmat.2009.11.011⟩
- Accès au bibtex
-
- titre
- Flux-induced structural modification and phase transformations in a Pd40Ni40Si4P16 bulk-glassy alloy
- auteur
- N. Chen, L. Gu, G.Q. Xie, D.V. Louzguine-Luzgin, A.R. Yavari, G. Vaughan, S.D. Imhoff, J.H. Perepezko, T. Abe, A. Inoue
- article
- Acta Materialia, 2010, 58 (18), pp.5886-5897. ⟨10.1016/j.actamat.2010.07.003⟩
- Accès au bibtex
-
- titre
- Photoelectrochemical study of nickel base alloys oxide films formed at high temperature and high pressure water
- auteur
- Loic Marchetti, Stéphane Perrin, Yves Wouters, Michèle Pijolat
- article
- Electrochimica Acta, 2010, 55 (19), pp.5384-5392. ⟨10.1016/j.electacta.2010.04.063⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de la sensibilité à la fissuration à chaud d'un alliage CuCrZr au cours du soudage par faisceau d'électrons
- auteur
- J.-M. Drezet, D. Ayrault, J. Wisniewski, M. Barras, P. Pilvin, D. Carron, F. Primaux
- article
- Matériaux & Techniques, 2010, 98 (4), pp.287-296. ⟨10.1051/mattech/2010108⟩
- Accès au bibtex
-
- titre
- Les contrats au service de la recherche ?
- auteur
- Guillaume Beaussonie, David Dumont, Marc Segonds
- article
- L'Harmattan, 13, 2010, Marché et organisations, 978-2-296-13883-4
- Accès au bibtex
-
- titre
- Synthesis and functionalities of noble metal nanoparticles formed through simple all-inorganic photochemical procedures
- auteur
- D. Riassetto, F. Roussel, L. Rapenne, Hervé Roussel, S. Coindeau, O. Chaix, F. Micoud, M. Chatenet, M. Langlet
- article
- Journal of Experimental Nanoscience, 2010, 5 (3), pp.221-243. ⟨10.1080/17458080903470725⟩
- Accès au bibtex
-
- titre
- High Temperature Forming Maps of Various Bulk Metallic Glasses
- auteur
- J. Ragani, Q. A. Wang, S. Gravier, J.J. Blandin
- article
- Trans Tech Publications Ltd. Superplasticity in Advanced Materials, D. G. Sanders, pp.345-351, 2010, Key Engineering Materials, ⟨10.4028/www.scientific.net/KEM.433.345⟩
- Accès au bibtex
-
- titre
- A new approach for non-contact calorimetry : system identification using pseudo-white noise perturbation.
- auteur
- P. Schetelat, J. Etay
- article
- International Journal of Heat and Mass Transfer, 2010, ⟨10.107/s00231-010-0711-6⟩
- Accès au bibtex
-
- titre
- Spatial Resolution in Atom Probe Tomography.
- auteur
- B. Gault, M.P. Moody, F. de Geuser, A. La Fontaine, L.T. Stephenson, D. Haley, S.P. Ringer
- article
- Microscopy and Microanalysis, 2010, 16 (01), pp.99-110. ⟨10.1017/S1431927609991267⟩
- Accès au bibtex
-
- titre
- Precipitation evolution in AA2198 and AA2196 Al-Li-Cu alloys.
- auteur
- B. Decreus, F. de Geuser, P. Donnadieu, A. Deschamps
- article
- PTM 2010 - International Conference on Solid-Solid Phase Transformations, 2010, AVIGNON, France
- Accès au bibtex
-
- titre
- Numerical modelling of the microstructure effect on fatigue behaviour of Ni-base superalloys for turbine disk
- auteur
- Guylaine Boittin, F. Gallerneau, D. Locq, Pascale Kanoute, Georges Cailletaud
- article
- Technische Mechanik, 2010, 30, pp.15-28
- Accès au texte intégral et bibtex
-
- titre
- Mathematical and numerical analysis of capillarity problems and processes.
- auteur
- L. Braescu, S. Epure, T. Duffar
- article
- T. Duffar. Crystal Growth Processed based on Capillarity : Czochralski, Floating Zone, shaping and crucible techniques, J. Wiley-Blackwell, Chapter 8, 2010
- Accès au bibtex
-
- titre
- Guest Editors’ Editorial: HTC-2009
- auteur
- Nicolas Eustathopoulos, George Kaptay, Panagiotis Nikolopoulos, Alberto Passerone
- article
- Journal of Materials Science, 2010, 45 (8), pp.1977-1978. ⟨10.1007/s10853-010-4253-6⟩
- Accès au bibtex
-
- titre
- Influence of Structural Feature of Aluminum Coatings on Mechanical and Water Barrier Properties of Metallized PET Films
- auteur
- G. Garnier, B. Yrieix, Y. Brechet, L. Flandin
- article
- Journal of Applied Polymer Science, 2010, 115 (5), pp.3110-3119
- Accès au bibtex
-
- titre
- Gas bubbles in shaped sapphire
- auteur
- O.M. Bunoiu, Th. Duffar, I. Nicoara
- article
- Progress in Crystal Growth and Characterization, 2010, 56 (3-4), pp.123-145. ⟨10.1016/j.pcrysgrow.2010.09.001⟩
- Accès au bibtex
-
- titre
- Mathematical and numerical analysis of capillarity problems and processes
- auteur
- Liliana Braescu, Simona Epure, Thierry Duffar
- article
- Thierry Duffar. Crystal Growth Processes based on Capillarity, pp.465-524, 2010, 9780470712443. ⟨10.1002/9781444320237.ch8⟩
- Accès au texte intégral et bibtex
-
- titre
- Characterizing short-range vs. long-range spatial correlations in dislocation distributions
- auteur
- J. Chevy, C. Fressengeas, M. Lebyodkin, V. Taupin, P. Bastie, P. Duval
- article
- Acta Materialia, 2010, 58 (5), pp.1837-1849. ⟨10.1016/j.actamat.2009.11.027⟩
- Accès au bibtex
-
- titre
- Elasticity and strength of partially sintered ceramics
- auteur
- X.X. Liu, C.L. Martin, G. Delette, D. Bouvard
- article
- Journal of the Mechanics and Physics of Solids, 2010, 58 (6), pp.829-842. ⟨10.1016/j.jmps.2010.04.007⟩
- Accès au bibtex
-
- titre
- Experimental investigation of microstructure and ageing behaviour of bulk Zn-(1-18) wt% Al-(0-0.06) wt% Mg alloys
- auteur
- B. Wattiez, Anne-Françoise Gourgues, A. Deschamps, A. Roeme, Z. Zermout
- article
- Materials Science and Engineering: A, 2010, 527 (29-30), pp.7901-7911. ⟨10.1016/j.msea.2010.08.068⟩
- Accès au bibtex
-
- titre
- Coarsening mechanisms in a dendritic Al-10% Cu alloy.
- auteur
- S. Terzi, L. Salvo, M. Suéry, A.K. Dahle, E. Boller
- article
- Acta Materialia, 2010, 58 (1), pp.20-30. ⟨10.1016/j.actamat.2009.08.052⟩
- Accès au bibtex
-
- titre
- Influence of the punch geometry and sample size on the deep-drawing limits in expansion of an aluminum alloy.
- auteur
- Rémi Boissière, Pierre Vacher, Jean-Jacques Blandin
- article
- International Journal of Material Forming, 2010, 3 (1), pp. 135-138. ⟨10.1007/s12289-010-0725-0⟩
- Accès au bibtex
-
- titre
- Coupled heat transfer and fluid dynamics modeling of high-temperature SiC solution growth
- auteur
- Frédéric Mercier, Jean-Marc Dedulle, Didier Chaussende, Michel Pons
- article
- Journal of Crystal Growth, 2010, 312 (2), pp.155-163. ⟨10.1016/j.jcrysgro.2009.10.007⟩
- Accès au bibtex
-
- titre
- High-temperature oxidation of Fe3Al and Fe3AlZr intermetallics
- auteur
- S. Chevalier, P. Juzon, G. Borchardt, A. Galerie, K. Przybylski, J.P. Larpin
- article
- Oxidation of Metals, 2010, 73 (1-2), pp.43-64. ⟨10.1007/s11085-009-9168-8⟩
- Accès au bibtex
-
- titre
- Compaction of aggregated ceramic powders: From contact laws to fracture and yield surfaces
- auteur
- P. Pizette, C.L. Martin, G. Delette, Philippe Sornay, F. Sans
- article
- Powder Technology, 2010, 198 (2), pp.240-250. ⟨10.1016/j.powtec.2009.11.013⟩
- Accès au bibtex
-
- titre
- Shape optimization by the level-set method applied to architectured flexural panels, in High Performance Structures and Materials V
- auteur
- L. Laszczyk, R. Dendievel, G. Parry, Y. Bréchet, Olivier Bouaziz
- article
- Fifth international conference on high performance structures and materials, 2010, Tallinn, Estonia. pp.439-450, ⟨10.2495/HPSM100411⟩
- Accès au bibtex
-
- titre
- Education and imaging. Gastrointestinal: an uncommon intra-abdominal foreign body.
- auteur
- Cecile Martin, Mathieu Chastan, Celine Savoye-Collet
- article
- Journal of Gastroenterology and Hepatology, 2009, 25 (1), pp.216
- Accès au bibtex
-
- titre
- Complex regulation of the TIR1/AFB family of auxin receptors.
- auteur
- G. Parry, L. I. Calderon-Villalobos, M. Prigge, B. Peret, S. Dharmasiri, H. Itoh, E. Lechner, W. M. Gray, M. Bennett, M. Estelle
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2009, 106 (52), pp.22540-5. ⟨10.1073/pnas.0911967106⟩
- Accès au bibtex
-
- titre
- Device and method for producing self-sustained plates of silicon or other crystalline materials
- auteur
- E. Einhaus, F. Lissalde, Y. Delannoy
- article
- France, Patent n° : EP2132366. 2009
- Accès au bibtex
-
- titre
- DEVELOPPEMENT D'UN FOUR MICRO-ONDES MONOMODE ET FRITTAGE DE POUDRES CERAMIQUE ET METALLIQUE
- auteur
- S. Charmond
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Advances in Computational Modeling of Crystals Grown by the Dewetted Bridgman Process
- auteur
- L. Braescua, S. Epure, T. Duffar
- article
- XVth Int. Work Physics Semicond. Devices (IWPSD-2009), Dec 2009, NEW DEHLI, India. pp.36-41
- Accès au bibtex
-
- titre
- Relationship between mobility and high-k interface properties in advanced Si and SiGe nanowires
- auteur
- K. Tachi, M. Casse, D. Jang, C. Dupré, A. Hubert, N. Vulliet, C. Maffini-Alvaro, C. Vizioz, C. Carabasse, V. Delaye, J. M. Hartmann, G. Ghibaudo, H. Iwai, S. Cristoloveanu, O. Faynot, T. Ernst
- article
- IEEE International Electron Devices Meeting, Dec 2009, Balitimore, United States
- Accès au bibtex
-
- titre
- A stacked SONOS technology, up to 4 levels and 6nm crystalline nanowires, with gate-all-around or independent gates (Φ-Flash), suitable for full 3D integration.
- auteur
- A. Hubert, Emmanuel Nowak, K. Tachi, V. Maffini-Alvaro, C. Vizioz, C. Arvet, J.-P. Colonna, J.-M. Hartmann, V. Loup, L. Baud, S. Pauliac, V. Delaye, C. Carabasse, G. Molas, G. Ghibaudo, B. de Salvo, O. Faynot, T. Ernst
- article
- IEEE International Electron Devices Meeting, Baltimore,, Dec 2009, United States
- Accès au bibtex
-
- titre
- Etude mécanique des films de nitrure de silicium fortement contraints utilisés pour augmenter les performances des transistors CMOS
- auteur
- G. Raymond
- article
- Physique [physics]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ELABORATION ET CARACTERISATION DE COUCHES DE NITRURE D'ALUMINIUM AlN PAR CVD HAUTE TEMPERATURE EN CHIMIE CHLOREE
- auteur
- A. Claudel
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude expérimentale et numérique de la précipitation d’impuretés et de la formation des grains dans le silicium photovoltaïque
- auteur
- Mickaël Beaudhuin
- article
- Electromagnétisme. Institut Polytechnique de Grenoble, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude expérimentale et numérique de la précipitation d'impuretés et de la formation des grains dans le silicium photovoltaïque
- auteur
- Mickael Beaudhuin
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Étude expérimentale et numérique de la précipitation d'impuretés et de la formation des grains dans le silicium photovoltaïque
- auteur
- M. Beaudhuin
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ELABORATION ET CARACTERISATION DE COUCHES DE NITRURE D'ALUMINIUM AlN PAR CVD HAUTE TEMPERATURE EN CHIMIE CHLOREE
- auteur
- Arnaud Claudel
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Geometrical and transport properties of Bentheimer sandstone under deformation
- auteur
- L. Jasinski, V. Mourzenko, Jean-François Thovert, N. Gland, S. Youssef, O. Vizika, P.M Adler
- article
- Meeting, Dec 2009, San Francisco, United States. pp
- Accès au bibtex
-
- titre
- Solidification of Metallic alloys under magnetic fields
- auteur
- Xiaojian Li, A. Noeppel, B. Saadi, O. Budenkova, K. Zaidat, A. Cioabanas, Z.M. Ren, Y. Fautrelle
- article
- Int. Conf. on Solidification Science and Processing 4,, Nov 2009, CHENNAI, India
- Accès au bibtex
-
- titre
- On Growth and Stifling of Localized Corrosion Attacks in CO2 and Acetic Acid Environments : Application to the Top-of-Line Corrosion of Wet Gas Pipelines Operated in Stratified Flow Regime
- auteur
- J. Amri
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- On the intrinsic electrochemical nature of the inductance in EIS—A Monte Carlo simulation of the two-consecutive steps mechanism: The rough 3D case and the surface relaxation effect
- auteur
- P. Córdoba-Torres, M. Keddam, R.P. Nogueira
- article
- Electrochimica Acta, 2009, 54 (27), pp.6779-6787. ⟨10.1016/j.electacta.2009.06.084⟩
- Accès au bibtex
-
- titre
- La transition colonnaire - équiaxe
- auteur
- O. Budenkova, A. Ciobanas, K. Zaidat, B. Saadi, Y. Fautrelle
- article
- Ecole Thématique CNRS Changement de Phases - applications, Oct 2009, LES EMBIEZ, France
- Accès au bibtex
-
- titre
- Étude Thermodynamique et par Spectrométrie de Masse du Comportement de Poudres de Carbure de Silicium (SiC) à Haute Température
- auteur
- G. Honstein
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Apports de la thermodynamique aux réactions à haute température
- auteur
- C. Tassin
- article
- Atelier Thématique MCMF "Synthèses à haute température CNRS - Institut Néel, Oct 2009, GRENOBLE, France
- Accès au bibtex
-
- titre
- Recent progresses in optimizing inductive cold crucible processes,
- auteur
- M. Dumont, R. Ernst, C. Garnier, P. Petitpas
- article
- 6th. Int. Conf. on EPM-2009, Oct 2009, DRESDEN, Germany
- Accès au bibtex
-
- titre
- Why and how turbulence can be suppressed in a on-uniform magnetic field.
- auteur
- R. Moreau, S. Smolentsev, S. Cuevas
- article
- 6th Int. Conf. on EPM 2009, Oct 2009, DRESDEN, Germany
- Accès au bibtex
-
- titre
- Investigation on solidification of metals under a strong magnetic fields
- auteur
- Z.M. Ren, Xiaojian Li, Y. Fautrelle
- article
- 6th. Int. Conf. on Electromagnetic Processing of Materials, Oct 2009, DRESDEN, Germany
- Accès au bibtex
-
- titre
- PROCEDE DE PREPARATION DE POLYCRISTAUX ET DE MONOCRISTAUX D'OXYDE DE ZINC (ZNO) SUR UN GERME PAR SUBLIMATION ACTIVEE CHIMIQUEMENT A HAUTE TEMPERATURE
- auteur
- J.L. Santailler, G. Chichignoud, M. Couchautd
- article
- France, N° de brevet: FR2929959 (A1). EPM. 2009
- Accès au bibtex
-
- titre
- Analysing the sintering of heterogeneous powder structures by in situ microtomography
- auteur
- Luis Olmos, Didier Bouvard, Tetsu Takahashi, Christophe Martin, Luc Salvo, Daniel Bellet, Marco Di Michiel
- article
- Philosophical Magazine, 2009, 89 (32), pp.2949-2965. ⟨10.1080/14786430903150225⟩
- Accès au texte intégral et bibtex
-
- titre
- Modelling the influence of process parameters on the densification of granular media under horizontal vibrations.
- auteur
- Sébastien Nadler, Olivier Bonnefoy, Jean-Marc Chaix, Ahmed Raihane, Gérard Thomas, Jean-Louis Gelet
- article
- XII ° Congrès de la Société Française de Génie des Procédés Pour relever les défis industriels du XXI° siècle A la croisée des Sciences et des Cultures, Oct 2009, Marseille, France. pp.P-883
- Accès au texte intégral et bibtex
-
- titre
- Study of the spontaneous nucleation of 3C-SiC single crystals using CF-PVT technique
- auteur
- I.G. Galben-Sandulache, G.L. Sun, J.M. Dedulle, T. Ouisse, R. Madar, M. Pons, D. Chaussende
- article
- 13th International Conference on Silicon Carbide and Related Materials, Oct 2009, Nurnberg, Germany. pp.55-58, ⟨10.4028/www.scientific.net/MSF.645-648.55⟩
- Accès au bibtex
-
- titre
- Improvements of the Continuous Feed-Physical Vapor Transport Technique (CF-PVT) for the seeded growth of 3C-SiC Crystals
- auteur
- G.L. Sun, I.G. Galben-Sandulache, T. Ouisse, J.M. Dedulle, M. Pons, R. Madar, D. Chaussende
- article
- 13th International Conference on Silicon Carbide and Related Materials, Oct 2009, Nurnberg, Germany. pp.63-66, ⟨10.4028/www.scientific.net/MSF.645-648.63⟩
- Accès au bibtex
-
- titre
- Imiquimod 5% cream for external genital or perianal warts in human immunodeficiency virus-positive patients treated with highly active antiretroviral therapy: an open-label, noncomparative study.
- auteur
- P. Saiag, A. Bauhofer, F. Bouscarat, C. Aquilina, J. P. Ortonne, N. Dupin, Christiane Mougin
- article
- British Journal of Dermatology, 2009, 161 (4), pp.904-9. ⟨10.1111/j.1365-2133.2009.09210.x⟩
- Accès au bibtex
-
- titre
- Electrochemical behavior of copper and cobalt in post-etch cleaning solutions
- auteur
- Sabrina Bilouk, Lucile Broussous, Ricardo P. Nogueira, Valentina Ivanova, Carole Pernel
- article
- Microelectronic Engineering, 2009, 86 (10), pp. 2038-2044. ⟨10.1016/j.mee.2009.01.035⟩
- Accès au bibtex
-
- titre
- Geometrical and transport properties of Bentheimer sandstone
- auteur
- L. Jasinski, N. Gland, V. Mourzenko, S. Youssef, Jean-François Thovert, Olga Vizika-Kavvadias, P.M Adler
- article
- 9ème Journées d'Etudes sur les Milieux Poreux, Oct 2009, Orsay, France. pp
- Accès au bibtex
-
- titre
- Experimental and numerical analysis of the hot tearing susceptibility of a CuCrZr alloy
- auteur
- J. M. Drezet, D. Ayrault, J. Wisniewski, P. Pilvin, D. Carron, F. Primaux
- article
- 9th international Seminar Numerical Analysis of Weldability, Sep 2009, Graz-Seggau, Austria. pp.997-1010
- Accès au bibtex
-
- titre
- Investigations of efficient barrier layer on metal foils for flexible thin film solar cells
- auteur
- P. Prathap, A. Slaoui, N. Baclet, C. Ducros, P.L. Reydet
- article
- 24th European Photovoltaic Solar Energy Conference and Exhibition (24th EU PVSEC), Sep 2009, Hambourg, Germany. Proc. pp. 2533-2536
- Accès au bibtex
-
- titre
- The ways to deposit amorphous or nanocrystalline material from a vapor phase
- auteur
- E. Blanquet, A. Mantoux, M. Pons
- article
- 11th International Conference on Advanced Materials (ICAM), Sep 2009, Rio de Janeiro, Brazil
- Accès au bibtex
-
- titre
- ETUDE DES INTERACTIONS ENTRE SILICIUM LIQUIDE ET GRAPHITE POUR APPLICATION À L' ÉLABORATION DU SILICIUM PHOTOVOLTAÏQUE
- auteur
- R. Israel
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation micromécanique de matériaux en couche mince destinés aux micro- et nano- technologies
- auteur
- Cédric Seguineau
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Fundamental issues of reactive wetting by liquid metals
- auteur
- Olivier Dezellus, N. Eustathopoulos
- article
- Euromat 2009, Sep 2009, Glasgow, United Kingdom. ⟨10.1007/s10853-009-4128-x⟩
- Accès au bibtex
-
- titre
- PROCEDE DE CRISTALLOGENESE D'UN MATERIAU ELECTRIQUEMENT CONDUCTEUR A L'ETAT FONDU
- auteur
- T. Duffar, G. Vian
- article
- France, N° de brevet: WO2009106625 (A1). EPM. 2009
- Accès au bibtex
-
- titre
- The brainstem respiratory network: an overview of a half century of research.
- auteur
- Armand L Bianchi, Christian Gestreau
- article
- Respiratory Physiology & Neurobiology, 2009, 168 (1-2), pp.4-12. ⟨10.1016/j.resp.2009.04.019⟩
- Accès au bibtex
-
- titre
- Heterogeneous deformation and shear banding in bulk metallic glasses
- auteur
- A.R. Yavari
- article
- IDMRCS 2009, Aug 2009, ROME, Italy
- Accès au bibtex
-
- titre
- PROCEDE DE CRISTALLOGENESE D'UN MATERIAU ELECTRIQUEMENT CONDUCTEUR A L'ETAT FONDU
- auteur
- T. Duffar, G. Vian
- article
- France, N° de brevet: FR 2927910 (A1). EPM. 2009
- Accès au bibtex
-
- titre
- Chemical Vapour Deposition processes of metallic amorphous or nanocrystalline thin films
- auteur
- E. Blanquet, A. Mantoux, M. Pons, C. Vahlas
- article
- World Premier Institute, AIMR (Advanced Institute of Materials Research) WPI-EUROPE-INPG on Metallic Glasses, Aug 2009, GRENOBLE, France
- Accès au bibtex
-
- titre
- Représentation graphique des coefficients d'anisotropie des milieux élastiques généralisés
- auteur
- Nicolas Auffray, R. Bouchet, Y. Brechet
- article
- 19ème Congrès Francais de Mécanique, Aug 2009, Marseille, France. pp.1-2
- Accès au texte intégral et bibtex
-
- titre
- Représentation graphique des coefficients d'anisotropie des milieux élastiques généralisés
- auteur
- Nicolas Auffray, Régis Bouchet, Yves Bréchet
- article
- CFM 2009 - 19ème Congrès Français de Mécanique, Aug 2009, Marseille, France
- Accès au texte intégral et bibtex
-
- titre
- Structural and magnetic properties of hole-doped (La0.56Ce0.14)Sr0.30MnO3 perovskite
- auteur
- N. Kallel, S. Kallel, O. Peña, M. Oumezzine
- article
- 2009
- Accès au bibtex
-
- titre
- Water Vapour Effects on Chromia Formaion and Scale Adhesion,
- auteur
- A. Galerie
- article
- Gordon research Conférence on High temperature oxidation., Jul 2009, New-London, United States
- Accès au bibtex
-
- titre
- Convective Flow in a Horizontally Vibrated 3D Granular Packing
- auteur
- Ahmed Raihane, Olivier Bonnefoy, Sébastien Nadler, Jean-Louis Gelet, Jean-Marc Chaix, Gérard Thomas
- article
- Powders and Grains 2009: 6th International Conference on Micromechanics of Granular Media, Jul 2009, Golden, United States. pp.721-724
- Accès au texte intégral et bibtex
-
- titre
- Numerical Simulation Of Granular Media Under Horizontal Vibrations.
- auteur
- Sébastien Nadler, Olivier Bonnefoy, Ahmed Raihane, Jean-Marc Chaix, Jean-Louis Gelet, Gérard Thomas
- article
- 6th International Conference on Micromechanics of Granular Media, Jul 2009, Golden, Colorado, United States. pp.725-728
- Accès au texte intégral et bibtex
-
- titre
- Chemical Vapour Deposition and Atomic layer Deposition processes of amorphous or nanocrystalline thin films
- auteur
- E. Blanquet, A. Mantoux, M. Pons, C. Vahlas
- article
- International Symposium on Metastable, Amorphous and Nanostructured Materials (ISMANAM 2009), Jul 2009, Pékin, China. ⟨10.1016/j.jallcom.2010.03.205⟩
- Accès au bibtex
-
- titre
- Heterogeneous deformation and shera banding in bulk metallic glasses
- auteur
- A.R. Yavari
- article
- International Symposium on Metastabble and Nanomaterials ISMANAM-2009, Jul 2009, BEIJING, China
- Accès au bibtex
-
- titre
- Diagnosis and modelling of proton-exchange-membrane fuel cell via electrochemical-impedance-spectroscopy and Acoustic-Emission measurements
- auteur
- B. Legros, P.-X. Thivel, Florence Druart, Y. Bultel, R. Nogueira
- article
- Electric Drives Joint Symposium (ELECTROMOTION), Jul 2009, Lillie, France. ⟨10.1109/ELECTROMOTION.2009.5259133⟩
- Accès au bibtex
-
- titre
- Adhérence aux interfaces : couplage physico-chimie/mécanique
- auteur
- Muriel Braccini
- article
- Matériaux et structures en mécanique [physics.class-ph]. Institut National Polytechnique de Grenoble (INPG), 2009
- Accès au texte intégral et bibtex
-
- titre
- HRTEM, HAADF and HR-EELS investigations of colloidal PbSe nanoparticles.
- auteur
- M. Cheynet, S. Lazar, G. Botton, Justin Habinshuti, B. Grandidier
- article
- Congrès Société Française de Microscopie 2009, Jun 2009, PARIS, France
- Accès au bibtex
-
- titre
- Etude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités Métal/Isolant/Métal dans les circuits intégrés.
- auteur
- R. Benaboud
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Heterogeneous growth and characterisation of III-V material on Si (001) substrate for photonics applications
- auteur
- Weiming Guo, Antoine Letoublon, Charles Cornet, Tony Rohel, Nicolas Chevalier, Olivier Dehaese, Alexandre Bondi, Alain Le Corre, Olivier Durand, Slimane Loualiche, M. Veron
- article
- journées de la Société Française de métallurgie et matériaux (SF2M) 2009, Jun 2009, Rennes, France. pp.W. Guo
- Accès au bibtex
-
- titre
- Analysis of Nano indentation Size effect based on Dislocation Dynamics and Crystal Plasticity
- auteur
- H.J. Chang
- article
- Chemical and Process Engineering. Institut National Polytechnique de Grenoble - INPG, 2009. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Fundamental studies for coherent growth of III-V materials on Si: toward Photonics on Silicon
- auteur
- Weiming Guo, Charles Cornet, Antoine Létoublon, Tony Rohel, Nicolas Chevalier, Olivier Dehaese, Alexandre Bondi, Soline Richard, Alain Le Corre, Olivier Durand, Jacky Even, Slimane Loualiche, M. Veron
- article
- EMRS, Jun 2009, Strasbourg, France. pp.1
- Accès au bibtex
-
- titre
- Transformations de phase des Sulfures de Nickel dans les verres trempés
- auteur
- O. Yousfi
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- HAADF and HR-EELS investigation HRTEM, HAADF and HR-EELS investigation of colloidal PbSe nanoparticles
- auteur
- M. Cheynet, S. Lazar, G. Botton, O. Cristini, Justin Habinshuti, B. Grandidier
- article
- 11eme Colloque de la Société Française des Microscopies, Jun 2009, Paris, France
- Accès au bibtex
-
- titre
- Nouvelle antenne omnidirectionnelle fort gain associée par quatre antennes Yagi pour les réseaux capteurs
- auteur
- E. Dreina, M. Pons, T.P. Vuong, S. Tedjini
- article
- Nouvelle antenne omnidirectionnelle fort gain associée par quatre antennes Yagi pour les réseaux capteurs, May 2009, Grenoble, France
- Accès au bibtex
-
- titre
- Caractérisation large bande et in-situ de couches minces de ZrO2 et HfO2 intégrées dans des capacités MIM
- auteur
- T. Bertaud, C. Bermond, T. Lacrevaz, Corentin Vallée, Y. Morand, B. Fléchet, A. Farcy, M. Gros-Jean, S. Blonkowski
- article
- Caractérisation large bande et in-situ de couches minces de ZrO2 et HfO2 intégrées dans des capacités MIM, May 2009, Grenoble, France
- Accès au bibtex
-
- titre
- Méthodologie de comparaison de systèmes ULB à double antenne en diversité
- auteur
- E. Dreina, M. Pons, T.P. Vuong, S. Tedjini
- article
- Méthodologie de comparaison de systèmes ULB à double antenne en diversité, May 2009, Grenoble, France
- Accès au bibtex
-
- titre
- Analysis of the densification of a vibrated sand packing
- auteur
- Ahmed Raihane, Olivier Bonnefoy, Jean-Marc Chaix, Jean-Louis Gelet, Gérard Thomas
- article
- STPMF 2009 (Science et Technologie des Poudres et Matériaux Frittés), May 2009, Montpellier, France
- Accès au texte intégral et bibtex
-
- titre
- STAINLESS STEEL SHEET COATED WITH A SELF-CLEANING COATING
- auteur
- J.M. Damasse, Jérôme Charles, M. Langlet, S. Permpoon, J.C. Joud, B. Baroux
- article
- Patent n° : US2009130410 (A1). 2009
- Accès au bibtex
-
- titre
- Precipitation and plasticity couplings in a 7xxx aluminium alloy: application to thermomechanical treatments for distortion correction of aerospace component
- auteur
- Guillaume Fribourg
- article
- Mechanics [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation et simulation de la calorimétrie modulée inductive
- auteur
- P. Schetelat
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation et simulation de la calorimétrie modulée inductive
- auteur
- Pascal Schetelat
- article
- Electromagnétisme. Institut Polytechnique de Grenoble, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Imagerie en degré de polarisation de collagène de type I, déportée par fibre optique
- auteur
- Jérôme Desroches, Dominique Pagnoux, Frédéric Louradour, Alain Barthélémy, Julien Brevier, Eric Suran, M. Verdier
- article
- 7ème colloque OPTDIAG 2009, May 2009, Paris, France
- Accès au bibtex
-
- titre
- Experimental study of a 3D dry granular medium submitted to horizontal shaking
- auteur
- Ahmed Raihane, Olivier Bonnefoy, Jean-Louis Gelet, Jean-Marc Chaix, Gérard Thomas
- article
- Powder Technology, 2009, 190 (1-2), pp.252-257. ⟨10.1016/j.powtec.2008.04.068⟩
- Accès au texte intégral et bibtex
-
- titre
- Constitutional and thermal defects in B82–SnTi2
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Intermetallics, 2009, 17 (5), pp.291-304. ⟨10.1016/j.intermet.2008.11.014⟩
- Accès au bibtex
-
- titre
- Crystal structure determination and band gap measurement of PbSe nanoparticles
- auteur
- M. Cheynet, S. Lazar, G. Botton, O. Cristini, Justin Habinshuti, B. Grandidier
- article
- International EELS-Workshop, May 2009, Banff, Canada
- Accès au bibtex
-
- titre
- A Physics-Based Compact Model of Quantum-Mechanical Effects for Thin Cylindrical Si-Nanowire MOSFETs
- auteur
- B. Cousin, O. Rozeau, M.-A. Jaud, J. Jomaah
- article
- Conference proceedings to be published, IEEE, 2009, Apr 2009, Hsinchu, Taiwan
- Accès au bibtex
-
- titre
- Spin-Valve Effect of the Spin Accumulation Resistance in a Double Ferromagnet - Superconductor Junction
- auteur
- Pengshun Luo, Thierry Crozes, Bruno Gilles, Sukumar Rajauria, Bernard Pannetier, Hervé Courtois
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79 (14), pp.140508(R). ⟨10.1103/PhysRevB.79.140508⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental ant Thermodynamics for the Evaluation of Atomic Layer Deposition processes.
- auteur
- E. Blanquet, R. Boichot, A. Mantoux, C. Chatillon, I. Nuta, M. Pons
- article
- ICMCTF - international Conference Metallurgical Coatings and Thin Films, Apr 2009, SAN DIEGO, United States
- Accès au bibtex
-
- titre
- Comparison of UWB Dual-Antenna Systems Using Diversity
- auteur
- E. Dreina, M. Pons, T.P. Vuong, S. Tedjini
- article
- Comparison of UWB Dual-Antenna Systems Using Diversity, Apr 2009, Berlin, Germany
- Accès au bibtex
-
- titre
- Compact Modeling of Quantization Effects for Cylindrical Gate-All-Around MOSFETs
- auteur
- B. Cousin, O. Rozeau, M.-A. Jaud, J. Jomaah
- article
- Int. Conference on Ultimate Integration of Silicon, Aachen, Mar 2009, Germany. pp.269-272
- Accès au bibtex
-
- titre
- Caractérisation hyperfréquences de condensateurs MIM intégrés et incluant le diélectrique ZrO2 comme isolant
- auteur
- T. Bertaud, T.T. Vo, C. Bermond, T. Lacrevaz, Corentin Vallée, Y. Morand, B. Fléchet, A. Farcy, M. Gros-Jean, S. Blonkowski
- article
- Caractérisation hyperfréquences de condensateurs MIM intégrés et incluant le diélectrique ZrO2 comme isolant, Mar 2009, Agadir, Maroc
- Accès au bibtex
-
- titre
- Caractérisation électrique de l endommagement par électromigration des interconnexions en cuivre
- auteur
- Lise Doyen
- article
- Micro et nanotechnologies/Microélectronique. Université Joseph-Fourier - Grenoble I, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique
- auteur
- L. Null Doyen
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Revêtements sol-gel TiO2-SiO2 naturellement super-hydrophiles visant à développer des surfaces à nettoyabilité accrue
- auteur
- M. Houmard
- article
- Mécanique [physics.med-ph]. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Wideband frequency and in-situ characterization of ultra thin ZrO2 and HfO2 films for integrated MIM capacitors
- auteur
- T. Bertaud, C. Bermond, T. Lacrevaz, Corentin Vallée, Y. Morand, B. Fléchet, A. Farcy, M. Gros-Jean, S. Blonkowski
- article
- 18th Materials for Advanced Metallization Conference, Mar 2009, Grenoble, France
- Accès au bibtex
-
- titre
- Les mécanismes de déformation d'un acier TWIP FeMnC : une étude par diffraction des rayons X
- auteur
- Jean-Louis Collet
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ESD and ALD Depositions of Ta2O5 Thin Films Investigated as Barriers to Copper Diffusion for Advanced Metallization
- auteur
- Amélie Lintanf-Salaün, Arnaud Mantoux, Elisabeth Blanquet, Elisabeth Djurado
- article
- Journal of The Electrochemical Society, 2009, 156 (5), pp. H311-H315. ⟨10.1149/1.3086781⟩
- Accès au bibtex
-
- titre
- Identification by photoelectrochemistry of oxide phases grown during the initial stages of thermal oxidation of AISI 441 ferritic stainless steel in air or in water vapour
- auteur
- A. Srisrual, S. Coindeau, Alain Galerie, Jean-Pierre Petit, Yves Wouters
- article
- Corrosion Science, 2009, 51 (3), pp. 562-568. ⟨10.1016/j.corsci.2008.12.002⟩
- Accès au bibtex
-
- titre
- Hyper frequency modeling of resonated systems based on piezoelectric LiTaO3 thin layers
- auteur
- Jean Podlecki, S. Youssef, A. Foucaran, R. Al Asmar, Y. Zaatar, C. Eid
- article
- Microelectronics Journal, 2009, 40 (3), pp.624 - 627. ⟨10.1016/j.mejo.2008.06.050⟩
- Accès au bibtex
-
- titre
- Etude du frittage de poudres par microtomographie in situ et modélisation discrète
- auteur
- L. Olmos
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrochemically elaborated palladium nanofilms on Pt(1 1 1): Characterization and hydrogen insertion study
- auteur
- Chrystelle Lebouin, Yvonne Soldo-Olivier, Eric Sibert, Pierre Millet, Mireille Maret, René Faure
- article
- Journal of electroanalytical chemistry and interfacial electrochemistry, 2009, 626 (1-2), pp. 59-65. ⟨10.1016/j.jelechem.2008.11.005⟩
- Accès au bibtex
-
- titre
- PV Si: from sand to wafer.
- auteur
- T. Duffar
- article
- European School on physics of solar cells: from basis to last developments, Feb 2009, LES HOUCHES, France
- Accès au bibtex
-
- titre
- Experimental investigation of the Cs2 a 3Sigma(u)+ triplet ground state: multiparameter Morse long range potential analysis and molecular constants.
- auteur
- F. Xie, V. B. Sovkov, A. Marjatta Lyyra, D. Li, S. Ingram, J. Bai, V. S. Ivanov, Sylvie Magnier, Li Li
- article
- Journal of Chemical Physics, 2009, 130 (5), pp.051102. ⟨10.1063/1.3075580⟩
- Accès au bibtex
-
- titre
- Complex Dynamics during Metal Dissolution: From Intrinsic to Faceted Anomalous Scaling
- auteur
- P. Córdoba-Torres, T. J. Mesquita, Ivan N. Bastos, Ricardo P. Nogueira
- article
- Physical Review Letters, 2009, 102 (5), p. 055504(4 p.). ⟨10.1103/PhysRevLett.102.055504⟩
- Accès au bibtex
-
- titre
- Integral Equation Study of the Square-Well Fluid for Varying Attraction Range
- auteur
- El Bahloul El Mendoub, Jean-Francois Wax, Isabelle Charpentier, Noel Jakse
- article
- Molecular Physics, 2009, 106 (24), pp.2667-2675. ⟨10.1080/00268970802603499⟩
- Accès au texte intégral et bibtex
-
- titre
- Polarization Resistance Measurements: Potentiostatically or Galvanostatically?
- auteur
- A. Gyuader, François Huet, Ricardo P. Nogueira
- article
- Corrosion, 2009, 65 (2), pp.136-144. ⟨10.5006/1.3319118⟩
- Accès au bibtex
-
- titre
- Nanostructures magnetiques auto-assemblees sur des surfaces a faible energie par epitaxie par jets moleculaires
- auteur
- Fabiola Liscio
- article
- Chimie. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ETUDE DE LA CINETIQUE ET DE LA THERMODYNAMIQUE DES SYSTEMES REACTIONNELS (X-I-O-H) PAR SPECTROMETRIE DE MASSE HAUTE TEMPERATURE
- auteur
- Fatima-Zahra Roki
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2009. Français. ⟨NNT : 2009INPG0001⟩
- Accès au texte intégral et bibtex
-
- titre
- Electrochemical behavior of cobalt in post-via etch cleaning solutions
- auteur
- Sabrina Bilouk, Carole Pernel, Lucile Broussous, Valentina Ivanova, Ricardo P. Nogueira
- article
- Solid State Phenomena, 2009, 145-146, pp. 343-346. ⟨10.4028/www.scientific.net/SSP.145-146.343⟩
- Accès au bibtex
-
- titre
- Powder metallurgy applied to multimaterials.
- auteur
- Yannis Le Guennec, P. Doremus, L. Lazzarotto, F. Doré, Y. Kamdem, D. Bouvard, A. Thomazic, C. Pascal, J.M. Chaix, J.M. Auger, P. Goeuriot, F. Valdivieso
- article
- Mechanics & Industry, 2009, 10 (2), pp.151-156. ⟨10.1051/meca/2009042⟩
- Accès au bibtex
-
- titre
- The optimization of ECAP conditions to achieve high strain rate superplasticity in a Zr- and Sc- modified AA7075 aluminum alloy
- auteur
- K. Turba, P. Malek, E.F. Rauch, F. Robaut, M. Cieslar
- article
- International Journal of Materials Research, 2009, 100, pp.851-857
- Accès au bibtex
-
- titre
- Preparation and electrical properties of dense micro-cermets made of nickel ferrite and metallic copper.
- auteur
- V. Baco-Carles, I. Pasquet, V. Laurent, Aurélie Gabriel, P. Tailhades
- article
- Solid State Sciences, 2009, 11 (8), pp.1503-1506
- Accès au bibtex
-
- titre
- Investigation of molybdenum and caesium behaviour in urania by ab initio calculations.
- auteur
- G. Brillant, A. Pasturel, F. Gupta
- article
- Journal of Physics: Condensed Matter, 2009, 21, pp.28. ⟨10.1088/0953-8984/21/28/285602⟩
- Accès au bibtex
-
- titre
- Magnetic structure in the segregated phases Nd0.93MnO2.96
- auteur
- N. Ihzaz, Michel Boudard, H. Vincent, M. Oumezzine
- article
- Journal of Alloys and Compounds, 2009, 479 (1-2), pp.445-450. ⟨10.1016/j.jallcom.2008.12.103⟩
- Accès au bibtex
-
- titre
- Experimental validation of a new model for the initial stage of sintering of single phase systems
- auteur
- F. Delannay, J. M. Missiaen
- article
- Acta Materialia, 2009, 57 (2), pp.420-431. ⟨10.1016/j.actamat.2008.09.019⟩
- Accès au bibtex
-
- titre
- Investigation of thermoelectric magnetic convection and its effect on solidification structure during directional solidification under a low axial magnetic field
- auteur
- Xiaojian Li, A. Gagnoud, Zhuoxiang Ren, Y. Fautrelle, R. Moreau
- article
- Acta Materialia, 2009, 57 (7), pp.2180-2197. ⟨10.1016/j.actamat.2009.01.016⟩
- Accès au bibtex
-
- titre
- Kinetic transitions during non-partitioned ferrite growth in Fe-C-X alloys
- auteur
- H. S. Zurob, C. R. Hutchinson, Y. Brechet, H. Seyedrezai, G. R. Purdy
- article
- Acta Materialia, 2009, 57 (9), pp.2781-2792. ⟨10.1016/j.actamat.2009.02.029⟩
- Accès au bibtex
-
- titre
- Small-angle X-ray scattering from phase-separating amorphous meallic alloys undergoing nanocrystallization.
- auteur
- J. Antonowicz, M. Kedzierski, E. Jezierska, J. Latuch, A.R. Yavari, L. Greer, P. Panine, M. Sztuckie
- article
- Journal of Alloys and Compounds, 2009, 483 (1-2), pp.116-119. ⟨10.1016/j.jallcom.2008.08.117⟩
- Accès au bibtex
-
- titre
- Investigation of the sintering of heterogeneous powder systems by synchrotron microtomography and discrete element simulation
- auteur
- L. Olmos, C.L. Martin, D. Bouvard, D. Bellet, M. Di Michiel
- article
- Journal of the American Ceramic Society, 2009, 92 (7), pp.1492-1499
- Accès au bibtex
-
- titre
- Effect of Ag addition on local structure of Cu–Zr glassy alloy
- auteur
- D.V. Louzguine-Luzgin, K. Georgarakis, A.R. Yavari, G. Vaughan, Gaogang Xie, A. Inoue
- article
- Journal of Materials Research, 2009, 24, pp.274-278
- Accès au bibtex
-
- titre
- Innovative Methods for teaching materials science to engineers
- auteur
- Y. Brechet
- article
- Symposium on materials education., 2009, SHENYANG, China
- Accès au bibtex
-
- titre
- Materials Selection
- auteur
- Y. Bréchet
- article
- Symposium on materials education, 2009, SHENYANG, China
- Accès au bibtex
-
- titre
- FSW modelling : an integrated approach.
- auteur
- Y. Brechet
- article
- CSIRO, 2009, Melbourne, Australia
- Accès au bibtex
-
- titre
- Golden Mean analysis of bulk metallic glasses with critical diameter over half-inch for their mole fractions of compositions,
- auteur
- A. Takeuchi, A.R. Yavari, A. Inoue
- article
- Intermetallics, 2009, 17, pp.696-703
- Accès au bibtex
-
- titre
- New Suggestions for the Mechanical Control of Bone Remodeling
- auteur
- J.W.C. Dunlop, M.A. Hartmann, Y. Brechet, P. Fratzl, R. Weinkamer
- article
- Calcified Tissue International, 2009, 85 (1), pp.45-54
- Accès au bibtex
-
- titre
- Cohesive zone model and slow crack growth in ceramic polycrystals
- auteur
- M Romero de La Osa, R Estevez, Christian Olagnon, Jérome Chevalier, C Tallaron
- article
- International Journal of Fracture, 2009
- Accès au bibtex
-
- titre
- Nonepitaxially grown nanopatterned Co-Pt alloys with out-of-plane magnetic anisotropy
- auteur
- D. Makarov, F. Klimenta, S. Fischer, F. Liscio, S. Schulze, M. Hietschold, M. Maret, M. Albrecht
- article
- Journal of Applied Physics, 2009, 106, pp.114322. ⟨10.1063/1.3260243⟩
- Accès au texte intégral et bibtex
-
- titre
- Evolution of the WC grain shape in WC-Co alloys during sintering: Cumulated effect of the Cr addition and of the C content
- auteur
- Aurélie Delanoë, Sabine Lay
- article
- International Journal of Refractory Metals and Hard Materials, 2009, 27, pp.189-197. ⟨10.1016/j.ijrmhm.2008.07.010⟩
- Accès au texte intégral et bibtex
-
- titre
- Evaluation of Acoustic Emission Technique for PEMFC Diagnosis
- auteur
- Benoît Legros, Ricardo P. Nogueira, Pierre-Xavier Thivel, Yann Bultel
- article
- 216th ECS Meeting, 2009, Vienna, Austria. ⟨10.1149/1.3210755⟩
- Accès au bibtex
-
- titre
- Optical Properties Tuning of SnO2 Films by Metal Incorporation (Pt,Pd): Correlation with Microstructure Change
- auteur
- M. Gaidi, A. Hajjaji, M. A. El Khakani, Bernard Chenevier, M. Labeau, B. Bessais
- article
- Japanese Journal of Applied Physics, 2009, 48 (7), pp.072501. ⟨10.1143/jjap.48.072501⟩
- Accès au bibtex
-
- titre
- In Situ X-Ray Microtomography Investigation of the Deformation Mechanisms of Al-Cu Alloys in the Semi-Solid State
- auteur
- Sofiane Terzi, Luc Salvo, Michel Suéry, Jérôme Adrien, Éric Maire, Elodie Boller
- article
- Materials Science Forum, 2009, Unknown, Unknown Region. pp.275--278
- Accès au bibtex
-
- titre
- Experimental setup and realization of thin film specimens for microtensile tests
- auteur
- C. Malhaire, C. Seguineau, M. Ignat, C. Josserond, L. Debove, S. Brida, J.M. Desmarres, X. Lafontan
- article
- Review of Scientific Instruments, 2009, 80 (2), pp.023901 1-5. ⟨10.1063/1.3077153⟩
- Accès au bibtex
-
- titre
- Liquid boron: X-ray measurements and ab initio molecular dynamics simulations
- auteur
- D.L. Price, A. Alatas, L. Hennet, N. Jakse, S. Krishnan, A. Pasturel, I. Pozdnyakova, M.L. Saboungi, A. Said, R. Scheunemann, W. Shirmacher, H. Sinn
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.13. ⟨10.1103/PhysRevB.79.134201⟩
- Accès au bibtex
-
- titre
- Influence of Sub-Band-Gap States on Light Induced Long-Lasting Super-Hydrophilic Behavior of TiO2.
- auteur
- Véronique Spagnol, Hubert Cachet, B. Baroux, Eliane Sutter
- article
- Journal of Physical Chemistry C, 2009, 113 (9), pp.3793-3799. ⟨10.1021/jp8085182⟩
- Accès au bibtex
-
- titre
- Treatment of submicron particles using an electrostatic agglomerator in DC-negative voltage : Re-entrainment experimental study and modeling.
- auteur
- R. Boichot, A. Charvet, T. Goldin, A. Bernis
- article
- Journal of Electrostatics, 2009, 67, pp.574-582
- Accès au bibtex
-
- titre
- Introduction à la mécanique des matériaux et des structures
- auteur
- M. Dupeux
- article
- DUNOD, Paris, pp.320, 2009
- Accès au bibtex
-
- titre
- Microstructure/properties relationships in metallic alloys.
- auteur
- A. Deschamps
- article
- Euroschool "Mechanical Properties in Metallic alloys" European Netork of Excellence, 2009, LJUBJANA, Slovenia
- Accès au bibtex
-
- titre
- Thermally-activated plasticity at the atomic scale
- auteur
- D. Rodney
- article
- 12th International Conference in Fracture, 2009, Japan
- Accès au bibtex
-
- titre
- High temperature forming maps of various bulk metallic glasses
- auteur
- J. Ragani, Q. A. Wang, S. Gravier, J.J. Blandin
- article
- ICSAM 2009, 2009, United States
- Accès au bibtex
-
- titre
- Nucleosome positioning by genomic excluding-energy barriers
- auteur
- P. Milani, G. Chevereau, C. Vaillant, B. Audit, Z. Haftek-Terreau, M. Marilley, P. Bouvet, Françoise Argoul, A. Arneodo
- article
- Proceedings of the National Academy of Sciences of the United States of America, 2009, 106 (52), pp.22257-22262. ⟨10.1073/pnas.0909511106⟩
- Accès au bibtex
-
- titre
- Effect of silver on the glass forming ability of MgCuGdY bulk metallic glasses
- auteur
- Jean-Louis Soubeyroux, Sylvain Puech, Jean-Jacques Blandin
- article
- Journal of Alloys and Compounds, 2009, 483 (1-2), pp.107-111. ⟨10.1016/j.jallcom.2008.07.234⟩
- Accès au bibtex
-
- titre
- Response to "Comment on 'Dynamic aspects of the liquid-liquid phase transformation in silicon
- auteur
- N. Jakse, A. Pasturel, S. Sastry, C.A. Angell
- article
- Journal of Chemical Physics, 2009, 130 (24), pp.247102
- Accès au bibtex
-
- titre
- Spin density wave dislocation in chromium probed by coherent X-ray diffraction
- auteur
- V.L.R. Jacques, D. Le Bolloc'H, S. Ravy, C. Giles, F. Livet, S. B. Wilkins
- article
- The European Physical Journal B: Condensed Matter and Complex Systems, 2009, 70 (3), pp.317-325. ⟨10.1140/epjb/e2009-00231-3⟩
- Accès au bibtex
-
- titre
- Grain structure of the Earth's inner core
- auteur
- L. Venet, T. Duffar, R. Deguen
- article
- Comptes Rendus Géoscience, 2009, 341 (7), pp.513-516. ⟨10.1016/j.crte.2009.06.003⟩
- Accès au bibtex
-
- titre
- Indentation Crystal Plasticity: experiments and multiscale simulations.
- auteur
- M. Verdier
- article
- Materials Research Society, Fall Meeting,, 2009, BOSTON, United States
- Accès au bibtex
-
- titre
- Thermoconvective flow of molten glass heated by direct induction in a cold crucible
- auteur
- E. Sauvage, A. Gagnoud, Y. Fautrelle, P. Brun, J. Lacombe
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2009, 45 (4), pp.535-542. ⟨10.22364/mhd.45.4.7⟩
- Accès au bibtex
-
- titre
- A Periodically Reversed Flow Driven by a Modulated Traveling Magnetic Field: Part I. Experiments with GaInSn
- auteur
- X.D. Wang, Y. Fautrelle, J. Etay, R. Moreau
- article
- Metallurgical and Materials Transactions B, 2009, 40 (1), pp.82-90
- Accès au bibtex
-
- titre
- Enhancement on the faceted growth and the coarsening of the MnBi primary phase during the directional solidification under a high magnetic field
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Philosophical Magazine Letters, 2009, 89 (8), pp.475-482. ⟨10.1080/09500830903022644⟩
- Accès au bibtex
-
- titre
- Tomographic reconstruction in atom probe microscopy: past, present... Future?
- auteur
- B. Gault, M. Moody, E. Marquis, F. de Geuser, B. Geiser, S. Ringer, G. Smith
- article
- Microscopy and Microanalysis, 2009, 15, pp.10-11. ⟨10.1017/S1431927609092988⟩
- Accès au bibtex
-
- titre
- Gaseous phase study of the Zr-organometallic ALD precursor TEMAZ by mass spectrometry
- auteur
- D. Monnier, I. Nuta, C. Chatillon, M. Gros-Jean, F. Volpi, E. Blanquet
- article
- Journal of The Electrochemical Society, 2009, 156 (1), pp.71-75
- Accès au bibtex
-
- titre
- Magnetic and electrical behaviour of La0.67Ba0.33Mn1−xFexO3 perovskites
- auteur
- M. Baazaoui, S. Zemni, Michel Boudard, H. Rahmouni, A. Gasmi, A. Selmi, M. Oumezzine
- article
- Materials Letters, 2009, 63 (24-25), pp.2167-2170. ⟨10.1016/j.matlet.2009.07.019⟩
- Accès au bibtex
-
- titre
- From Materials Selection to materials design
- auteur
- Y. Bréchet
- article
- Glasgow Euromat, 2009, GLASGOW, Ireland
- Accès au bibtex
-
- titre
- Ab initio study of solution energy and diffusion of caesium in uranium dioxide
- auteur
- F. Gupta, A. Pasturel, G. Brillant
- article
- Journal of Nuclear Materials, 2009, 385 (2), pp.368-371. ⟨10.1016/j.jnucmat.2008.12.009⟩
- Accès au bibtex
-
- titre
- EIS study of photo-induced modifications of nano-columnar TiO2 films
- auteur
- Véronique Spagnol, Eliane Sutter, Catherine Debiemme-Chouvy, Hubert Cachet, B. Baroux
- article
- Electrochimica Acta, 2009, 54 (4), pp.1228-1232. ⟨10.1016/j.electacta.2008.08.070⟩
- Accès au bibtex
-
- titre
- Capillary equilibrium in a semi-solid Al-Cu slurry
- auteur
- Nathalie Limodin, Luc Salvo, Michel Suery, Francis Delannay
- article
- International Journal of Materials Research, 2009, 101 (10), pp.1389-1445. ⟨10.3139/146.110267⟩
- Accès au texte intégral et bibtex
-
- titre
- On the effect of pre-recovery on subsequent recrystallization
- auteur
- Y. Brechet, H.S. Zurob, C.R. Hutchinson
- article
- International Journal of Materials Research, 2009, 100 (10), pp.1446-1448
- Accès au bibtex
-
- titre
- Damage predictions of carbon fiber composite armoured actively cooled plasma-facing components under cycling heat loads
- auteur
- G. Chevet, V. Herb, J. Schlosser, E. Martin, Guy Camus, M. Braccini, X. Courtois, F. Escourbias, M. Missirlian
- article
- Physica Scripta, 2009, T138 (014057)
- Accès au bibtex
-
- titre
- Forced convection and thermocapillarity in silicon Czochraslki crystal growth in hemispherical crucible
- auteur
- F. Moktari, A. Bouabdallah, M. Zizi, S. Hanchi, A. Alemany
- article
- Journal of Physics: Conference Series, 2009, The Third International Symposium on Bifurcations and Instabilities in Fluid Dynamics 10–13 August 2009, Nottingham, UK, 216, pp.012014. ⟨10.1088/1742-6596/216/1/012014⟩
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamic and experimental investigations on the growth of thick aluminum nitride layers by high temperature CVD
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, M. Audier, D. Pique, M. Pons
- article
- Journal of Crystal Growth, 2009, 311 (13), pp.3371-3379. ⟨10.1016/j.jcrysgro.2009.03.053⟩
- Accès au texte intégral et bibtex
-
- titre
- Experimental characterisation of the effect of crystallography on the three dimensional nucleation and growth of fatigue cracks in metals
- auteur
- Jean-Yves Buffiere, Emilie Ferrié, Henry Proudhon, Wolfgang Ludwig
- article
- Applications of texture analysis, 2009, Pittsburgh, United States. pp.657-668
- Accès au bibtex
-
- titre
- MHD flow in a nonconducting rectangular duct under afringing magnetic field
- auteur
- R. Moreau, S. Smolentsev, S. Cuevas
- article
- Journal of Fluid Mechanics, 2009, in press
- Accès au bibtex
-
- titre
- Structural effects of shot-peening in bulk metallic glasses
- auteur
- F.O. Méar, B. Doisneau, A.R. Yavari, A.L. Greer
- article
- Journal of Alloys and Compounds, 2009, 483, pp.256-259
- Accès au bibtex
-
- titre
- Experimental and Thermodynamics for the Evaluation of ALD growth processes
- auteur
- E. Blanquet, R. Boichot, C. Chatillon, A. Mantoux, I. Nuta, M. Pons
- article
- International Conference on Metallurgical Coatings and Thin Films (ICMCTF) 2009, 2009, United States
- Accès au bibtex
-
- titre
- Distribution of thermally activated plastic events in a flowing glass
- auteur
- D. Rodney, C. Schuh
- article
- Physical Review Letters, 2009, 102, pp.235503 1-4
- Accès au bibtex
-
- titre
- Quantitative analysis of microstructure and modeling of sintering.
- auteur
- J.M. Chaix
- article
- Materials Science Forum, 2009, 624, pp.1-18
- Accès au bibtex
-
- titre
- Texture heterogeneity of asymmetrically cold rolled low carbon steel
- auteur
- S. Wronski, K. Wierzbanowski, B. Bacroix, T. Chauveau, M. Wrobel, E.F. Rauch, F. Montheillet, M. Wronski
- article
- Arch. Metall. Mater., 2009, 54, pp.89-102
- Accès au bibtex
-
- titre
- ELECTRO-CHEMICAL PROCESSES CONTROLLED BY HIGH MAGNETIC FIELDS: APPLICATION TO MHD SEA WATER PROPULSION
- auteur
- P. Mathon, A. Nouri, A. Alemany, J.P. Chopart, V. Sobolik, D. Baaziz
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2009, 45 (2), pp.281-288
- Accès au bibtex
-
- titre
- Numerical modelling of columnar to equiaxed transition - application to microgravity experiments
- auteur
- A. Noeppel, O. Budenkova, G. Zimmermann, L. Sturz, N. Mangelinck-Noel, H. Jung, H. Nguyen-Thi, B. Billia, Charles-André Gandin, Y. Fautrelle
- article
- International Journal of Cast Metals Research, 2009, 22 (1-4), pp.Pages 34-38. ⟨10.1179/136404609x367272⟩
- Accès au bibtex
-
- titre
- A special reactor coupled with a high-temperature mass spectrometer for the investigation of the vaporization and cracking of organometallic compounds
- auteur
- P. Violet, I. Nuta, L. Artaud, H. Collas, E. Blanquet, C. Chatillon
- article
- Rapid Communications in Mass Spectrometry, 2009, 23, pp.793-800
- Accès au bibtex
-
- titre
- Study of Ni-Si thin-film interfacial reactions by coupling Differential Scanning Calorimetry measurements and Transmission Electron Microscopy analyses
- auteur
- S. Oukassi, J.S. Moulet, S. Lay, F. Hodaj
- article
- Microelectronic Engineering, 2009, 86 (3), pp.397-403. ⟨10.1016/j.mee.2008.11.046⟩
- Accès au bibtex
-
- titre
- In situ real-time 3-D microtomography investigation of dendritic solidification in an Al-10 wt.% Cu alloy
- auteur
- Nathalie Limodin, Luc Salvo, Elodie Boller, Michel Suéry, M. Felderbaum, Sylvain Gailliègue, Kamel Madi
- article
- Acta Materialia, 2009, 57, pp.2300-2310. ⟨10.1016/j.actamat.2009.01.035⟩
- Accès au texte intégral et bibtex
-
- titre
- Magnesium oxide nanowires synthesized by pulsed liquid-injection metal organic chemical vapor deposition
- auteur
- Y. F. Lai, P. Chaudouet, F. Charlot, I. Matko, C. Dubourdieu
- article
- Applied Physics Letters, 2009, 94 (2), pp.022904. ⟨10.1063/1.3064134⟩
- Accès au bibtex
-
- titre
- High temperature compressive deformation behavior of an extruded Mg-8Gd-3Y-0.5Zr (wt.%) alloy
- auteur
- D.J. Li, Q.D. Wang, J.J. Blandin, M. Suéry, J. Dong, X.Q. Zeng
- article
- Materials Science and Engineering: A, 2009, 526 (1-2), pp.150-155. ⟨10.1016/j.msea.2009.07.015⟩
- Accès au bibtex
-
- titre
- Compositionally graded steels: a strategy for materials development
- auteur
- B. Chehab, H. Zurob, D. Embury, O. Bouaziz, Y. Brechet
- article
- Advanced Engineering Materials, 2009, 11 (12), pp.992-999. ⟨10.1002/adem.200900180⟩
- Accès au bibtex
-
- titre
- Experimental thermodynamics for the evaluation of ALD growth processes.
- auteur
- P. Violet, E. Blanquet, D. Monnier, I. Nuta, C. Chatillon
- article
- Surface and Coatings Technology, 2009, 204 (6-7), pp.882-886. ⟨10.1016/j.surfcoat.2009.08.022⟩
- Accès au bibtex
-
- titre
- The effect of a substrate on the sintering of constrained films
- auteur
- C. L. Martin, R. K. Bordia
- article
- Acta Materialia, 2009, 57 (2), pp.549-558. ⟨10.1016/j.actamat.2008.09.041⟩
- Accès au bibtex
-
- titre
- Phenomenological Model for Deformation-Induced Ferrite Transformation.
- auteur
- M. Militzer, Y. Brechet
- article
- Metallurgical and Materials Transactions A, 2009, 40A (10), pp.2273-2282. ⟨10.1007/s11661-009-9926-x⟩
- Accès au bibtex
-
- titre
- Water and oil wettability of hybrid organic-inorganic titanate-silicate thin films deposited via a sol-gel route
- auteur
- M. Houmard, L. Vasconcelos, W.L. Vasconcelos, G. Berthomé, J.C. Joud, M. Langlet
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2009, 603 (17), pp.2698-2707. ⟨10.1016/j.susc.2009.07.005⟩
- Accès au bibtex
-
- titre
- Mechanisms involved in the platinization of sol-gel-derived TiO2 thin films.
- auteur
- D. Riassetto, C. Holtzinger, T. Messaoud, S. Briche, G. Berthomé, F. Roussel, L. Rapenne
- article
- Journal of Photochemistry and Photobiology A: Chemistry, 2009, 202, pp.214-220
- Accès au bibtex
-
- titre
- Ab initio molecular dynamics simulation to design static and dynamic properties in undercooled and amorphous materials
- auteur
- A. Pasturel, N. Jakse
- article
- ISMANAM-5 2009, 2009, VIETNAM, Vietnam
- Accès au bibtex
-
- titre
- Research and Industrial collaborations
- auteur
- Y. Bréchet
- article
- Symposium on materials education, 2009, Shenyang, China
- Accès au bibtex
-
- titre
- Radiative properties of expanded polystyrene foams
- auteur
- R. Coquard, D. Baillis, D. Quenard
- article
- Journal of Heat Transfer, 2009, 131 (1), pp.012702.1-012702.10
- Accès au bibtex
-
- titre
- Effect of a high magnetic field on the morphology and the magnetic properties of the MnBi compound during the Mn1.08-MnBi phase transformation process.
- auteur
- Xiaojian Li, Z.M. Ren, Y. Fautrelle
- article
- Journal of Magnetism and Magnetic Materials, 2009, 321 (17), pp.2694-2700
- Accès au bibtex
-
- titre
- An investigation of the influence of natural convection in tin solidification using a quasi two-dimensional experiment benchmark.
- auteur
- X.D. Wang, Y. Fautrelle
- article
- International Journal of Heat and Mass Transfer, 2009, 52 (23-24), pp.5624-5633
- Accès au bibtex
-
- titre
- Working principle of an Electromagnetic wiping system
- auteur
- R. Ernst, Y. Fautrelle, A.M. Bianchi, M. Iliescu
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2009, 45 (1), pp.59-71. ⟨10.22364/mhd.45.1.5⟩
- Accès au bibtex
-
- titre
- High-speed growth and characterization of polycrystalline AlN layers by High temperature Chemical Vapor Deposition (HTCVD).
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, Roland Martin, D. Pique, M. Pons
- article
- ECS Transactions, 2009, 25 (8), pp.323-326
- Accès au bibtex
-
- titre
- Phase stability and physical properties of Ta5Si3 compounds from first-principles calculations
- auteur
- Xiaoma Tao, Philippe Jund, Catherine Colinet, Jean-Claude Tedenac
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (10), pp.104103. ⟨10.1103/PhysRevB.80.104103⟩
- Accès au texte intégral et bibtex
-
- titre
- Ecological magnitude and fine scale dynamics of the mediterranean narrow endemic therophyte, Arenaria provincialis (Caryophyllaceae)
- auteur
- Alex Baumel, Laurence Affre, E. Vela, P. Auda, F. Torre, S. Youssef, Thierry Tatoni
- article
- Acta Botanica Gallica, 2009, 156 (2), pp.259-272. ⟨10.1080/12538078.2009.10516156⟩
- Accès au bibtex
-
- titre
- Application of the microtensile testing to investigate the adhesion of thermal oxide scales grow on AlSl 441 stainless steel sheet oxidised in air and water vapour
- auteur
- S. Chandra-Ambhorn, T. Nilsonthi, Y. Madi, Alain Galerie
- article
- Key Engineering Materials, 2009, 410-411, pp.187-193. ⟨10.4028/www.scientific.net/KEM.410-411.187⟩
- Accès au texte intégral et bibtex
-
- titre
- Work Function Tuning of TixSiyNz Electrodes Using Partial Saturation of Chemisorbing Surface during Pulsing Chemical Vapor Deposition
- auteur
- F. Fillot, S. Maitrejean, F. Pierre, Bernard Chenevier
- article
- Electrochemical and Solid-State Letters, 2009, 12 (7), pp.H272-H274. ⟨10.1149/1.3126495⟩
- Accès au bibtex
-
- titre
- Dynamics of liquid and undercooled silicon: An ab initio molecular dynamics study
- auteur
- N. Jakse, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.14. ⟨10.1103/PhysRevB.79.144206⟩
- Accès au bibtex
-
- titre
- Grazing incidence small angle x-ray scattering study of the structure of nanoporous ultralow-k dielectrics prepared by plasma enhanced chemical vapor deposition
- auteur
- V. Jousseaume, O. Gourhant, A. Zenasni, M. Maret, J. P. Simon
- article
- Applied Physics Letters, 2009, 95 (2), pp.ISI:000268089200064. ⟨10.1063/1.3168521⟩
- Accès au bibtex
-
- titre
- Role of friction in the mechanics of nonbonded fibrous materials
- auteur
- C. Barbier, R. Dendievel, D. Rodney
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2009, 80, pp.1. ⟨10.1103/PhysRevE.80.016115⟩
- Accès au bibtex
-
- titre
- The strain induced martensite transformation in austenitic stainless steels Part 1-Influence of temperature and strain history.
- auteur
- K. Spencer, M. Veron, K. Yu-Zhang, J.D. Embury
- article
- Materials Science and Technology, 2009, 25 (1), pp.7-17. ⟨10.1179/174328408x293603⟩
- Accès au bibtex
-
- titre
- Anomalous grazing incidence small-angle X-ray scattering of carbon-encapsulated Au and Cu clusters
- auteur
- J.P. Simon, D. Babonneau, M. Drouet, O. Lyon
- article
- Journal of Applied Crystallography, 2009, 42, pp.312-322. ⟨10.1107/s0021889809007316⟩
- Accès au bibtex
-
- titre
- A Periodically Reversed Flow Driven by a Modulated Traveling Magnetic Field: Part II. Theoretical Model
- auteur
- X.D. Wang, R. Moreau, J. Etay, Y. Fautrelle
- article
- Metallurgical and Materials Transactions B-Process Metallurgy and Materials Processing Science, 2009, 40 (1), pp.104-113. ⟨10.1007/s11663-008-9210-2⟩
- Accès au bibtex
-
- titre
- Degeneration of columnar dendrites during directional solidification under a high magnetic field
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle, A. Gagnoud, Y. D. Zhang, C. Esling
- article
- Scripta Materialia, 2009, 60 (6), pp.443-446. ⟨10.1016/j.scriptamat.2009.11.036⟩
- Accès au bibtex
-
- titre
- Solid Oxide Fuel Cells damage mechanisms due to Ni-YSZ re-oxidation: Case of the Anode Supported Cell.
- auteur
- J. Laurencin, G. Delette, B. Morel, F. Lefebvre-Joud, M. Dupeux
- article
- Journal of Power Sources, 2009, 192 (2), pp.344-352. ⟨10.1016/j.jpowsour.2009.02.089⟩
- Accès au bibtex
-
- titre
- Spallation of two thermal barrier coating systems: experimental study of adhesion and energetic approach to lifetime during cyclic oxidation
- auteur
- P.Y. Thery, M. Poulain, M. Dupeux, M. Braccini
- article
- Journal of Materials Science, 2009, 44 (7), pp.1726-1733. ⟨10.1007/s10853-008-3108-x⟩
- Accès au bibtex
-
- titre
- Influence of polymer porogens on the porosity and mechanical properties of spin coated Ultra Low k dielectrics
- auteur
- V. Jousseaume, G. Rolland, D. Babonneau, J.P. Simon
- article
- Thin Solid Films, 2009, 517 (15), pp.4413-4418. ⟨10.1016/j.tsf.2009.02.084⟩
- Accès au bibtex
-
- titre
- Investigating the secondary buckling of thin films with a model based on elastic rods with hinges
- auteur
- G. Parry, C. Coupeau, J. Colin, A. Cimetière
- article
- Journal of Mechanics of Materials and Structures, 2009, 4, pp.121-138
- Accès au bibtex
-
- titre
- Above room temperature magnetocaloric effect in perovskite Pr0.6Sr0.4MnO3
- auteur
- S. Zemni, M. Baazaoui, Ja Dhahri, H. Vincent, M. Oumezzine
- article
- Materials Letters, 2009, 63 (3-4), pp.489-491. ⟨10.1016/j.matlet.2008.11.019⟩
- Accès au bibtex
-
- titre
- Modelling discontinuous dynamic recrystallization using a physically based model for nucleation
- auteur
- D.G. Cram, H.S. Zurob, Y. Brechet, C.R. Hutchinson
- article
- Acta Materialia, 2009, 57 (17), pp.5218-5228
- Accès au bibtex
-
- titre
- Dynamical properties of deeply undercooled and amorphous systems: Combined classical and ab initio molecular dynamics simulations approaches
- auteur
- A. Pasturel, N. Jakse
- article
- ACCMS-5 2009, 2009, VIETNAM, Vietnam
- Accès au bibtex
-
- titre
- PEALD ZrO2 films deposition on Ti and Si substrates
- auteur
- D. Monnier, M. Gros-Jean, E. Deloffre, F. Volpi, E. Blanquet
- article
- ECS Transactions, 2009, 25 (8), pp.235-241
- Accès au bibtex
-
- titre
- Surgical glues : are they really adhesive ?
- auteur
- Bernard Perrin, M. Dupeux, P. Tozzi, D. D. Delay, G. Gersbach, L.K. von Segesser
- article
- European Journal of Cardio-Thoracic Surgery, 2009, pp.967-972
- Accès au bibtex
-
- titre
- Yield stress in metallic glasses: the jamming–unjamming transition studied through Monte Carlo simulations based on the activation-relaxation technique
- auteur
- D. Rodney, C.A. Schuh
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80, pp.184203 1-12
- Accès au bibtex
-
- titre
- Analytical study of modified Czochralski crystal growth problem
- auteur
- F. Moktari, A. Bouabdallah, M. Zizi, S. Hanchi, A. Alemany
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2009, 45, pp.267-274. ⟨10.22364/mhd.45.3.5⟩
- Accès au bibtex
-
- titre
- Application of cluster dynamics modeling to the precipitation in aluminum alloys
- auteur
- P. Guyot, J. Lepinoux, C. Sigli
- article
- International Journal of Materials Research, 2009, 100 (10), pp.1440-1445. ⟨10.3139/146.110192⟩
- Accès au bibtex
-
- titre
- Magnetic memory in discrete media observed by coherent soft x-ray resonant scattering
- auteur
- G. Beutier, A. Marty, F. Livet, A. Haznar, E. Drudzik, S. Stanescu, Virginie Chamard, G. van Der Laan
- article
- New Journal of Physics, 2009, 11, ⟨10.1088/1367-2630/11/11/113026⟩
- Accès au bibtex
-
- titre
- Initiation aux modélisations - I: Echelles et Méthodes de modélisation
- auteur
- J.M. Chaix
- article
- G. Fantozzi, S. Le Gallet, J.C. Nièpce. Science et Technologies Céramiques, EDP Sciences, pp.339-356, 2009
- Accès au bibtex
-
- titre
- Discussion of non-conventional effects in solid-state sintering of cemented carbides.
- auteur
- V. Bounhoure, J.M. Missiaen, S. Lay, E. Pauty
- article
- Journal of the American Ceramic Society, 2009, 92 (7), pp.1396-1402
- Accès au bibtex
-
- titre
- Reactive diffusion in Ni-Si bulk diffusion couples
- auteur
- S. Oukassi, F. Hodaj
- article
- Journal of Phase Equilibria and Diffusion, 2009, 30 (3), pp.230-234
- Accès au bibtex
-
- titre
- Plasticity of quasicrystals and of bulk metallics glasses : a parallel.
- auteur
- P. Guyot
- article
- 7th I nternational Conférence on Bulk Metallic Glasses., 2009, BUSAN, South Korea
- Accès au bibtex
-
- titre
- Corrosion of chromia forming Fe-, Ni and Co alloys
- auteur
- A. Galerie
- article
- Shreir's Corrosion 2009, Elsevier, pp.1, 2009
- Accès au bibtex
-
- titre
- Effect of the passive film on the crevice corrosion of stainless steels : experimental and modeling approaches.
- auteur
- T. Souier, G. Berthomé, B. Malki, B. Baroux
- article
- ECS Transactions, 2009, 16, pp.321-330
- Accès au bibtex
-
- titre
- Seeing structures and measuring properties with transmission electron microscopy images: A simple combination to study size effects in nanoparticle systems
- auteur
- P. Donnadieu, S. Lazar, G. A. Botton, I. Pignot-Paintrand, M. Reynolds, S. Perez
- article
- Applied Physics Letters, 2009, 94 (26), pp.263116. ⟨10.1063/1.3168525⟩
- Accès au bibtex
-
- titre
- Microstructure evolution in copper under severe plastic deformation detected by in situ X-ray diffraction using monochromatic synchrotron light,
- auteur
- A.R. Kilmametov, G. Vaughan, A.R. Yavari, A. Lemoulec, W.J. Botta, R.Z. Valiev
- article
- Materials Science and Engineering: A, 2009, A503, pp.10-13
- Accès au bibtex
-
- titre
- Thermodynamic and ab initio investigation of the Cu-Dy system
- auteur
- M. Palumbo, L. Battezzati, A. Pasturel, S. Gottlieb-Schonmeyer, W. Assmus
- article
- Calphad-computer coupling of Phase Diagrams and Thermochemistry, 2009, 33 (3), pp.511-516
- Accès au bibtex
-
- titre
- Real time synchrotron radiation studies on metallic glass (Zr0.55Al0.1Ni0.05Cu0.3)(99)Y-1 after cold rolling
- auteur
- Y. Li, K. Georgarakis, S. Pang, C. Ma, G. Vaughan, A.R. Yavari, Tiantian Zhang
- article
- Intermetallics, 2009, 17, pp.231-234
- Accès au bibtex
-
- titre
- Influence of the silicon content on the mechanical properties of AA6xxx laser welds
- auteur
- D. Fabrègue, A. Deschamps, M. Suery
- article
- Materials Science and Engineering: A, 2009, 506, pp.157-164
- Accès au bibtex
-
- titre
- FeCrMoGaPCB BMGs : sample preparation, thermal stability and mechanical properties.Residual -stress distribution in shot-peened metallic-glass plate
- auteur
- F.O. Méar, F.G. Vayghan, A.R. Yavari, A.L. Greer
- article
- Philosophical Magazine Letters, 2009, in press
- Accès au bibtex
-
- titre
- High Temperature Interaction Between UO2 and Carbon: Application to TRISO Particles for Very High Temperature Reactors
- auteur
- S. Gossé, C. Guenau, T. Alpettaz, S. Chatain, C. Chatillon
- article
- Journal of Engineering for Gas Turbines and Power-Transactions of the Asme, 2009, 132, pp.1. ⟨10.1115/1.3098430⟩
- Accès au bibtex
-
- titre
- Structural stability of intermetallic phases in the Sn-Ti system
- auteur
- Catherine Colinet, Jean-Claude Tedenac, Suzana G. Fries
- article
- Calphad, 2009, 33 (1), pp.250-259. ⟨10.1016/j.calphad.2008.08.001⟩
- Accès au bibtex
-
- titre
- Clustering and nearest neighbour distances in atom-probe tomography
- auteur
- Thomas Philippe, F. de Geuser, Sébastien Duguay, Williams Lefebvre, O. Cojocaru-Mirédin, Gérald da Costa, D. Blavette
- article
- Ultramicroscopy, 2009, 109, pp.1304-1309. ⟨10.1016/j.ultramic.2009.06.007⟩
- Accès au bibtex
-
- titre
- Characterisation of nanocavities in He+-implanted silicon by transmission electron microscopy and small-angle X-ray scattering.
- auteur
- M. Dumont, V. Coulet, F. Bley, G. Regula
- article
- Materials Science and Engineering: B, 2009, 162 (2), pp.135-142. ⟨10.1016/j.mseb.2009.03.019⟩
- Accès au bibtex
-
- titre
- Influence of cooling rate on the precipitation microstructure in a medium strength Al-Zn-Mg alloy.
- auteur
- A. Deschamps, G. Texier, S. Ringeval, L. Delfaut-Durut
- article
- Materials Science and Engineering: A, 2009, 501 (1-2), pp.133-139. ⟨10.1016/j.msea.2008.09.067⟩
- Accès au bibtex
-
- titre
- Quantitative characterization of the microstructure of an electron-beam welded medium strength Al-Zn-Mg alloy.
- auteur
- A. Deschamps, S. Ringeval, G. Texier, L. Delfaut-Durut
- article
- Materials Science and Engineering: A, 2009, 517 (1-2), pp.361-368. ⟨10.1016/j.msea.2009.03.088⟩
- Accès au bibtex
-
- titre
- Development of an experimental technique to assess the permeability of metal coated polymer films.
- auteur
- G. Garnier, Y. Brechet, L. Flandin
- article
- Journal of Materials Science, 2009, 44 (17), pp.4692-4699. ⟨10.1007/s10853-009-3724-0⟩
- Accès au bibtex
-
- titre
- Modelling precipitation in binary alloys by cluster dynamics
- auteur
- J. Lepinoux
- article
- Acta Materialia, 2009, 57 (4), pp.1086-1094. ⟨10.1016/j.actamat.2008.10.048⟩
- Accès au bibtex
-
- titre
- Teaching high-temperature materials chemistry at university
- auteur
- G. Balducci, A. Ciccioli, G. de Maria, F. Hodaj, G.M. Rosenblatt
- article
- Pure and Applied Chemistry (IUPAC), IUPAC, pp.299-338, 2009
- Accès au bibtex
-
- titre
- Thermally-activated plasticity at the atomic scale
- auteur
- D. Rodney
- article
- 15th International Conference on the Strength of Materials, 2009, Rodney, Germany
- Accès au bibtex
-
- titre
- Atomic structure of Zr-Cu-Al and Zr-Ni-Al amorphous alloys
- auteur
- J. Antonowicz, V. Dimitri, D.V. Louzguine-Luzgin, A.R. Yavari, K. Georgarakis, M. Stoivz, G. Vaughan, E. Matsubara, A. Inoue
- article
- Journal of Alloys and Compounds, 2009, 471 (1-2), pp.70-73
- Accès au bibtex
-
- titre
- Wetting and adhesion of Si on Si3N4 and BN substrates
- auteur
- B. Drevet, R. Voytovych, R. Israel, N. Eustathopoulos
- article
- Journal of the European Ceramic Society, 2009, 29 (11), pp.2363-2367
- Accès au bibtex
-
- titre
- Design of multimaterial processed by powder metallurgy: Processing of a (steel/cemented carbides) bilayer material
- auteur
- C. Pascal, J.M. Chaix, F. Doré, C.H. Allibert
- article
- Journal of Materials Processing Technology, 2009, 209, pp.1254-1261
- Accès au bibtex
-
- titre
- Thermodynamic and Kinetic Studies of lodine and Caesium Transport in Nuclear Severe Accident by High Temperature Mass Spectrometer
- auteur
- R. Roki, M.N. Ohnet, B. Simondi-Teisseire, C. Chatillon, I. Nuta
- article
- Journal of Nuclear Society of Slovenia, 2009, in press
- Accès au bibtex
-
- titre
- Velocity field computation in vibrated granular media using an optical flow based multiscale image analysis method
- auteur
- Johan Debayle, Ahmed Raihane, Abdelkrim Belhaoua, Olivier Bonnefoy, Gérard Thomas, J.M. Chaix, Jean-Charles Pinoli
- article
- Image Analysis & Stereology, 2009, 28, pp.35-43
- Accès au texte intégral et bibtex
-
- titre
- Fabrication of Compressively-Straiend GeOI Substrates using the Smart Cut ™ Technology
- auteur
- E. Augendre, L. Sanchez, J.-M. Hartmann, W. van Des Daele, S. Favier, E. Guiot, B. Ghyselein, K.K. Bourdelle, S. Cristoloveanu, T. Billon, L. Clavelier
- article
- 2009 IEEE International SOI Conference, 2009, France
- Accès au bibtex
-
- titre
- Grain growth in Damascene interconnects
- auteur
- D. Weygand, M. Verdier, J. Lepinoux
- article
- Modelling and Simulation in Materials Science and Engineering, 2009, 17 (6)
- Accès au bibtex
-
- titre
- Sintering of mixtures of powders : experiments and modelling
- auteur
- L. Olmos, C.L Martin, D. Bouvard
- article
- Powder Technology, 2009, 190, pp.134-140
- Accès au bibtex
-
- titre
- Initiation aux modélisations : De la particule à la microstructure, la méthode des éléments discrets & De la microstructure à la pièce, la méthode des éléments discrets
- auteur
- D. Bouvard
- article
- EDP. Science et Technologies Céramiques, G. Fantozzi, S. Legallet, J.C. Niepce (eds), pp.377-406, 2009
- Accès au bibtex
-
- titre
- Spin-valve effect of spin-accumulation resistance in a double ferromagnet/superconductor junction
- auteur
- P.S. Luo, T. Crozes, B. Gilles, S. Rajauria, B. Pannetier, H. Courtois
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79 (14), pp.140508
- Accès au bibtex
-
- titre
- In situ X-ray tomography observation of inhomogeneous deformation in semi-solid aluminum alloys
- auteur
- Sofiane Terzi, Luc Salvo, Michel Suéry, Nathalie Limodin, Jérôme Adrien, Yannick Pannier, Éric Maire, Michel Bornert, Dominique Bernard, M. Felberbaum, Michel Rappaz, Elodie Boller
- article
- Scripta Materialia, 2009, 61 (5), pp.449-452. ⟨10.1016/j.scriptamat.2009.04.041⟩
- Accès au texte intégral et bibtex
-
- titre
- Ab initio Approaches to designing Thermodynamic Properties of Materials
- auteur
- A. Pasturel
- article
- SOLIDOS 09, 2009, Chile
- Accès au bibtex
-
- titre
- Crystallization kinetics and magnetic properties of Fe66Nb4B30 bulk metallic glass.
- auteur
- M. Stoica, A. Kumar, S. Roth, S. Ram, J. Eckert, G. Vaughan, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2009, 483 (1-2), pp.632-637
- Accès au bibtex
-
- titre
- Malleable hypoeutectic Zr-Ni-Cu-Al bulk glassy alloys with tensile plastic elongation at room temperature
- auteur
- Y. Yokoyama, K. Fujita, A.R. Yavari, A. Inoue
- article
- Philosophical Magazine Letters, 2009, 89 (5), pp.322-324. ⟨10.1080/09500830902873575⟩
- Accès au bibtex
-
- titre
- In-situ X-Ray microtomography study of the solidification and remelted microstructures of Al-Cu alloys
- auteur
- S. Terzi, E. Boller, L. Salvo, M. Suéry
- article
- International Journal of Cast Metals Research, 2009, 22 (1-4), pp.275-278
- Accès au bibtex
-
- titre
- Growth of thick AIN layers by high temperature CVD ('HTCVD)
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, M. Audier, D. Pique, M. Pons
- article
- Materials Science Forum, 2009, 600-603, pp.1269-1272
- Accès au bibtex
-
- titre
- Plastic Behaviour of Metals at Large Strains: Experimental Studies Involving Simple Shear
- auteur
- E.F. Rauch
- article
- Journal of Engineering Materials and Technology, 2009, 131 / 011107, pp.1-8
- Accès au bibtex
-
- titre
- Challenging and future prospects in plasma etching processes
- auteur
- O. R. Joubert, E. Pargon, T. Chevolleau, G. Cunge, L. Vallier, T. David, S. Barnola, T. Lill
- article
- Materials for Advanced Metallization (MAM), 2009, grenoble, France
- Accès au bibtex
-
- titre
- Stress-dependent Peierls potential: Influence on kink-pair activation
- auteur
- D. Rodney, L. Proville
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 79, pp.94108
- Accès au bibtex
-
- titre
- Initiation aux modélisations - II: L'analyse microstructurale quantitative pour obtenirir des données pour la modélisation
- auteur
- J.M. Chaix
- article
- Microstocpie électronique à balayage et Microanalyse X, EDP Sciences, pp.357-376, 2009, G. Fantozzi, S. Le Gallet, J.C. Nièpce
- Accès au bibtex
-
- titre
- Thermodynamique des Matériaux
- auteur
- P. Desré, F. Hodaj
- article
- EDP Sciences (France), 2009
- Accès au bibtex
-
- titre
- Small-angle scattering techniques: tools for the understanding of the kinetics of complex precipitation phenomena in metallic alloys.
- auteur
- A. Deschamps
- article
- 11th International Conference on Advanced Materials., 2009, RIO DE JANEIRO, Brazil
- Accès au bibtex
-
- titre
- Cross-sectional indentation technique for thick film interfacial adhesion characterisation
- auteur
- C.Y. Lee, M. Dupeux, W.H. Tuan
- article
- Surface Engineering, 2009, 25 (2), pp.97-100. ⟨10.1179/026708408x347641⟩
- Accès au bibtex
-
- titre
- The strain induced martensite transformation in austenitic stainless steels Part 2-Effect of internal stresses on mechanical response
- auteur
- K. Spencer, K.T. Conlon, Y. Brechet, D. Embury
- article
- Materials Science and Technology, 2009, 25 (1), pp.18-28. ⟨10.1179/174328408x295980⟩
- Accès au bibtex
-
- titre
- Clear Band formation simulated by dislocation dynamics
- auteur
- T. Nogaret, D. Rodney, M. Fivel, C. Robertson
- article
- Problems of Atomic Science and Technology, 2009, 4, pp.97-108
- Accès au bibtex
-
- titre
- Thermodynamic activity measurements of iron in Fe-Zr alloys by high temperature mass spectrometry
- auteur
- Sylvie Chatain, Bruno Larousse, Claude Maillault, C. Gueneau, Christian Chatillon
- article
- journal of alloy and compounds, 2009, 457 (1-2), pp.157-163. ⟨10.1016/j.jallcom.2007.03.002⟩
- Accès au texte intégral et bibtex
-
- titre
- On gaseous phase of ALD precursors by means of thermodynamics.
- auteur
- P. Violet, I. Nuta, C. Chatillon, E. Blanquet
- article
- ECS Transactions, 2009, 25 (8), pp.567-573
- Accès au bibtex
-
- titre
- Microstructure evolution upon devitrification and crystallization studies of Ti-Hf-Zr-Cu-Ni-Sn-Si glassy alloy
- auteur
- Jean-Louis Soubeyroux, J.J. Blandin, Jinna Mei
- article
- Journal of Physics: Conference Series, 2009, 144, pp.012046. ⟨10.1088/1742-6596/144/1/012046⟩
- Accès au bibtex
-
- titre
- Scale effects in work hardening
- auteur
- Y. Bréchet
- article
- Thermec, 2009, BERLIN, Germany
- Accès au bibtex
-
- titre
- Magnetohydrodynamics applied to materials processing.
- auteur
- Y. Fautrelle, R. Ernst, R. Moreau
- article
- International Journal of Materials Research, 2009, 100 (10), pp.1389-1398
- Accès au bibtex
-
- titre
- Comparison of CBD and MOCVD method for ZnO nanowires growth dedicated to dye sensitized solar cells
- auteur
- G. Rey, H. Majidi, M. Le Rouzic, Carmen Jiménez, Nicolas Bruyant, M. Labeau, J.B. Baxter, C. Ternon, D. Bellet
- article
- 24th EU PVSEC (24th European Photovoltaic Solar Energy Conference), 2009, Hamburg, Germany. pp.613-617
- Accès au bibtex
-
- titre
- New MEMS-based nanomechanical testing laboratory - application to aluminium, titanium, polysilicon and silicon nitride thin films.
- auteur
- S. Gravier, M. Coulombier, A. Safi, N. André, J.-P. Raskin, T. Pardoen
- article
- Journal of Microelectromechanical Systems, 2009, 18 (3), pp.555-569
- Accès au bibtex
-
- titre
- Influence of non-magnetic Ti4+ ion doping at Mn site on structural and magnetic properties of La0.67Ba0.33MnO3
- auteur
- A. Gasmi, Michel Boudard, S. Zemni, Françoise Hippert, M. Oumezzine
- article
- Journal of Physics D: Applied Physics, 2009, 42 (22), pp.225408;. ⟨10.1088/0022-3727/42/22/225408⟩
- Accès au bibtex
-
- titre
- Analysis of high-temperature creep deformation in a polycrystalline nickel-base superalloy
- auteur
- A. Soula, Y. Renollet, D. Boivin, J.L. Pouchou, D. Locq, P. Caron, Y. Brechet
- article
- Materials Science and Engineering: A, 2009, 510-511, pp.301-306. ⟨10.1016/j.msea.2008.04.122⟩
- Accès au bibtex
-
- titre
- In situ X-ray microtomography characterization of the entrapped liquid formed during partial remelting of a cold-rolled Al-8 wt.% Cu alloy
- auteur
- S. Terzi, L. Salvo, M. Suéry, E. Boller
- article
- Scripta Materialia, 2009, 60 (8), pp.671-674. ⟨10.1016/j.scriptamat.2008.12.042⟩
- Accès au bibtex
-
- titre
- On the activation of recrystallization nucleation sites in Cu and Fe.
- auteur
- F. Lefevre-Schlick, Y. Brechet, H.S. Zurob, G. Purdy, D. Embury
- article
- Materials Science and Engineering: A, 2009, 502 (1-2), pp.70-78. ⟨10.1016/j.msea.2008.10.015⟩
- Accès au bibtex
-
- titre
- Quasistatic mechanical behaviour of stainless steel hollow sphere foam: Macroscopic properties and damage mechanisms followed by X-ray tomography.
- auteur
- P. Lhuissier, A. Fallet, L. Salvo, Y. Brechet
- article
- Materials Letters, 2009, 63 (13-14), pp.1113-1116. ⟨10.1016/j.matlet.2008.10.051⟩
- Accès au bibtex
-
- titre
- Dislocation-void interaction in Fe : a comparison between molecular dynamics and dislocation dynamics.
- auteur
- S.M. Hafez Haghighat, M.C. Fivel, J. Fikar, R. Schaeublin
- article
- Journal of Nuclear Materials, 2009, 386-388, pp.102-105
- Accès au bibtex
-
- titre
- Physical relationship between mean-field micro-mechanical approach and Orowan looping in particle-strengthened materials
- auteur
- O. Bouaziz, Y. Brechet
- article
- Scripta Materiala, 2009, 60 (6), pp.366-368. ⟨10.1010/j.scriptamat.2008.11.002⟩
- Accès au bibtex
-
- titre
- Class-jump phenomenon for physical symmetries in bi-dimensional space.
- auteur
- Nicolas Auffray, R. Bouchet, Y. Bréchet
- article
- Lecture Notes in Applied and Computational Mechanics, 46, Springer, pp.1-11, 2009, ⟨10.1007/978-3-642-00911-2_1⟩
- Accès au texte intégral et bibtex
-
- titre
- Comparative study of differently grown 3C-SiC single crystals with birefringence microscopy
- auteur
- D. Chaussende, Florian Mercier, R. Madar, M. Pons
- article
- Materials Science Forum, 2009, 600-603, pp.71-74
- Accès au bibtex
-
- titre
- Atom probe tomography and transmission electron microscopy study of T1 and theta' precipitation in an Al-Li-Cu-Mg-Ag
- auteur
- F. de Geuser, B. Gault, L.T. Stephenson, M.P. Moody, S.P. Ringer, B.C. Muddle
- article
- Workshop ANR Alicantde, 2009, Grenoble, France
- Accès au bibtex
-
- titre
- Discrete approaches for entangled materials
- auteur
- R. Dendievel, C. Barbier, D. Rodney
- article
- 2009 MRS Spring Meeting, 2009, United States
- Accès au bibtex
-
- titre
- Electrochemical Impedance and Acoustic Emission Survey of Water Desorption in Nafion Membranes
- auteur
- Benoît Legros, Pierre-Xavier Thivel, Yann Bultel, Mickaël Boinet, Ricardo P. Nogueira
- article
- Electrochemical and Solid-State Letters, 2009, 12 (7), pp. B116-B118. ⟨10.1149/1.3131728⟩
- Accès au bibtex
-
- titre
- Effect of austenite stability on the pitting corrosion resistance of cold worked stainless steels
- auteur
- B. Baroux, B. Malki, L. Peguet
- article
- Corrosion Science, 2009, 51 (3), pp.493-498
- Accès au bibtex
-
- titre
- Silicon Carbide growth : C/Si ratio Evaluation and Modeling
- auteur
- M. Pons, S.I. Nishizawa, P. Wellmann, E. Blanquet, D. Chaussende, J.M. Dedulle, R. Madar
- article
- Materials Science Forum, 2009, 600-603, pp.83-88
- Accès au bibtex
-
- titre
- Alternate dissociation of the screw dislocations in a (001) buried small-angle twist boundary in silicon
- auteur
- R. Bonnet, M. Loubradou, S. Youssef, J.L. Rouvière, F. Fournel
- article
- Philosophical Magazine, 2009, 89 (5), pp.413-434
- Accès au bibtex
-
- titre
- Characterization of the structure and permeability of titanium foams for spinal fusion devices
- auteur
- R. Singh, P. D. Lee, T. C. Lindley, R. J. Dashwood, E. Ferrie, T. Imwinkelried
- article
- Acta Biomaterialia, 2009, 5 (1), pp.477-487. ⟨10.1016/j.actbio.2008.06.014⟩
- Accès au bibtex
-
- titre
- Derivation of anisotropic matrix for bi-dimensional strain-gradient elasticity behavior
- auteur
- Nicolas Auffray, Bouchet Regis, Yves Brechet
- article
- International Journal of Solids and Structures, 2009, 46 (2), pp.440-454. ⟨10.1016/j.ijsolstr.2008.09.009⟩
- Accès au texte intégral et bibtex
-
- titre
- Numerical study of 3D compressions of entangled materials
- auteur
- C. Barbier, R. Dendievel, D. Rodney
- article
- Computational Materials Science, 2009, 45, pp.593-596
- Accès au bibtex
-
- titre
- Microstructure and mechanical properties of Laves phase-reinforced Fe-Zr-Cr alloys
- auteur
- S. Scudino, P. Donnadieu, K.B. Surreddi, N. Nikolowski, M. Stoica, J. Eckert
- article
- Intermetallics, 2009, 17 (5), pp.532-539
- Accès au bibtex
-
- titre
- Sintering of powder mixtures: experiments and simulations
- auteur
- L. Olmos, C.L. Martin, D. Bouvard
- article
- Powder Technology, 2009, 190, pp.134-140
- Accès au bibtex
-
- titre
- Study of MHD mixed convection in the DCLL blanket conditions
- auteur
- S. Smolentsev, R. Moreau, M. Abdou
- article
- Magnetohydrodynamics c/c of Magnitnaia Gidrodinamika, 2009, in press
- Accès au bibtex
-
- titre
- Combined effects of crucible geometry and Marangoni convection on silicon Czochralski crystal growth.
- auteur
- F. Moktari, A. Bouabdallah, M. Zizi, S. Hanchi, A. Alemany
- article
- Crystal Research and Technology, 2009, 44 (8), pp.787-799
- Accès au bibtex
-
- titre
- Solidification of metallic alloys under Magnetic fields.
- auteur
- Xiaojian Li, A. Noeppel, B. Saadi, O. Budenkova, K. Zaïdat, A. Ciobanas, Zhuoxiang Ren, Y. Fautrelle
- article
- Trans. Indian Institute of Metals, 2009, 62 (4-5), pp.465-467
- Accès au bibtex
-
- titre
- Dislocation –obstacle interactions at the atomic level
- auteur
- D. Bacon, Y. Osetsky, D. Rodney
- article
- John Hirth and Ladislas Kubin. Dislocations in Solids, Elsevier, pp.vol. 15, 2009
- Accès au bibtex
-
- titre
- High-Pressure study of Gd-2(MoO4)(3) by Raman Scattering and Ab initio calculations.
- auteur
- G. Lucazeau, P. Bouvier, A. Pasturel, O. Le Bacq, T. Pagnier
- article
- Acta Physica Polonica A, 2009, 116, pp.25-31
- Accès au bibtex
-
- titre
- HAAF and EELS study of ULK dielectrics: Impact of CMP on microstructure and electronic properties
- auteur
- M. Cheynet, S. Pokrant, F. Volpi, M. Aimadeddine, V. Arnal
- article
- Imaging & Microscopy, 2009, 11 (1), pp.44-47
- Accès au bibtex
-
- titre
- Advances in the calibration of atom probe tomographic reconstruction
- auteur
- B. Gault, M.P. Moody, F. de Geuser, G. Tsafnat, A. La Fontaine, L.T. Stephenson, D. Haley, S.P. Ringer
- article
- Journal of Applied Physics, 2009, 105, pp.034913-9. ⟨10.1063/1.3068197⟩
- Accès au bibtex
-
- titre
- Teaching High-temperature materials chemistry at university.
- auteur
- G. Balduccii, A. Ciccioli, G. de Maria, F. Hodaj, G.M. Rosenblatt
- article
- Pure and Applied Chemistry, 2009, 81 (2), pp.299-338. ⟨10.1351/pac-rep-08-05-01⟩
- Accès au bibtex
-
- titre
- New On-Chip Nanomechanical Testing Laboratory - Applications to Aluminum and Polysilicon Thin Films
- auteur
- S. Gravier, M. Coulombier, A. Safi, N. Andre, A. Boé, T. Pardoen
- article
- Journal of Microelectromechanical Systems, 2009, 18 (3), pp.555-569. ⟨10.1109/jmems.2009.2020380⟩
- Accès au bibtex
-
- titre
- Influence of flluxing in the preparation of bulk Fe-based glassy alloys
- auteur
- C. Duhamel, K. Georgarakis, A. Lemoulec, A.R. Yavari, G. Vaughan, A. Baron, N. Lupu
- article
- Journal of Alloys and Compounds, 2009, 483 (1-2), pp.243-248
- Accès au bibtex
-
- titre
- Evolution of defects during sintering – discrete element simulations
- auteur
- C.L. Martin, H. Camacho Montes, L. Olmos, D. Bouvard, R.K. Bordia
- article
- Journal of the American Ceramic Society, 2009, 97 (7), pp.1435-1441
- Accès au bibtex
-
- titre
- Thermodynamics of GaAs nanowire MBE growth with gold droplets
- auteur
- C. Chatillon, F. Hodaj, A. Pisch
- article
- Journal of Crystal Growth, 2009, 311 (14), pp.3598-3607
- Accès au bibtex
-
- titre
- Effect of a high gradient magnetic field on the distribution of the solute Si and the morphology of the primary Si phase.
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Materials Letters, 2009, 63 (15), pp.1235-1238. ⟨10.1016/j.matlet.2009.02.03⟩
- Accès au bibtex
-
- titre
- Site occupation in the Cr-Ru and Cr-Os sigma phases
- auteur
- M.H.F. Sluiter, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2009, 80 (13), pp.9
- Accès au bibtex
-
- titre
- Chill-zone aluminium alloys with GPa strength and good plasticity.
- auteur
- Y. Li, K. Georgarakis, S. Pang, F. Charlot, A. Le Moulec, S. Brice-Profeta, Tiantian Zhang, A.R. Yavari
- article
- Journal of Materials Research, 2009, 24 (4), pp.1513-1521. ⟨10.1557/jmr.2009.0171⟩
- Accès au bibtex
-
- titre
- Influence of local crystallographic orientation on short crack propagation in high cycle fatigue of 316LN steel
- auteur
- E. Ferrie, M. Sauzay
- article
- Journal of Nuclear Materials, 2009, 386, pp.666-669. ⟨10.1016/j.jnucmat.2008.12.261⟩
- Accès au bibtex
-
- titre
- A magnesium alloy matrix composite reinforced with metallic glas
- auteur
- D.V. Dudina, K. Georgarakis, Y. Li, M. Aljerf, A. Le Moulec, A.R. Yavari, A. Inoue
- article
- Composites Science and Technology, 2009, 69 (15-16), pp.2734-2736. ⟨10.1016/j.compscitech.2009.08.001⟩
- Accès au bibtex
-
- titre
- Effect of a high magnetic field on the morphological instability and irregularity of the interface of a binary alloy during directional solidification
- auteur
- Xiaojian Li, Y. Fautrelle, Z. M. Ren, A. Gagnoud, R. Moreau, Y. D. Zhang, C. Esling
- article
- Acta Materialia, 2009, 57 (5), pp.1689-1701. ⟨10.1016/j.actamat.2008.12.005⟩
- Accès au bibtex
-
- titre
- Call for contributions to a numerical benchmark problem for 2D columnar solidification of binary alloys
- auteur
- Michel Bellet, H. Combeau, Y. Fautrelle, D. Gobin, M. Rady, E. Arquis, O. Budenkova, B. Dussoubs, Y. Duterrail, A. Kumar, Charles-André Gandin, B. Goyeau, Salem Mosbah, M. Zazloznik
- article
- International Journal of Thermal Sciences, 2009, 48 (11), pp.2013-2016. ⟨10.1016/j.ijthermalsci.2009.07.024⟩
- Accès au bibtex
-
- titre
- Creep-fatigue interactions in a 9 Pct Cr-1 Pct Mo martensitic steel, part II. Microstructural evolutions
- auteur
- Benjamin Fournier, Maxime Sauzay, Françoise Barcelo, E. Rauch, Alexandra Renault-Laborne, T. Cozzika, L. Dupuy, André Pineau
- article
- Metallurgical and Materials Transactions A, 2009, 40, pp.330-341. ⟨10.1007/s11661-008-9687-y⟩
- Accès au bibtex
-
- titre
- The EU programme for modelling radiation effects in fusion reactor materials : an overview of recent advances and future goals.
- auteur
- S.L. Dudarev, J.L. Boutard, R. Lässer, M.J. Caturla, P.M. Derlet, M. Fivel, C.C. Fu, M.Y. Lavrentiev, L. Malerba, M. Mrovec, D. Nguyen-Manh, K. Nordlund, M. Perlado, R. Schäubin, H. van Swygenhoven, D. Terentyev, J. Wallenius, D. Weygand, F. Willaime
- article
- Journal of Nuclear Materials, 2009, 386-388, pp.1-7
- Accès au bibtex
-
- titre
- Assessment by microtomography of 2D image analysis methods for the measurement of average grain coordination and size in an aggregate
- auteur
- Nathalie Limodin, Luc Salvo, Michel Suéry, Francis Delannay
- article
- Scripta Materialia, 2009, 60, pp.325-328. ⟨10.1016/j.scriptamat.2008.10.030⟩
- Accès au texte intégral et bibtex
-
- titre
- Architectured materials.
- auteur
- Y. Brechet
- article
- MPI Max Planck Lecture, 2009, Stuttgart, Germany
- Accès au bibtex
-
- titre
- Modelling of electromagnetic Levitation - Consequences on non-contact physical properties measurements.
- auteur
- J. Etay, P. Schetelat, Benjamin Bardet, J. Priede, V. Bojarevics
- article
- High Temperature Material Processes: An International Quarterly of High-Technology Plasma Processes, 2009
- Accès au bibtex
-
- titre
- Copper-Line Topology Impact on the Reliability of SiOCH Low-k for the 45-nm Technology Node and Beyond
- auteur
- M. Vilmay, Daniel Roy, C. Monget, F. Volpi, J.M. Chaix
- article
- IEEE Transactions on Device and Materials Reliability, 2009, 9 (2), pp.120-127. ⟨10.1109/tdmr.2009.2020089⟩
- Accès au bibtex
-
- titre
- Vibrational properties of MgZn2
- auteur
- P. Brommer, M. de Boissieu, H. Euchner, S. Francoual, F. Gähler, M. Johnson, K. Parlinski, K. Schmalzl
- article
- Zeitschrift für Kristallographie, 2009, 224 (1-2), pp.97-100. ⟨10.1524/zkri.2009.1085⟩
- Accès au bibtex
-
- titre
- Cathodoluminescence mapping and spectroscopy of Te-doped InxGa1-xSb grown by the vertical Bridgman method under an alternating magnetic field
- auteur
- C. Diaz-Guerra, A. Mitric, J. Piqueras, T. Duffar
- article
- Superlattices and Microstructures, 2009, 45 (4-5), pp.407-412. ⟨10.1016/j.spmi.2008.11.006⟩
- Accès au bibtex
-
- titre
- Point defects and chemical potentials in D88-Sn3Ti5
- auteur
- Catherine Colinet, Jean-Claude Tedenac
- article
- Intermetallics, 2009, 18 (4), pp.459-471. ⟨10.1016/j.intermet.2009.09.005⟩
- Accès au bibtex
-
- titre
- Determination of Materials Selection Performance Indices Through the Combination of Numerical Modeling and Optimization Methods.
- auteur
- G. Castillo, H. Wargnier, M. Danis, Y. Brechet
- article
- Advanced Engineering Materials, 2009, 11 (11), pp.938-944. ⟨10.1002/adem.200900159⟩
- Accès au bibtex
-
- titre
- Effect of temperature on deformability of magnesium alloys: plastic stability and damage sensitivity
- auteur
- R. Boissière, J.J. Blandin
- article
- Thermec 2009, Symposium on Magnesium Alloys, 2009, Germany
- Accès au bibtex
-
- titre
- Flow in an insulating rectangular duct at the entry of a magnet
- auteur
- R. Moreau, S. Smolentsev, S. Cuevas
- article
- PMC Physics B, 2009, ⟨10.1186/1754-0429-3-3⟩
- Accès au bibtex
-
- titre
- Thermoforming of bulk metallic glasses: a way to produce shapes and multimaterials
- auteur
- J. Ragani, A. Volland, J.J. Blandin, S. Gravier
- article
- ISMANAM 2009, 2009, Beijing, China
- Accès au bibtex
-
- titre
- AlNiY chill-zone alloys with good mechanical properties
- auteur
- Y. Li, K. Georgarakis, S. Pang, J. Antonowicz, F. Charlot, A. Lemoulec, Tiantian Zhang, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2009, 477, pp.346-349
- Accès au bibtex
-
- titre
- Microstructure and creep behavior of the extruded Mg-4Y-4Sm-0.5Zr alloy
- auteur
- Q. A. Wang, D. Li, J.J. Blandin, M. Suéry, P. Donnadieu, W. Ding
- article
- Materials Science and Engineering: A, 2009, 516 (1-2), pp.189-192
- Accès au bibtex
-
- titre
- Wettability of Ti3SiC2 by Ag-Cu and Ag-Cu-Ti melts
- auteur
- Olivier Dezellus, R. Voytovych, P.-H. Li A., G. Constantin, F. Bosselet, J.C. Viala
- article
- Journal of Materials Science, 2009, 45 (8), pp.2080-2084. ⟨10.1007/s10853-009-3941-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Initial transient in Zn-doped InSb grown in microgravity.
- auteur
- A.G. Ostrogorsky, C. Marin, M.P. Volz, T. Duffar
- article
- Journal of Crystal Growth, 2009, 311 (12), pp.3243-3248. ⟨10.1016/j.jcrysgro.2009.03.036⟩
- Accès au bibtex
-
- titre
- Haematite and chromia dissolution in the zirconia matrix during thermal oxidation of Laves-phases gamma-Zr(Fe,Cr)(2) on Zircaloy-4
- auteur
- Y. Wouters, A. Galerie, J.P. Petit
- article
- Materials at High Temperatures, 2009, 26 (1), pp.9-14. ⟨10.3184/096034009x435692⟩
- Accès au bibtex
-
- titre
- Atomic structure of Zr-Cu glassy alloys and detection of deviations from ideal solution behavior with Al addition by x-ray diffraction using synchrotron light in transmission.
- auteur
- K. Georgarakis, A.R. Yavari, D.V. Louzguine-Luzgin, J. Antonowicz, M. Stoica, Y. Li, M. Satta, A. Lemoulec, G. Vaughan, A. Inoue
- article
- Applied Physics Letters, 2009, 94, pp.191912. ⟨10.1063/1.3136428⟩
- Accès au bibtex
-
- titre
- Dewetting during the crystal growth of (Cd,Zn)Te: In Under Microgravity
- auteur
- L. Sylla, A. Fauler, M. Fiederle, T. Duffar, E. Dieguez, L. Zanotti, A. Zappettini, Gérald Roosen
- article
- IEEE Transactions on Nuclear Science, 2009, 56 (4), pp.1747-1751
- Accès au bibtex
-
- titre
- Experimental study of the effect of the reactive gas injection geometry in atmospheric pressure inductive plasma torch on the chemical efficiency.
- auteur
- J. Degoulange, Dominique Pelletier, B. Bournonville, G. Chichignoud, Y. Delannoy, C. Trassy
- article
- High Temperature Material Processes: An International Quarterly of High-Technology Plasma Processes, 2009, 13 (3-4), pp.315-324
- Accès au bibtex
-
- titre
- Origin of the spatial resolution in atome probe microscopy
- auteur
- B. Gault, M.P. Moody, F. de Geuser, D. Haley L.T. Stephenson, S.P. Ringer
- article
- Applied Physics Letters, 2009, 95, pp.034103. ⟨10.1063/1.3182351⟩
- Accès au bibtex
-
- titre
- Clustered crystalline structures as glassy phase approximants.
- auteur
- D.V. Louzguine-Luzgin, A.R. Yavari, G. Vaughan, A. Inoue
- article
- Intermetallics, 2009, 17 (7), pp.477-480
- Accès au bibtex
-
- titre
- In situ X-ray microtomography study of the solidification and remelted microstructures of Al-Cu alloys
- auteur
- S. Terzi, E. Boller, L. Salvo, M. Suéry
- article
- International Journal of Cast Metals Research, 2009, 22, pp.275-278
- Accès au bibtex
-
- titre
- Materials selection for optimal design of porous radiant burner for environmentally driven requirements
- auteur
- J. Randrianalisoa, Y. Brechet, D. Baillis
- article
- Journal of Advanced Materials -Covina-, 2009, 11 (12), pp.1049-1056
- Accès au bibtex
-
- titre
- Influence of total pressure and precursors flow rates on the growth of aluminum nitride by high temperature chemical vapor deposition (HTCVD)
- auteur
- E. Blanquet, D. Chaussende, A. Claudel, D. Pique, M. Pons
- article
- physica status solidi (c), 2009, 6, pp.S348-S351
- Accès au bibtex
-
- titre
- Assemblage par brasage réactif
- auteur
- F. Hodaj, N. Eustathopoulos
- article
- GRAVIT, 4e Forum d'Innovation Technologique - Matériaux Nouveaux Concepts,GRAVIT, 2009, Le Bourget du Lac, France
- Accès au bibtex
-
- titre
- Future prospects in plasma etching processes involved in ULSI technology
- auteur
- O. R. Joubert, E. Pargon, T. Chevolleau, G. Cunge, L. Vallier, T. David, S. Barnola, T. Lill
- article
- Micro and Nanoelectronics (ICMNE 2009), 2009, Moscou, Russia
- Accès au bibtex
-
- titre
- Texture and microstructure of ultra low carbon steel processed by equal channel angular extrusion
- auteur
- M. Eddahbi, E. Rauch
- article
- Materials Science and Engineering: A, 2009, A502, pp.13-24
- Accès au bibtex
-
- titre
- Experimental evidence for liquid/solid interface instability caused by the stress in the solid during directional solidification under a strong magnetic field
- auteur
- Xiaojian Li, Y. D. Zhang, Y. Fautrelle, Z. M. Ren, C. Esling
- article
- Scripta Materialia, 2009, 60 (7), pp.489-492. ⟨10.1016/j.scriptamat.2008.11.038⟩
- Accès au bibtex
-
- titre
- Is there a relationship between the stacking fault character and the activated mode of plasticity of Fe-Mn-based austenitic steels ?
- auteur
- Hassane Idrissi, L. Ryelandt, M. Veron, D. Schryvers, P.J. Jacques
- article
- Scripta Materialia, 2009, 60 (11), pp.941-944. ⟨10.1016/j.scriptamat.2009.01.040⟩
- Accès au bibtex
-
- titre
- On the essential work of fracture in polymer-metal multilayers.
- auteur
- G. Garnier, B. Chehab, B. Yrieix, Y. Brechet, L. Flandin
- article
- Journal of Materials Science, 2009, 44 (20), pp.5537-5543. ⟨10.1007/s10853-009-3775-2⟩
- Accès au bibtex
-
- titre
- Actionneur linéaire polyentrefer pour applications aéronautiques
- auteur
- F. Dumas, P. Enrici, D. Matt, G. Balducci
- article
- EF 2009, 2009, Compiègne, France
- Accès au bibtex
-
- titre
- Thermodynamic and experimental study of UC powders ignition.
- auteur
- F. Le Guyadec, C. Rado, S. Joffre, C. Chatillon, E. Blanquet, S. Coullomb
- article
- Journal of Nuclear Materials, 2009, 393 (2), pp.333-342. ⟨10.1016/j.jnucmat.2009.06.009⟩
- Accès au bibtex
-
- titre
- On the intrinsic electrochemical nature of the inductance in EIS: A Monte Carlo simulation of the two-consecutive-step mechanism: The flat surface 2 D case
- auteur
- P. Córdoba-Torres, Michel Keddam, Ricardo P. Nogueira
- article
- Electrochimica Acta, 2008, 54 (2), pp.518-523. ⟨10.1016/j.electacta.2008.07.023⟩
- Accès au bibtex
-
- titre
- Thermodynamic simulation of atmospheric DLI-CVD processes for the growth of chromium-based hard coatings using bis(benzene)chromium as molecular source
- auteur
- Aurélia Douard, Claude Bernard, Francis Maury
- article
- Surface and Coatings Technology, 2008, 203 (5 - 7), pp.516-520. ⟨10.1016/j.surfcoat.2008.07.013⟩
- Accès au texte intégral et bibtex
-
- titre
- Join between a metal part and a ceramic part based SiC and/or C
- auteur
- J. Benoit, J.F. Fromentin, V. Chaumat, O. Gillia, N. Eustathopoulos, F. Hodaj, A. Koltsov
- article
- France, Patent n° : CN101326139. PMD. 2008
- Accès au bibtex
-
- titre
- Purification et caractérisations physico-chimiques et électriques de silicium d'origine métallurgique destiné à la conversion photovoltaïque
- auteur
- Julien Degoulange
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Purification et caractérisations physico-chimiques et électriques de silicium d'origine métallurgique destiné à la conversion photovoltaïque
- auteur
- Julien Dégoulange
- article
- Electromagnétisme. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Purification et caractérisations physico-chimiques et électriques de silicium d'origine métallurgique destiné à la conversion photovoltaïque
- auteur
- Julien Degoulange
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Un estimateur d'erreur magnétodynamique fondé sur une approche énergétique
- auteur
- Loïc Rondot, Dimitrios Ladas, Vincent Mazauric, Gérard Meunier, Philippe Wendling
- article
- 6ème Conférence Européenne sur les méthodes numériques en Electromagnétisme (NUMELEC 2008), Dec 2008, Liège, Belgique
- Accès au bibtex
-
- titre
- Modélisation de la saturation magnétique par la méthode des volumes finis : Application au plasma de coupure
- auteur
- Loïc Rondot, Vincent Mazauric, Gérard Meunier
- article
- 6ème Conférence Européenne sur les méthodes numériques en Electromagnétisme (NUMELEC 2008), Dec 2008, Liège, Belgique
- Accès au bibtex
-
- titre
- Structure et propriétés mécaniques d'empilements aléatoires de sphères creuses : caractérisation et modélisation
- auteur
- Alexandre Fallet
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Viscoplasticité et Hétérogénéités de déformation du monocristal de glace: expériences et simulations
- auteur
- Juliette Chevy
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Chemical composition and electronic structure of the passive layer formed on stainless steels in a glucose-oxidase solution
- auteur
- C. Marconnet, Yves Wouters, F. Miserque, C. Dagbert, Jean-Pierre Petit, Alain Galerie, D. Féron
- article
- Electrochimica Acta, 2008, 54 (1), pp.123-132. ⟨10.1016/j.electacta.2008.02.070⟩
- Accès au bibtex
-
- titre
- Electrochemical noise characterization of heat-treated superduplex stainless steel
- auteur
- Ivan N. Bastos, Ricardo P. Nogueira
- article
- Materials Chemistry and Physics, 2008, 112 (2), pp.645-650. ⟨10.1016/j.matchemphys.2008.06.034⟩
- Accès au bibtex
-
- titre
- Controlled stratification for quantile estimation
- auteur
- Claire Cannamela, Josselin Garnier, Bertrand Iooss
- article
- Annals of Applied Statistics, 2008, 2 (4), pp.1554-1580
- Accès au texte intégral et bibtex
-
- titre
- Deep Ultraviolet Raman Imaging with Micron Resolution: Application to Chemical-Vapor-Deposited Diamond Films
- auteur
- Alexandre Crisci, Bernadette Saubat-Marcus, Michel Mermoux
- article
- Japanese Journal of Applied Physics, 2008, 47 (12), pp. 8868-8870. ⟨10.1143/JJAP.47.8868⟩
- Accès au bibtex
-
- titre
- Modelling of the transition from a planar faceted front to equiaxed growth: Application to photovoltaic polycrystalline silicon
- auteur
- Nathalie Mangelinck-Noel, Thierry Duffar
- article
- Journal of Crystal Growth, 2008, 311 (1), pp.20 - 25. ⟨10.1016/j.jcrysgro.2008.10.011⟩
- Accès au bibtex
-
- titre
- On the Competitive Growth of Alpha and Transient Aluminas During the First Stages of Thermal Oxidation of FeCrAl Alloys at Intermediate Temperatures
- auteur
- R. Chegroune, E. Salhi, Alexandre Crisci, Y. Wouters, A. Galerie
- article
- Oxidation of Metals, 2008, 70 (5-6), pp.331-337
- Accès au bibtex
-
- titre
- GISAXS Study of Porous SiOCH Dielectrics used in Advanced Microelectronic Interconnections
- auteur
- J.P. Simon, V. Jousseaume, A. Zenasni, D. Babonneau
- article
- Annual symposium MRS, Dec 2008, United Kingdom
- Accès au bibtex
-
- titre
- MODELISATION NUMERIQUE DU COMPORTEMENT MECANIQUE DE SYSTEMES ENCHEVETRES
- auteur
- Carine Barbier
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Transient liquid phase bonding of titanium to aluminium nitride
- auteur
- Olivier Dezellus, J. Andrieux, F. Bosselet, M. Sacerdote-Peronnet, T. Baffie, F. Hodaj, N. Eustathopoulos, J.C. Viala
- article
- Materials Science and Engineering: A, 2008, 495 (1-2), pp.254-258. ⟨10.1016/j.msea.2007.10.104⟩
- Accès au texte intégral et bibtex
-
- titre
- TRANSFERTS RADIATIFS DANS LES PLASMAS THERMIQUES
- auteur
- Jean-Gaël Lacombe
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- STEM-EELS investigations of Ultra-Low-k dielectrics after chemical mechanical polishing: impact on energy band gap
- auteur
- M. Cheynet, S. Pokrant, F. Volpi, M. Aimadeddine, V. Arnal
- article
- Under review Microelectronic Engineering, 2008
- Accès au bibtex
-
- titre
- Transferts radiatifs dans les plasmas thermiques
- auteur
- Jean-Gaël Lacombe
- article
- Electromagnétisme. Institut Polytechnique de Grenoble, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Device and method for producing self-sustained plates of silicon or other crystalline materials
- auteur
- R. Einhaus, F. Lissalde, Y. Delannoy
- article
- Patent n° : WO2008132323 (A2). EPM. 2008
- Accès au bibtex
-
- titre
- Real-space structural studies of Cu–Zr–Ti glassy alloy 1
- auteur
- D.V. Louzguine-Luzgin, J. Antonowicz, K. Georgarakis, G. Vaughan, A.R. Yavari, A. Inoue
- article
- Journal of Alloys and Compounds, 2008, 466 (1-2), pp.106-110
- Accès au bibtex
-
- titre
- Phason modes in quasicrystals
- auteur
- Marc de Boissieu
- article
- Philosophical Magazine, 2008, 88 (13-15), pp.2295-2309. ⟨10.1080/14786430701861486⟩
- Accès au texte intégral et bibtex
-
- titre
- Atomic dynamics of the i-ScZnMg and its 1/1 approximant phase: experiment and simulation
- auteur
- Marek Mihalkovic, Sonia Francoual, Kaoru Shibata, Marc de Boissieu, a Q.R. Baron, Yvan Sidis, Tsutomu Ishimasa, Thomas Lograsso, L.P. Regnault, Franz Gähler, T Tsutsui, Bernard Hennion, P Bastie, Taku Sato, Hiroyuki Takakura, R. Currat, a Peter Tsai
- article
- Philosophical Magazine, 2008, 88 (13-15), pp.2311-2318. ⟨10.1080/14786430802077909⟩
- Accès au texte intégral et bibtex
-
- titre
- Copper to stainless steel and copper to alumina reactive brazing
- auteur
- Olga Kozlova
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- A new chill-zone Al alloys with high strength and good ductility
- auteur
- K. Georgarakis, A. Le Moulec, Y. Li, A.R. Yavari, Tiantian Zhang, S. Pang
- article
- Patent n° : 8235.5. 2008
- Accès au bibtex
-
- titre
- ORASOL: a French research program for solar cooling process optimization
- auteur
- Franck Lucas, F. Boudehenn, S. Amblard, Jean Castaing-Lasvignottes, M. Pons, Nolwenn Le Pierrès, D. Stitou, D. Mugnier
- article
- Eurosun - 1st International Conference on Solar Heating, Cooling and Buildings, Oct 2008, Lisbonne, Portugal
- Accès au bibtex
-
- titre
- GISAXS on magnetic alloy nanostructures at BM02
- auteur
- M. Maret
- article
- SCIENCE AND STUDENTS DAYS OF THE ESRF, Oct 2008, France
- Accès au bibtex
-
- titre
- Non-stereoscopic 3D particle tracking velocimetry for full scale rooms
- auteur
- Pascal Henry Biwole, G. Krauss, J.-J. Roux, F. Kuznik, G. Rusaouen, E. Favier
- article
- 29th AIVC conference, Oct 2008, Kyoto, Japan
- Accès au bibtex
-
- titre
- ETUDE THERMODYNAMIQUE ET EXPERIMENTALE DU DEPÔT ALD (ATOMIC LAYER DEPOSITION) DE TaN ET DE SON PRECURSEUR ORGANOMETALLIQUE PDMAT, Ta[N(CH3)2]5, UTILISE EN MICROELECTRONIQUE
- auteur
- Perrine Violet
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Interactions between high temperature deformation and crystallization in zirconium based bulk metallic glasses
- auteur
- Sébastien Gravier, Jean-Jacques Blandin, Patricia Donnadieu
- article
- Philosophical Magazine, 2008, 88 (16), pp.2357-2372. ⟨10.1080/14786430802192112⟩
- Accès au texte intégral et bibtex
-
- titre
- Deposition of TiO2 thin films by atmospheric plasma post-discharge assisted injection MOCVD
- auteur
- Carmen Jiménez, D. de Barros, A. Darraz, Jean Luc Deschanvres, L. Rapenne, Patrick Chaudouët, J.E. Mendez, François Weiss, M. Thomachot, T. Sindzingre, G. Berthomé, F. J. Ferrer
- article
- Surface and Coatings Technology, 2008, 201, pp.8971. ⟨10.1016/j.surfcoat.2007.04.025⟩
- Accès au texte intégral et bibtex
-
- titre
- Assemblage entre une pièce métallique et une pièce en materiau céramique à base de SiC et/ou de C
- auteur
- J. Benoit, J.F. Fromentin, V. Chaumat, O. Gillia, N. Eustathopoulos, F. Hodaj, A. Koltsov
- article
- France, Patent n° : EP1971564. PMD. 2008
- Accès au bibtex
-
- titre
- Behavior of a microfiltration organic membrane subjected to ionizing radiation
- auteur
- Nicolas Fortin, Daniel Portinha, Henry Sautereau, S. Rouif, S. Bourdon, Belen Albela, Laurent Bonneviot, J.Y. Sanchez, Etienne Fleury
- article
- 36èmes Journées d'Etude des Polymères, Sep 2008, Amnéville les Thermes, France
- Accès au bibtex
-
- titre
- Dispositif et procédé de fabrication de plaques autosupportées de silicium ou autres matériaux cristallins
- auteur
- R. Einhaus, F. Lissalde, Y. Delannoy
- article
- Patent n° : FR2913434 (A1). EPM. 2008
- Accès au bibtex
-
- titre
- Nucleation and Growth of 3C-SiC Single Crystals from the Vapor Phase
- auteur
- Didier Chaussende, Jessica Eid, Frédéric Mercier, Roland Madar, Michel Pons
- article
- 7th European Conference on Silicon Carbide and Related Materials, Sep 2008, Barcelone, Spain. pp.31-36, ⟨10.4028/www.scientific.net/MSF.615-617.31⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of the N/Al Ratio in the Gas Phase on the Growth of AlN by High Temperature Chemical Vapor Deposition (HTCVD)
- auteur
- A. Claudel, D. Chaussende, E. Blanquet, D. Pique, M. Pons
- article
- 7th European Conference on Silicon Carbide and Related Materials, Sep 2008, Barcelone, Spain. pp.987-990
- Accès au bibtex
-
- titre
- Top Seeded Solution Growth of 3C-SiC single crystals
- auteur
- Frédéric Mercier, Didier Chaussende, Jean-Marc Dedulle, Michel Pons, Roland Madar
- article
- 7th European Conference on Silicon Carbide and Related Materials, Sep 2008, Barcelone, Spain. pp.41-44, ⟨10.4028/www.scientific.net/MSF.615-617.41⟩
- Accès au texte intégral et bibtex
-
- titre
- Influences des forces électromagnétiques sur les processus électrochimiques - Application à la propulsion MHD
- auteur
- Philippe Mathon
- article
- Electromagnétisme. Institut Polytechnique de Grenoble, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude de l'influence des forces magnétiques sur l'hydrodynamique et le transfert de matière en électrochimie
- auteur
- Abdallah Nouri
- article
- Electromagnétisme. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- ETUDE DE L'INFLUENCE DES FORCES MAGNETIQUES SUR L'HYDRODYNAMIQUE ET LE TRANSFERT DE MATIERE EN ELECTROCHIMIE
- auteur
- Abdallah Nouri, A. Alemany
- article
- Génie des procédés. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- QUICK-PLASTIC FORMING: SIMILARITIES AND DIFFERENCES WITH SUPER-PLASTIC FORMING
- auteur
- R. Boissiere, S. Terzi, J.J. Blandin, L. Salvo
- article
- EuroSPF08, Sep 2008, Carcassonne, France
- Accès au texte intégral et bibtex
-
- titre
- Thermodynamic modelling of the plutonium–oxygen system
- auteur
- Christine Guéneau, Christian Chatillon, Bo Sundman
- article
- Journal of Nuclear Materials, 2008, 378 (3), pp.257-272. ⟨10.1016/j.jnucmat.2008.06.013⟩
- Accès au texte intégral et bibtex
-
- titre
- Estimation of the Reconstruction Parameters for Atom Probe Tomography
- auteur
- Baptiste Gault, Frédéric de Geuser, Leigh Stephenson, Michael Moody, Barrington Muddle, Simon Ringer
- article
- Microscopy and Microanalysis, 2008, 14 (4), pp.296-305. ⟨10.1017/S1431927608080690⟩
- Accès au bibtex
-
- titre
- Microstructure and mechanical properties of Laves phase-reinforced Fe-Zr-Cr alloys
- auteur
- S. Scudino, P. Donnadieu, K.B. Surreddi, N. Nikolowski, J.J. Blandin, J. Eckert
- article
- The 13th International Conference on Rapidly Quenched & Metastable Materials RQ13, Aug 2008, Germany
- Accès au bibtex
-
- titre
- Structural origin of magnetic anisotropy in CoPt3(111) epitaxial nanostructured alloys
- auteur
- F. Liscio, M. Maret, C. Meneghini, S. Mobilio, O. Proux, D. Makarov, C. Brombacher, M. Albrecht
- article
- 22th General Conference of the Condensed Matter Division of the European Physical Society, Aug 2008, Italy
- Accès au bibtex
-
- titre
- Main anatomical features of the M1 segment of the middle cerebral artery: a 3D time-of-flight magnetic resonance angiography at 3 T study.
- auteur
- Fabrice Vuillier, Elisabeth Medeiros, Thierry Moulin, Francoise Cattin, Jean-Francois Bonneville, Laurent Tatu
- article
- Surgical and Radiologic Anatomy, 2008, 30 (6), pp.509-14. ⟨10.1007/s00276-008-0360-3⟩
- Accès au bibtex
-
- titre
- Phason Diffuse Scattering in the icosahedral quasicrystaline phase Zn-X-Sc (X=CO, Ag, Mg)
- auteur
- S. Francoual, T. Ishimasa, M. de Boissieu
- article
- XXI Congress of the international Union of Crystallography, Aug 2008, Osaka, Japan
- Accès au bibtex
-
- titre
- Modélisation numérique 3D des phénomènes couplés dans les procédés d'élaboration par induction : couplage faible et couplage fort
- auteur
- Peeteenut Triwong
- article
- Electromagnétisme. INSTITUT POLYTECHNIQUE DE GRENOBLE, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Modélisation numérique 3D des phénomènes couplés dans les procédés d'élaboration par induction : couplage faible et couplage fort
- auteur
- Peeteenut Triwong
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- AGISAXS study of C-encapsulated Au & Cu clusters: methodology to separate the different contributions
- auteur
- J.P. Simon, D. Babonneau, M. Maret, O. Lyon
- article
- 10th International Conference of Surface X-ray and Neutron Scattering, Jul 2008, France
- Accès au bibtex
-
- titre
- AGISAXS study of Carbon-encapsulated Au & Cu clusters: comparison of methodologies to separate the different scattering contributions
- auteur
- J.P. Simon, D. Babonneau, M. Maret, M. Drouet, O. Lyon
- article
- SNXS10, Jul 2008, Grenoble, France
- Accès au bibtex
-
- titre
- Lattice-oriented growth of carbon nanotubes on MgO substrates
- auteur
- Mireille Maret, Arnaud Mantoux, Patrice Gadelle, Johanna Flock, Bernadette Saubat-Marcus, Frédéric Charlot, Denys Makarov
- article
- 8th International Conference on the Science and Application of Nanotubes, Jul 2008, Paris, France
- Accès au bibtex
-
- titre
- Structural and optical characterization of oriented LiTaO 3 thin films deposited by sol-gel technique
- auteur
- J. Podlecki, S. Youssef, R. Al Asmar, B. Sorli, A. Foucaran
- article
- European Physical Journal: Applied Physics, 2008, 43 (1), pp.65 - 71. ⟨10.1051/epjap:2008122⟩
- Accès au bibtex
-
- titre
- Atomic dynamics in quasicrystals and approximant
- auteur
- M. Mihalkovic, M. de Boissieu
- article
- 10th International Conference on Quasicrystals, Jul 2008, zurich, Switzerland
- Accès au bibtex
-
- titre
- Modélisation numérique de la solidification d'alliages métalliques en situation industrielle - enjeux et nouvelle approche
- auteur
- Y. Fautrelle
- article
- Modélisation outil de compétitivité - Forum d'innovation GRAVIT, Jul 2008, France
- Accès au bibtex
-
- titre
- X-ray microtomography, X-3D-Digital Image Correlation and X-FEM multigrid, a general tool for 3D crack growth law identification
- auteur
- Marie-Christine Baietto, Jean-Yves Buffiere, Alain Combescure, Anthony Gravouil, Nathalie Limodin, Wolfgang Ludwig, J. Rannou, Julien Réthoré, Jean-Philippe Tinnes
- article
- 8th. World Congress on Computational Mechanics (WCCM8), 5th. European Congress on Computational Methods in Applied Sciences and Engineering (ECCOMAS 2008), Jun 2008, Venise, Italy
- Accès au bibtex
-
- titre
- Rupture et finitude des alliances stratégiques
- auteur
- Fabien Blanchot, Raymond Guillouzo, G. Krauss
- article
- 2ème conférence internationale sur le temps, Jun 2008, Brest, France
- Accès au bibtex
-
- titre
- Etude expérimentale et thermodynamique du procédé de démouillage appliqué aux semiconducteurs
- auteur
- Lamine Sylla
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude expérimentale et thermodynamique du procédé de démouillage appliqué aux semiconducteurs
- auteur
- Lamine Sylla
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Etude expérimentale et thermodynamique du procédé de démouillage appliqué aux semiconducteurs
- auteur
- Lamine Sylla
- article
- Matériaux. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Amorphous and partially crystallized metallic glasses: An indentation study
- auteur
- Ludovic Charleux, S. Gravier, M. Verdier, M. Fivel, J. J. Blandin
- article
- Materials Science and Engineering: A, 2008, 483-484 (SI), pp.652-655. ⟨10.1016/j.msea.2006.12.180⟩
- Accès au bibtex
-
- titre
- New experimental approach for measuring the electrical contact resistance with an accurate mechanical actuation - Evaluation of the performances of Gold micro-switches.
- auteur
- C. Seguineau, A. Broue, J. Dhennin, J.M. Desmarres, Arnaud Pothier, X. Lafontan, M. Ignat
- article
- International Materials Research Conference, MRS Symp, Jun 2008, Chongqing, China. pp.235-240
- Accès au bibtex
-
- titre
- Constitutional and thermal defects in D019-SnTi3
- auteur
- Jean-Claude Tedenac, Catherine Colinet
- article
- Intermetallics, 2008, 16 (7), pp.923-932. ⟨10.1016/j.intermet.2008.04.007⟩
- Accès au bibtex
-
- titre
- Nanostructures de CoPt3 épitaxiées sur WSe2(0001) et NaCl(001)
- auteur
- F. Liscio, D. Makarov, C. Brombacher, C. Meneghini, Y. Gauthier, B. Doisneau-Cottignies, S. Mobilio, J.P. Simon, M. Albrecht, M. Maret
- article
- GDR Nanoalliages, Jun 2008, France
- Accès au bibtex
-
- titre
- Solidification of Metallic Alloys under the influence of various types of magnertic fields
- auteur
- Xiaojian Li, A. Noeppel, K. Zaidat, A. Ciobanas, Zhuoxiang Ren, Y. Fautrelle
- article
- JA 2008 - Journées de la SF2M, Jun 2008, France
- Accès au bibtex
-
- titre
- SILICON REFINING INSTALLATION
- auteur
- C. Trassy, Y. Delannoy, E. Fourmond, C. Ndzogha, G. Baluais, Y. Caratini
- article
- N° de brevet: US2008123715 (A1). EPM. 2008
- Accès au bibtex
-
- titre
- Silicon Refining Installation
- auteur
- Y. Caratini, Y. Delannoy, E. Fourmond, C. Ndzogha, C. Trassy, G. Baluais
- article
- Patent n° : US20080123715A1. 2008
- Accès au bibtex
-
- titre
- Study of Ba and Zr stability in UO2±x by density functional calculations
- auteur
- G. Brillant, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77 (18), ⟨10.1103/PhysRevB.77.184110⟩
- Accès au bibtex
-
- titre
- Introducing Dislocation Climb by Bulk Diffusion in Discrete Dislocation Dynamics
- auteur
- Dan Mordehai, Emmanuel Clouet, Marc C. Fivel, Marc Verdier
- article
- Philosophical Magazine, 2008, 88 (06), pp.899-925. ⟨10.1080/14786430801992850⟩
- Accès au texte intégral et bibtex
-
- titre
- Procédé de formation de sites catalytiques sur la surface d'un support
- auteur
- Francis Baillet, M. Pons
- article
- United States, Patent n° : 7368297. 2008, pp.6
- Accès au bibtex
-
- titre
- Identification of inhibitors of the E. coli cyclopropane fatty acid synthase from the screening of a chemical library: In vitro and in vivo studies.
- auteur
- Dominique Guianvarc'H, Guangqi E, Thierry Drujon, Camille Rey, Qian Wang, Olivier Ploux
- article
- Biochimica et Biophysica Acta - Molecular Cell Research, 2008, 1764 (11), pp.1381-1388. ⟨10.1016/j.bbapap.2008.04.019⟩
- Accès au bibtex
-
- titre
- Numerical modeling of coupled phenomena in a mechanically stirred molten-glass bath heated by induction
- auteur
- Laetitia Jacoutot, Yves Fautrelle, A. Gagnoud, Patrice Brun, Jacques Lacombe
- article
- Chemical Engineering Science, 2008, 63 (9), pp.2391-2401. ⟨10.1016/j.ces.2008.01.026⟩
- Accès au texte intégral et bibtex
-
- titre
- Kinetic macroscopic description of the microscopic structure of dissolving interfaces: Influence of the electrochemical kinetics
- auteur
- P. Córdoba-Torres, Ricardo P. Nogueira
- article
- Electrochimica Acta, 2008, 53 (14), pp.4805-4817. ⟨10.1016/j.electacta.2008.01.075⟩
- Accès au bibtex
-
- titre
- Quantitative study of Au nanoparticles sandwiched between carbon layers using HAADF-STEM and GISAXS.
- auteur
- D. Lantiat, S. Camelios, A. Michel, M. Drouet, J.P. Simon, D. Babonneau
- article
- European Materials Research Society Spring Meeting, May 2008, France
- Accès au bibtex
-
- titre
- Preliminary study on pyroelectric lithium tantalite by a novel electrostatic spray pyrolysis technique
- auteur
- Jean Podlecki, R. Al Asmar, D. Zaouk, A. Foucaran, S. Youssef, M. Abdallahb
- article
- Microelectronics Journal, 2008, 39 (5), pp.792 - 796. ⟨10.1016/j.mejo.2007.12.021⟩
- Accès au bibtex
-
- titre
- Can the Bridgman dewetted process be applied to InP crystal growth?
- auteur
- T. Duffar
- article
- IPRM 2008, 20th Int. Conf. InP and related materials, May 2008, France
- Accès au bibtex
-
- titre
- A combined FEG-SEM and TEM study of silicon nanodot assembly
- auteur
- Patricia Donnadieu, Francine Roussel, Vanessa Cocheteau, Brigitte Caussat, Pierre Mur, Emmanuel Scheid
- article
- European Physical Journal: Applied Physics, 2008, 4 (11-), pp.0. ⟨10.1051/epjap:2008063⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructures de précipitation et mécanismes de corrosion feuilletante dans les alliages d'aluminium de la série 7000 à très hautes caractéristiques mécaniques
- auteur
- Thorsten Marlaud
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Composition de brasure et procédé d'assemblage par brasage uitlisant cette composition
- auteur
- J. Benoit, J.F. Fromentin, V. Chaumat, O. Gillia, N. Eustathopoulos, F. Hodaj, A. Koltsov
- article
- France, N° de brevet: FR2907448. PMD. 2008
- Accès au bibtex
-
- titre
- The role of internal stresses on the plastic deformation of the Al-Mg-Si-Cu alloy AA6111
- auteur
- Henry Proudhon, Warren J Poole, Xiang Wang, Yves Jm Brechet
- article
- Philosophical Magazine, 2008, 88 (05), pp.621-640. ⟨10.1080/14786430801894569⟩
- Accès au texte intégral et bibtex
-
- titre
- Large plastic stability in magnesium alloys: crystalline vs. amorphous alloys
- auteur
- Rémi Boissiere, Sylvain Puech, J.J. Blandin
- article
- Materials Science and Engineering Technology / Materialwissenschaft und Werkstofftechnik, 2008, ⟨10.1002/mawe.200800290⟩
- Accès au bibtex
-
- titre
- Elaboration, caractérisation structurale et mise en forme d'alliages de magnésium vitreux
- auteur
- Sylvain Puech
- article
- Matériaux. Institut National Polytechnique de Grenoble - INPG, 2008. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure and adhesion of 100Cr6 steel coatings thermally sprayed on a 35CrMo4 steel substrate
- auteur
- Mohand Amokrane Bradai, Muriel Braccini, Abdelaziz Ati, Nedjemeddine Bounar, Abderrahim Benabbas
- article
- Surface and Coatings Technology, 2008, 202 (18), pp.4538 - 4543. ⟨10.1016/j.surfcoat.2008.04.039⟩
- Accès au bibtex
-
- titre
- Micro-tensile tests on micromachined metal on polymer specimens: elasticity, plasticity and rupture
- auteur
- C. Seguineau, M. Ignat, C. Malhaire, S. Brida, X. Lafontan, J.-M. Desmarres, C. Josserond, L. Debove
- article
- DTIP 2008, Apr 2008, Nice, France. pp.8-10
- Accès au texte intégral et bibtex
-
- titre
- Photoelectrochemical imaging of metal-scale decohesion on titanium thermally oxidised in oxygen
- auteur
- Yves Wouters, Loic Marchetti, Alain Galerie, Jean-Pierre Petit
- article
- Corrosion Science, 2008, 50 (4), pp.1122-1131. ⟨10.1016/j.corsci.2007.11.010⟩
- Accès au bibtex
-
- titre
- A reduced-order piecewise-linear model of squeeze-film damping for deformable structures including large displacement effects
- auteur
- Alexia Missoffe, Denis Aubry, Jérôme Juillard
- article
- DTIP Design Test Integration and Packaging of NEMS/MOEMS, Apr 2008, Nice, France. pp. 229-231
- Accès au texte intégral et bibtex
-
- titre
- Current and voltage distributions in a tubular solid oxide fuel cell (SOFC)
- auteur
- Jean-Marie Klein, Yann Bultel, Michel Pons, Patrick Ozil
- article
- Journal of Applied Electrochemistry, 2008, 38 (4), pp. 497-505. ⟨10.1007/s10800-007-9463-5⟩
- Accès au bibtex
-
- titre
- Microfiltration Organic Membrane Changes under γ-radiation
- auteur
- Nicolas Fortin, Daniel Portinha, Henry Sautereau, S. Rouif, S. Bourdon, Belen Albela, Laurent Bonneviot, J.Y. Sanchez, Etienne Fleury
- article
- 2ème Séminaire Annuel du Cluster de Recherche Macodev, Mar 2008, Sévrier, France
- Accès au bibtex
-
- titre
- Dislocation microstructure, strain localisation and crack initiation in fatigue studied by 3D discrete dislocation simulations
- auteur
- Christophe Déprés, Marc C. Fivel, C. Robertson
- article
- TMS2008, 137th Annual Meeting & Exhibition, Mar 2008, New Orleans, United States
- Accès au bibtex
-
- titre
- First evidence of dielectric loss effects with ultra low-k materials and impact on interconnect propagation performance
- auteur
- M. Gallitre, B. Blampey, B. Fléchet, A. Farcy, V. Arnal, C. Bermond, T. Lacrevaz, V. Sbugnera, K. Hamioud, M. Aimadeddine, H. Chaabouni, J. Torres
- article
- Materials for Advanced Metallization Conf, Mar 2008, -, France
- Accès au bibtex
-
- titre
- Thermodynamic study of the CsOH(s,l) vaporization by high temperature mass spectrometry
- auteur
- F.Z. Roki, C. Chatillon, M.N. Ohnet, D. Jacquemain
- article
- Journal of Chemical Thermodynamics, 2008, 40 (3), pp.401-416. ⟨10.1016/j.jct.2007.09.013⟩
- Accès au bibtex
-
- titre
- Résistance à la corrosion et propriétés des films passifs d'acier inoxydable industriel
- auteur
- B. Baroux
- article
- Conférénce RNE 2008, Mar 2008, Agadir, Morocco
- Accès au bibtex
-
- titre
- Sur le contrôle Ad-Hoc et son effet sur le transport d'un scalaire passif
- auteur
- Olivier Léon Doche, Sedat F. Tardu
- article
- Comptes Rendus Mécanique, 2008, 336 (3), pp.289-296. ⟨10.1016/j.crme.2007.12.002⟩
- Accès au bibtex
-
- titre
- Anomalous kinetic roughening during anodic dissolution of polycrystalline Fe
- auteur
- P. Córdoba-Torres, Ivan N. Bastos, Ricardo P. Nogueira
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2008, 77 (3), pp.031602. ⟨10.1103/PhysRevE.77.031602⟩
- Accès au bibtex
-
- titre
- Plasticity of Quasicrystals and Metallic Glasses: a parallel
- auteur
- P. Guyot, Marc Bletry, J. Bonneville, A. Joulain
- article
- MRS 2008 Spring Meeting-San Francisco, Mar 2008, United States
- Accès au bibtex
-
- titre
- Hydrogen filter press electrolyser modelled by coupling Fluent® and Flux Expert® codes
- auteur
- Florent Jomard, Jean-Pierre Feraud, J. Morandini, Y. Du Terrail Couvat, Jean-Pierre Caire
- article
- Journal of Applied Electrochemistry, 2008, 38 (3), pp. 297-308. ⟨10.1007/s10800-007-9438-6⟩
- Accès au bibtex
-
- titre
- European consensus conference on diagnosis and treatment of germ cell cancer: a report of the second meeting of the European Germ Cell Cancer Consensus Group (EGCCCG): part II.
- auteur
- Susanne Krege, Jörg Beyer, Rainer Souchon, Peter Albers, Walter Albrecht, Ferran Algaba, Michael Bamberg, István Bodrogi, Carsten Bokemeyer, Eva Cavallin-Ståhl, Johannes Classen, Christoph Clemm, Gabriella Cohn-Cedermark, Stéphane Culine, Gedske Daugaard, Pieter H M de Mulder, Maria de Santis, Maike de Wit, Ronald de Wit, Hans Günter Derigs, Klaus-Peter Dieckmann, Annette Dieing, Jean-Pierre Droz, Martin Fenner, Karim Fizazi, Aude Flechon, Sophie D Fosså, Xavier Garcia del Muro, Thomas Gauler, Lajos Geczi, Arthur Gerl, Jose Ramon Germa-Lluch, Silke Gillessen, Jörg T Hartmann, Michael Hartmann, Axel Heidenreich, Wolfgang Hoeltl, Alan Horwich, Robert Huddart, Michael A. S. Jewett, Johnathan Joffe, William G Jones, László Kisbenedek, Olbjørn Klepp, Sabine Kliesch, Kai Uwe Koehrmann, Christian Kollmannsberger, Markus A. Kuczyk, Pilar Laguna, Oscar Leiva Galvis, Volker Loy, Malcolm D Mason, Graham M Mead, Rolf Mueller, Craig Nichols, Nicola Nicolai, Tim Oliver, Dalibor Ondrus, Gosse O N Oosterhof, Luis Paz-Ares, Giorgio Pizzocaro, Jörg Pont, Tobias Pottek, Tom Powles, Oliver Rick, Giovanni Rosti, Roberto Salvioni, Jutta Scheiderbauer, Hans-Ulrich Schmelz, Heinz Schmidberger, Hans-Joachim Schmoll, Mark Schrader, Felix Sedlmayer, Niels E Skakkebaek, Aslam Sohaib, Sergei A. Tjulandin, Padraig Warde, Stefan Weinknecht, Lothar Weissbach, Christian Wittekind, Eva Winter, Lori Wood, Hans von Der Maase
- article
- European Urology, 2008, 53 (3), pp.497-513. ⟨10.1016/j.eururo.2007.12.025⟩
- Accès au bibtex
-
- titre
- On the efficiency of shock magnetization processes
- auteur
- J. Gattacceca, L. Berthe, M. Boustie, F. Vadeboin, P. Rochette, T. de Resseguier
- article
- Physics of the Earth and Planetary Interiors, 2008, 166 (1-2), pp.1. ⟨10.1016/j.pepi.2007.09.005⟩
- Accès au texte intégral et bibtex
-
- titre
- CoPt alloy films on SiO2 nanoparticle arrays
- auteur
- D. Makarov, E. Bermudez, C. Brombacher, F. Liscio, M. Maret, O.G. Schmidt, G. Schatz, M. Albrecht
- article
- 72th DPG Spring Meeting, Feb 2008, Germany
- Accès au bibtex
-
- titre
- The effect of acetic acid on the pit propagation in CO2 corrosion of carbon steel
- auteur
- J. Amri, E. Gulbrandsen, Ricardo P. Nogueira
- article
- Electrochemistry Communications, 2008, 10 (2), pp.200-203. ⟨10.1016/j.elecom.2007.11.028⟩
- Accès au bibtex
-
- titre
- Back-illuminated CCD for coherent soft X-ray imaging
- auteur
- G. Beutier, G. van Der Laan, A. Marty, F. Livet
- article
- European Physical Journal: Applied Physics, 2008, 42, pp.161-167
- Accès au bibtex
-
- titre
- Kink ordering and organized growth of Co clusters on a stepped Au(111) surface: A combined grazing-incidence x-ray scattering and STM study
- auteur
- Frédérique Leroy, Gilles Renaud, Antoine Letoublon, Stanislas Rohart, Yann Girard, Vincent Repain, Sylvie Rousset, Alessandro Coati, Yves Garreau
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 77, pp.045430. ⟨10.1103/PhysRevB.77.045430⟩
- Accès au bibtex
-
- titre
- Investigation of thickness-dependent stress in PbTiO3 thin films
- auteur
- Ausrine Bartasyte, Odette Chaix-Pluchery, Jens Kreisel, Carmen Jiménez, François Weiss, Adulfas Abrutis, Z. Saltyte, Michel Boudard
- article
- Journal of Applied Physics, 2008, 103, pp.014103. ⟨10.1063/1.2821728⟩
- Accès au texte intégral et bibtex
-
- titre
- Nanostructures d'alliages auto-assemblées sur des surfaces de faible énergie et étudiées par GISAXS
- auteur
- F. Liscio, D. Makarov, C. Brombacher, C. Schubert, B. Doisneau-Cottignies, J.P. Simon, M. Maret, M. Albrecht
- article
- Journées Surfaces-Interfaces, Jan 2008, France
- Accès au bibtex
-
- titre
- Micro Tensile Tests on Aluminium Thin Films: Tensile Device and In Situ Observations
- auteur
- Michel Ignat, Sabine Lay, Francine Roussel d'Herbey, Cédric Seguineau, Christophe Malhaire, Xavier Lafontan, Michel Desmarres, Sebastiano Brida
- article
- MRS Proceedings, 2008, 1139
- Accès au bibtex
-
- titre
- Austenite decomposition in Fe-Mn-Al-C alloys
- auteur
- I. Zuazo, Y. Brechet, Philippe Maugis
- article
- Minerals, Metals & Materials Soc, 2008, 1 and 2 (Proceedings), pp.1245-1253
- Accès au bibtex
-
- titre
- Viscoplastic forming of mg bulk metallic glasses in the supercooled liquid region
- auteur
- Sylvain Puech, J.J. Blandin, Jean-Louis Soubeyroux
- article
- Metallurgical and Materials Transactions A, 2008, 39A (8), pp.1874-1881. ⟨10.1007/s11661-007-9458-1⟩
- Accès au bibtex
-
- titre
- Morphology of WC grains in WC-Co alloys
- auteur
- S. Lay, C.H. Allibert, M. Christensen, G. Wahnstrom
- article
- Materials Science and Engineering: A, 2008, 486, pp.253-261
- Accès au bibtex
-
- titre
- A Numerical Tool to estimate SOFC Mechanical Degradation: Case of the Planar Cell Configuration
- auteur
- J. Laurencin, J. Delette, F. Lefebvre-Joud, M. Dupeux
- article
- Journal of the European Ceramic Society, 2008, 28, pp.1857-1869
- Accès au bibtex
-
- titre
- Nanopatterned CoPt alloys with perpendicular magnetic anisotropy
- auteur
- D. Makarov, E. Bermudez-Urena, O.G. Schmidt, F. Liscio, M. Maret, C. Brombacher, S. Schultze, M. Hietschold, M. Albrecht
- article
- Applied Physics Letters, 2008, 93, pp.153112-1 153112-3
- Accès au bibtex
-
- titre
- Supercooled silicon : evidence of a Liquid-liquid phase transition
- auteur
- N. Jakse, A. Pasturel
- article
- Conférence Internationale on Materials Discovery and Databases : Materials Informatics and DFT, 2008, Algeria
- Accès au bibtex
-
- titre
- Mouillage et adhésion dans les systèmes métal/oxyde
- auteur
- N. Eustathopoulos
- article
- Ecole thématique “La surface des verres”, 2008, Paris, France
- Accès au bibtex
-
- titre
- Magnetohydrodynamic turbulence at low magnetic Reynolds number
- auteur
- B. Knaepen, R. Moreau
- article
- Annual Review of Fluid Mechanics, 2008, 40, pp.25-45
- Accès au bibtex
-
- titre
- Glass Forming Ability and Short-Range Order in a Binary Bulk Metallic Glass by Ab Initio Molecular Dynamics
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Applied Physics, 2008, 93, pp.113104
- Accès au bibtex
-
- titre
- Impact of the fertilization and the urban wastes on the quality of the underground water : application of the European Framework Directive in the field of water policy (2000/60/EC).
- auteur
- Dina Serhal, D. Bernard, Sabine Bastin-Lacherez, Jamal El Khattabi, Isam Shahrour, E. Carlier
- article
- Environmental Geology, 2008, Springer
- Accès au bibtex
-
- titre
- MgO growth by liquid injection chemical vapor deposition : experiments, modeling and simulation
- auteur
- M. Manin, M. Pons, H. Rouch, S. Thollon
- article
- Annales de Chimie - Science des Matériaux, 2008, 33, pp.159-172
- Accès au bibtex
-
- titre
- The strength of friction stir welded and friction stir processed aluminium alloys
- auteur
- M.J. Starink, A. Deschamps, S.C. Wang
- article
- Scripta Materialia, 2008, 58 (5), pp.377-382. ⟨10.1016/j.scriptamat.2007.09.061⟩
- Accès au texte intégral et bibtex
-
- titre
- New approaches to the reconstruction in Atom Probe Tomography and applications to organic materials analysis
- auteur
- B. Gault, W. Yang, M.P. Moody, F. Braet, F. de Geuser, S.P. Ringer
- article
- 51st International Field Emission Symposium (IFES), 2008, Perth, Australia
- Accès au bibtex
-
- titre
- Thermoconvective instabilities of molten glass heated by direct induction in cold crucible
- auteur
- E. Sauvage, A. Gagnoud, Y. Fautrelle, P. Brun, J. Lacombe
- article
- MEP 2008, Modelling For Electromagnetic Processing, 2008, Hanover, Germany. pp.151-156
- Accès au texte intégral et bibtex
-
- titre
- 3D statistical analysis of a copper powder sintering observed in situ by synchrotron microtomography
- auteur
- Alexander Vagnon, J.P. Rivière, Jean-Michel Missiaen, D. Bellet, Marco Di Michiel, Charles Josserond, Didier Bouvard
- article
- Acta Materialia, 2008, 56 (5), pp.1084-1093. ⟨10.1016/j.actamat.2007.11.008⟩
- Accès au texte intégral et bibtex
-
- titre
- Solid State Spreading and Sintering of Multiphase Materials
- auteur
- J.M. Missiaen
- article
- Materials Science and Engineering: A, 2008, 475, pp.2-11
- Accès au bibtex
-
- titre
- Dislocation bends in a film/substrate heterostructure
- auteur
- S. Youssef, S. Neily, A.K. Gutakowskii, R. Bonnet
- article
- Scripta Materialia, 2008, 58, pp.1-4
- Accès au bibtex
-
- titre
- The Role of Zr in the High-temperature Oxidation of Fe3Al
- auteur
- K. Przybylski, S. Chevalier, P. Juzon, A. Galerie, G. Borchardt, O. Heintz, J.P. Larpin
- article
- Materials Science Forum, 2008, 595-598 (1-2), pp.1103-1110
- Accès au bibtex
-
- titre
- Solid Phase Crystallized Silicon Thin Films Deposited by High Rate Electron Beam Evaporation: improvedstructural and electrical properties
- auteur
- C. Secouard, C. Ducros, Pere Roca I Cabarrocas, T. Duffar, B. Gorka, A. Fosca, Frédéric Sanchette
- article
- 23rd Europ. Photovoltaic Solar Energy Conf. 1st to 5th September 2008, Valencia, Spain, 2008, France
- Accès au bibtex
-
- titre
- Mathematical Analysis of the Oscillations of a Liquid Metal Drop Submitted to low frequency Magnetic Fields
- auteur
- K. Spragg, A. Sneyd, Y. Fautrelle
- article
- MEP 2008, Modelling for Electromagnetic Processing, 2008, France. pp.135-142
- Accès au bibtex
-
- titre
- Comments on the Quantification of Mechanical Adhesion Energy of Thermal Oxide Scale on Metallic Substrate Using Tensile Test
- auteur
- S. Chandra-Ambhorn, P. Promdirek, G. Lothongkum, Y. Wouters, A. Galerie
- article
- VIIth Symposium on High Temperature Corrosion and Protection of Materials, 2008, France. pp.907-914
- Accès au bibtex
-
- titre
- Numerical study of 3D-compressions of entangled materials
- auteur
- C. Barbier, R. Dendievel, D. Rodney
- article
- Computational Materials Science, 2008, publié en ligne le 15/08/2008
- Accès au bibtex
-
- titre
- Short term oxidation of stainless steels during final annealing
- auteur
- A. Galerie, M.T. Tran, L. Combarmond, Y. Wouters, S. Gonzales
- article
- VIIth Symposium on High Temperature Corrosion and Protection of Materials, 2008, France. pp.601-608
- Accès au bibtex
-
- titre
- Wetting and brazing of stainless steels by copper-silver eutectic
- auteur
- O. Kozlova, R. Voytovych, M.F. Devismes, N. Eustathopoulos
- article
- Materials Science and Engineering: A, 2008, A495, pp.96-101
- Accès au bibtex
-
- titre
- STABILITY CRITERION FOR THE DETERMINATION OF THE PRIMARY ARM SPACING
- auteur
- A.I. Ciobanas, A. Noeppel, Y. Fautrelle
- article
- International Journal of Cast Metals Research, 2008, in press
- Accès au bibtex
-
- titre
- 300 mm Multi Level Air Gap Integration for Edge Interconnect Technologies and Specific High Performance Applications
- auteur
- R. Gras, F. Gaillard, D. Bouchu, A. Farcy, E. Icard, B. Petitprez, J.C. Le-Denmat, L. Pain, J. Bustos, P.H. Haumesser, P. Brun, G. Imbert, L. Clement, C. Borowiak, M. Rivoire, C. Euvrard, V. Arnal, S. Olivier, S. Moreau, M. Mellier, T. Chevolleau, G. Passemard, J. Torres
- article
- IITC, Interconnect Technology Conference, 2008, san francisco, United States
- Accès au bibtex
-
- titre
- Dissolutive wetting of Si by molten Cu
- auteur
- P. Protsenko, O. Kozlova, R. Voytovych, N. Eustathopoulos
- article
- Journal of Materials Science, 2008, 43, pp.5669-5671
- Accès au bibtex
-
- titre
- Reactive infiltration of porous graphite by NiSi alloys
- auteur
- V. Bougiouri, R. Voytovych, N.R. Calderon, J. Narciso, N. Eustathopoulos
- article
- Acta Materialia, 2008, 56, pp.2237-2246
- Accès au bibtex
-
- titre
- Effects of the simultaneous imposition of electromagnetic and magnetic forces on the solidification structure of pure Al and Al-4.5 wt.%Cu alloy
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Journal of Materials Processing Technology, 2008, 195, pp.125-134
- Accès au bibtex
-
- titre
- High-magnetic-field-induced solidification of diamagnetic Bi
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Scripta Materialia, 2008, 59 (4), pp.407-410
- Accès au bibtex
-
- titre
- Shear-banding and serrated flow in Bulk Metallic Glasses
- auteur
- A.R. Yavari
- article
- Presentation pleniare d'ouverture International Symp. On Metastable and Nanomaterials ISMANAM-2008, 2008, Argentina
- Accès au bibtex
-
- titre
- 3D Numerical Modelling of Coupled Phenomena in Induced Processes of Heat Treatment with Malice
- auteur
- P. Triwong, A. Gagnoud
- article
- Serbian Journal Of Electrical Engineering, 2008, 5 (1), pp.87-98
- Accès au bibtex
-
- titre
- Characterization of preprecipitation stages by atom probe tomography and small-angle X-ray scattering in an Al-Li-Cu-Mg-Ag alloy
- auteur
- Williams Lefebvre, K. Hoummada, B. Decreus, F. de Geuser, A. Deschamps
- article
- International Conference on Aluminium Alloys (ICAA 11), 2008, Aachen, Germany
- Accès au bibtex
-
- titre
- Estimation of the reconstruction parameters for Atom Probe Tomography
- auteur
- B. Gault, F. de Geuser, L.T. Stephenson, M. P. Moody, B. C. Muddle, S.P. Ringer
- article
- 20th Australian Conference on Microscopy and Microanalysis (ACMM), 2008, Perth, Australia
- Accès au bibtex
-
- titre
- Thermal alumina scales on FeCrAl: characterization and growth mechanism
- auteur
- S. Chevalier, A. Galerie, O. Heintz, R. Chassagnon, Alexandre Crisci
- article
- Materials Science Forum, 2008, 595-598 (1-2), pp.915-922
- Accès au bibtex
-
- titre
- Internal stresses and stability of the tetragonal phase in zirconia thin layers deposited by OMCVD
- auteur
- B. Benali, A.M. Huntz, M. Andrieux, M. Ignat, S. Poissonet
- article
- Applied Surface Science, 2008, 254, pp.5807-5813
- Accès au bibtex
-
- titre
- Precipitation microstructures in a 6056 alloy after FSW
- auteur
- C. Gallais, A. Denquin, Y. Brechet, G. Lapasset
- article
- Materials Science and Engineering: A, 2008, 130 (77), pp.496
- Accès au bibtex
-
- titre
- The comparative effectiveness of Nb solute and NbC precipitates at impeding grain-boundary motion in Nb steels
- auteur
- C. Hutchinson, H. Zurob, C. Sinclair, Y. Brechet
- article
- Scripta Materialia, 2008, 59 (6), pp.635-637
- Accès au bibtex
-
- titre
- On the dynamic fragmentation of laser shock-melted tin
- auteur
- T. de Resseguier, L. Signor, A. Dragon, M. Boustie, L. Berthe
- article
- Applied Physics Letters, 2008, 92 (13), pp.00
- Accès au bibtex
-
- titre
- Comments on the quantification of mechanical adhesion energy of thermal oxide scale on metallic substrate using tensile test
- auteur
- S. Chandra-Ambhorn, P. Promdirek, G. Lothongkum, Y. Wouters, A. Galerie
- article
- Materials Science Forum, 2008, 595-598, pp.907-914
- Accès au bibtex
-
- titre
- Measurement of the fracture energy at the interface between porous cathode layer and electrolyte in planar Solid Oxide Fuel Cells
- auteur
- G. Delette, J. Laurencin, M. Dupeux, J.B. Doyer
- article
- Scripta Materialia, 2008, 59 (1), pp.31-34
- Accès au bibtex
-
- titre
- PhotoElectroChemical characterization of chromia scales thermally grown on various substrates
- auteur
- Y. Wouters, A. Galerie, J.P. Petit
- article
- Materials Science Forum, 2008, 595-598, pp.1181-1188
- Accès au bibtex
-
- titre
- Noncrystalline structure created through ensemble of clusters in metastable cubic Zr2Ni structure by their random rotations and subsequent annealing
- auteur
- A. Takeuchi, K. Yubuta, Y. Yokoyama, A.R. Yavari, A. Inoue
- article
- Intermetallics, 2008, 16, pp.774-778
- Accès au bibtex
-
- titre
- Imaging by PhotoElectroChemical techniques of Laves-phases Γ-Zr(Fe,Cr)2 thermally oxidized on Zircaloy-4
- auteur
- F. Atmani, Y. Wouters, A. Galerie, J.P. Petit
- article
- Materials Science Forum, 2008, 595-598, pp.571-579
- Accès au bibtex
-
- titre
- Comparison between analytical and numeric determination of the interface curvature during dewetted Bridgman crystal growth
- auteur
- S. Epure, T. Duffar, L. Braescu
- article
- Journal of Crystal Growth, 2008, pp.1559-1563
- Accès au bibtex
-
- titre
- Morphological instability of cell and dendrite during directional solidification under a high magnetic field
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Acta Materialia, 2008, 56 (13), pp.3146-3161
- Accès au bibtex
-
- titre
- Phase distribution and phase structure control through a high gradient magnetic field during the solidification process
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Materials & Design, 2008, 29 (9), pp.1796-1801
- Accès au bibtex
-
- titre
- Numerical Modelling of Coupled Phenomena within Mechanical Stirred Molten Glass Bath Heated by Induction
- auteur
- L. Jacoutot, Y. Fautrelle, A. Gagnoud, P. Brun, J. Lacombe
- article
- Chemical Engineering Science, 2008, 63 (9), pp.2391-2401
- Accès au bibtex
-
- titre
- La spectroscopie de pertes proches une alternative pertinente pour étudier les “nanos”
- auteur
- C. Mj
- article
- Recueil des résumés des communications CMJ, 2008, France
- Accès au bibtex
-
- titre
- Liquid-liquid phase transition in supercooled silicon : Structural, electronic and dynamical aspects
- auteur
- A. Pasturel
- article
- 2008 MRS Fall Meeting, 2008, United States
- Accès au bibtex
-
- titre
- Molecular dynamics simulation study of the interdiffusion properties of liquid Na-K alloys
- auteur
- J.F. Wax, N. Jakse
- article
- Journal of Physics, 2008, 98, pp.042001
- Accès au bibtex
-
- titre
- From ab intio calculations to Phase Diagrams
- auteur
- A. Pasturel
- article
- Conférence Internationale on Computational Materials Science, 2008, Mexico
- Accès au bibtex
-
- titre
- Ab initio approaches to designing thermodynamic properties of materials
- auteur
- A. Pasturel, N. Jakse
- article
- Materials Issues for Generation IV system, 2008, pp.141
- Accès au bibtex
-
- titre
- Instabilités thermoconvectives dans un bain de verre fondu renforcées par un chauffage inductif tridimensionnel
- auteur
- E. Sauvage, P. Brun, Y. Fautrelle, J. Lacombe, A. Gagnoud
- article
- SFT 2008, 2008, France. pp.1-6
- Accès au bibtex
-
- titre
- Clear band formation simulated by Dislocation Dynamics: role of helical turns and pile-ups
- auteur
- T. Nogaret, D. Rodney, M. Fivel, C. Robertson
- article
- Journal of Nuclear Materials, 2008, 380, pp.22-29
- Accès au bibtex
-
- titre
- Electrochemical testing of the sensitivity to exfoliation corrosion of high strength 7XXX series aluminium alloys: Towards the understanding of the corrosion mechanisms » in:
- auteur
- T. Marlaud, B. Baroux, A. Deschamps
- article
- ICAA 2008, 2008, France. pp.2073-2079
- Accès au bibtex
-
- titre
- Influence of the C potential and a Cr addition on the microstructure evolution in the solid state in WC-Co alloys
- auteur
- V. Bounhoure, J.M. Missiaen, S. Lay, E. Pauty
- article
- Ninth International Conference on the Science of Hard Materials, 2008, Jamaica. pp.141-142
- Accès au bibtex
-
- titre
- Towards understanding of trabecular bone failure by deformation localisation
- auteur
- J.W.C. Dunlop, R. Weinkamer, Y. Brechet, P. Fratzl
- article
- International-Bone-and-Mineral-Society Dabos workshop on Bone Biology and Therapeutics, 2008, Davos, Switzerland
- Accès au bibtex
-
- titre
- The influence of plastic instabilties on the mechanical properties of a high-manganese austenitic FeMnC steel
- auteur
- S. Allain, P. Cugy, C. Scott, J.P. Chateau, A. Rusinek, A. Deschamps
- article
- International Journal of Materials Research, 2008, 99, pp.734-738
- Accès au bibtex
-
- titre
- Compositionally graded steels: The effect of partial decarburization on the mechanical properties of spherodite and pearlite
- auteur
- F. Lefevre-Schlick, O. Bouaziz, Y. Brechet, D. Embury
- article
- xxx, 2008, 497 (1-2), pp.80-87
- Accès au bibtex
-
- titre
- High temperature deformation of a Mg-Cu-Gd bulk metallic glass: Impact of partial crystallization
- auteur
- Sylvain Puech, J.J. Blandin, Jean-Louis Soubeyroux
- article
- Reviews on Advanced Materials Science, 2008, 18 (3), pp.311-316
- Accès au bibtex
-
- titre
- Assessment of the course and division patterns of the middle cerebral artery M1 segment. Transcranial power doppler compared with 3D time-of-flight magnetic resonance angiography at 3 T.
- auteur
- Fabrice Vuillier, Elisabeth Medeiros, Laurent Tatu, Françoise Cattin, Jean-François Bonneville, Thierry Moulin
- article
- Cerebrovascular Diseases, 2008, 26 (3), pp.259-65. ⟨10.1159/000147453⟩
- Accès au bibtex
-
- titre
- Coordination measurements in compacted NaCl irregular powders using X-ray microtomography
- auteur
- A. Marmottant, L. Salvo, C.L. Martin, A. Mortensen
- article
- Journal of the European Ceramic Society, 2008, 28, pp.2441-2449
- Accès au bibtex
-
- titre
- Discrete dislocation dynamics : Main recent break-through in the modelling of dislocation collective behaviour
- auteur
- M.C. Fivel
- article
- Comptes Rendus. Physique, 2008, 9, pp.427-436
- Accès au bibtex
-
- titre
- Advanced analytical transmission electron microscopy to investigate the nano-graded materials properties
- auteur
- S. Richter, A. Schwedt
- article
- 14th European Microscopy Conference Volume 2 - Materials Science Springer, 2008, France
- Accès au bibtex
-
- titre
- Viscoplasticity and plastic heterogeneities in ice single crystals loaded in torsion: a comparison between experiments and discrete dislocation dynamics simulations
- auteur
- J. Chevy, M.C. Fivel, P. Duval
- article
- An International Conference on the Fundamentals of Plastic Deformation DISLOCATION 2008, 2008, France
- Accès au bibtex
-
- titre
- Dislocation dynamics simulations of plasticity in Fr laths at low temperature
- auteur
- J. Chaussidon, C. Robertson, D. Rodney, M. Fivel
- article
- Acta Materialia, 2008, 56, pp.5451-5465
- Accès au bibtex
-
- titre
- Characterisation of wettability in gas diffusion layer in PEMFCs
- auteur
- V. Parry, E. Appert, J.C. Joud
- article
- FDFC-08, 2008, France
- Accès au bibtex
-
- titre
- Structural and dynamic properties of liquid CuxZr1-x alloys by ab initio molecular dynamics
- auteur
- A. Pasturel, N. Jakse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78, pp.214204
- Accès au bibtex
-
- titre
- AEQUATIO : un solveur EF multi-équations, multi-maillages
- auteur
- Y. Du Terrail Couvat, A. Gagnoud, P. Triwong
- article
- NUMELEC 2008, 2008, Belgium. pp.14-15
- Accès au bibtex
-
- titre
- Design of vertical Bridgman experiments under alternating magnetic field
- auteur
- A. Mitric, T. Duffar
- article
- Journal of Crystal Growth, 2008, 310, pp.1511-1517
- Accès au bibtex
-
- titre
- The dynamo effect Foreword
- auteur
- R. Moreau
- article
- Comptes Rendus. Physique, 2008, 9 (7), pp.681-682
- Accès au bibtex
-
- titre
- In Situ X-Ray tomography studies of microstructural evolution combined with 3D modelling
- auteur
- Jean-Yves Buffiere, Peter Cloetens, Wolfgang Ludwig, E. Maire, Luc Salvo
- article
- MRS Bulletin, 2008, 33, pp.611-619. ⟨10.1557/mrs2008.126⟩
- Accès au texte intégral et bibtex
-
- titre
- N –Type Multicrystalline Silicon Wafers from the Metallurgical Route.
- auteur
- S. Martinuzzi, C. Trassy, I. Périchaud, J. Degoulange
- article
- IEEE Photovoltaic Specialist Conference, 2008, United States. pp.6
- Accès au bibtex
-
- titre
- C-36 “Gas bubbles in shaped sapphire”
- auteur
- T. Duffar, O. Madalin Bunoiu, I. Nicoara
- article
- Journées Annuelles SF2M 4-6 juin 2008, Paris, 2008, France. in press
- Accès au bibtex
-
- titre
- Modélisation d'un brassage électromagnétique utilisant un couplage éléments finis et volumes finis
- auteur
- X.T. Vu, J.P. Féraud, D. Ode, Y. Du Terrail Couvat
- article
- NUMELEC 2008, 2008, Belgium
- Accès au bibtex
-
- titre
- Electronic contribution for materials of Hume-Rothery type: a first-principles enlightment to !xalphad modelling
- auteur
- S.G. Fries, A. Pasturel
- article
- Conférence Calphad XXXVII, 2008, Finland
- Accès au bibtex
-
- titre
- Effect of the final annealing of cold rolled stainless steels sheets on the electronic properties and pit nucleation resistance of passive films
- auteur
- J. Amri, T. Souier, B. Malki, B. Baroux
- article
- Corrosion Science, 2008, pp.431-435
- Accès au bibtex
-
- titre
- Contact resistance determination with nanoindentation tools
- auteur
- C. Seguineau, A. Broue, J. Dhennin, J.M. Desmarres, A. Pothier, X. Lafontan, M. Ignat
- article
- Surface Modification Technologies XXI, 2008, France. pp.13-22
- Accès au bibtex
-
- titre
- Proprietes structurales, dynamiques et electroniques du silicium et du bore liquide et surfondu par simulation de dynamique moleculaire ab initio
- auteur
- N. Jakse, A. Pasturel
- article
- 11èmes Journées de la Matière Condensée (JMC11), 2008, France
- Accès au bibtex
-
- titre
- Dislocation dynamics simulations of plasticity in Fe laths at low temperature: Dislocation structures
- auteur
- J. Chaussidon, C. Robertson, D. Rodney, M. Fivel
- article
- Acta Materialia, 2008, 56, pp.5466-5476
- Accès au bibtex
-
- titre
- Materials science- The cutting edge of plasma etching
- auteur
- T. Lill, O. R. Joubert
- article
- Science, 2008, pp.319, 1050-1051, (2008)
- Accès au bibtex
-
- titre
- Cu Rich Nanostructured Alloys with Enhanced Mechanical Properties
- auteur
- K. Georgarakis, K. Ota, A. Lemoulec, F. Charlot, A.R. Yavari, G. Vaughan
- article
- MRS-Fall Symp. Proc., 2008, 1056, pp.1056-HH08-52
- Accès au bibtex
-
- titre
- Thin oxide film growth during final annealing of stainless steels
- auteur
- A. Galerie, M.T. Tran, A. Loucif, Y. Wouters, S. Gonzales
- article
- 4th IMR Symposium on High Temperature Corrosion and Protection of Materials - Chinese Academy of Sciences, 2008, China
- Accès au bibtex
-
- titre
- Characterization of low-k SiOCH dielectric for 45 nm technology and link between the dominant leakage path and the breakdown localization
- auteur
- M. Vilmay, Daniel Roy, F. Volpi, J.-M. Chaix
- article
- Microelectronic Engineering, 2008, 85 (10), in press. ⟨10.1016/j.mee.2008.04.045⟩
- Accès au bibtex
-
- titre
- Precipitation and hardening behaviour in FeCoW alloys
- auteur
- P. Galimberti, A. Antoni-Zdziobeck, S. Lay, S. Coindeau, M. Véron, F. Bley, M. de Boissieu
- article
- Ninth International Conference on the Science of Hard Materials, 2008, Jamaica. pp.168-169
- Accès au bibtex
-
- titre
- Characterization of low-k SiOCH dielectric for 45nm technology and link between the dominant leakage path and the breakdown localization
- auteur
- M. Vilmay, Daniel Roy, F. Volpi, J.M. Chaix
- article
- Materials for Advanced Metallization Conference (MAM 2008), 2008, Dresden, Germany. pp.2075-2078
- Accès au bibtex
-
- titre
- Reproducible Te-doped InSb experiments in Microgravity Science Glovebox at the International Space Station
- auteur
- A.G. Ostrogorsky, C. Marin, M.P. Volz, W.A. Bonner, T. Duffar
- article
- Journal of Crystal Growth, 2008, 310, pp.364-371
- Accès au bibtex
-
- titre
- Effect of an axial high magnetic field on the microstructure in directionally solidified Pb-Sn eutectic alloy
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Journal of Crystal Growth, 2008, 310 (15), pp.3584-3589
- Accès au bibtex
-
- titre
- Influence of a TiO2 surface treatment on the growth and adhesion of alumina scales on FeCrAl alloys
- auteur
- A. Galerie, E. N'Dah, Y. Wouters, F. Roussel-Dherbey
- article
- Materials and Corrosion / Werkstoffe und Korrosion, 2008, 59 (5), pp.423-428
- Accès au bibtex
-
- titre
- On the nonlocal nature of dislocation nucleation during nanoindentation
- auteur
- R.E. Miller, D. Rodney
- article
- Journal of the Mechanics and Physics of Solids, 2008, 56, pp.1203-1223
- Accès au bibtex
-
- titre
- In-situ X-ray diffraction of mechanically milled beta-Al3Mg2 powders
- auteur
- S. Scudino, M. Sakaliyska, M. Stoica, K.B. Surreddi, F. Ali, G. Vaughan, A.R. Yavari, J. Eckert
- article
- physica status solidi (RRL) - Rapid Research Letters, 2008, 2 (6), pp.272-274. ⟨10.1002/pssr.200802205⟩
- Accès au bibtex
-
- titre
- Experiments and numerical simulations of interlocked materials
- auteur
- C. Brugger, Y. Brechet, M. Fivel
- article
- International Conference on Multifunctional Materials and Structures, 2008, Hong Kong, China
- Accès au bibtex
-
- titre
- Factors governing interfacial reactions in liquid metal/non-oxide ceramic systems: Ni based alloy-Ti/sintered AlN system
- auteur
- A. Koltsov, F. Hodaj, N. Eustathopoulos
- article
- Journal of the European Ceramic Society, 2008, 29, pp.145-154
- Accès au bibtex
-
- titre
- Shaft loaded blister test for metal/ceramic brazing fracture
- auteur
- O. Kozlova, M. Braccini, N. Eustathopoulos, M.F. Devismes, M. Dupeux
- article
- Materials Letters, 2008, 62 (21-22), pp.3626-3628
- Accès au bibtex
-
- titre
- Natural and persistent superhydrophilicity of SiO2/TiO2 and TiO2/SiO2 bi-layer films
- auteur
- S. Permpoon, M. Houmard, D. Riassetto, L. Rapenne, G. Berthomé, B. Baroux, J.C. Joud, M. Langlet
- article
- Thin Solid Films, 2008, 516 (6), pp.957-966
- Accès au bibtex
-
- titre
- Influence of additional elements on temperature characteristics of amorphous Hf-alloys
- auteur
- N. Nikolov, A.R. Yavari
- article
- Comptes rendus de l'Académie bulgare des Sciences, 2008, 61, pp.603-608
- Accès au bibtex
-
- titre
- Influence of the polymeric porogen on porosity and on mechanical properties of spin coated Ultra Low K dielectrics
- auteur
- V. Jousseaume, G. Rolland, D. Babonneau, J.P Simon
- article
- The Film Layers, 2008, in press
- Accès au bibtex
-
- titre
- Development of diffractive antireflection structures on ZnSe for high power CO2 laser applications
- auteur
- F. Reversat, T. Berthou, Stephane Tisserand, L. Dupuy, S. Gautier, P. Muys, D. Delbeke, D. Grojo, D. M. Laraichi, P. Delaporte
- article
- Micro-Optics 2008, 2008, Belgium. pp.6992 (2008) I9920
- Accès au bibtex
-
- titre
- Mechanical cycling for electrical performances of materials used in MEMS : Application to gold micro-switches.
- auteur
- C. Seguineau, A. Broue, J. Dhennin, J.M. Desmarres, Arnaud Pothier, X. Lafontan, M. Ignat
- article
- metals materials and Processes, 2008, 20 (2), pp.1-24
- Accès au bibtex
-
- titre
- KINETICS OF PRECIPITATION AND DISSOLUTION IN MODEL FeCV AND FeCVNb ALLOY, AND A MICROALLOYED ULTRA HIGH STRENGTH SPRING STEEL
- auteur
- D. Acevedo, Michel Perez, T. Epicier, E. Kozeschnik, F. Perrard, T. Sourmail
- article
- NEW DEVELOPMENTS ON METALLURGY AND APPLICATIONS OF HIGH STRENGTH STEELS: BUENOS AIRES 2008, VOLS 1 AND 2, PROCEEDINGS,, 2008, 184 THORN HILL RD, WARRENDALE, PA 15086-7514 USA, Unknown Region. pp.987+
- Accès au bibtex
-
- titre
- FeNbB bulk metallic glass with high boron content
- auteur
- M. Stoica, K. Hajlaoui, J. Das, A.R. Yavari
- article
- Reviews on Advanced Materials Science, 2008, 18 (1), pp.61-65
- Accès au bibtex
-
- titre
- Brazing of AlN to SiC by Pr silicides: Physicochemichal aspects
- auteur
- A. Koltsov, F. Hodaj, N. Eustathopoulos
- article
- Materials Science and Engineering: A, 2008, a495, pp.259-264
- Accès au bibtex
-
- titre
- Further insight into mechanisms of solid state interactions in UMo/Al system
- auteur
- F. Mazaudier, C. Proye, F. Hodaj
- article
- Journal of Nuclear Materials, 2008, 377, pp.476-485
- Accès au bibtex
-
- titre
- Current and voltage distribution ina tubular SOFC
- auteur
- J.M. Klein, Y. Bultel, M. Pons, P. Ozil
- article
- Journal of Applied Electrochemistry, 2008, 38, pp.497-505
- Accès au bibtex
-
- titre
- Glass transition, thermal expansion and relaxation in B2O3 glass measured by time-resolved X ray diffraction
- auteur
- W.J. Botta, K. Ota, K. Hajlaoui, G. Vaughan, A.R. Yavari
- article
- Journal of Non-Crystalline Solids, 2008, 354, pp.325-327
- Accès au bibtex
-
- titre
- Enhanced persistence of natural super-hydrophilicity in TiO2-SiO2 composite thin films deposited via a sol-gel route
- auteur
- M. Houmard, D. Riassetto, F. Roussel, A. Bourgeois, G. Berthome, J.C Joud, M. Langlet
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2008, 602 (21), pp.3364-3374
- Accès au bibtex
-
- titre
- Local study of defects during sintering of UO2: image processing and quantitative analysis tools
- auteur
- E. Girard, J.M. Chaix, F. Valdivieso, P. Goeuriot, J. Léchelle
- article
- Image Analysis & Stereology, 2008, 27, pp.79-85
- Accès au bibtex
-
- titre
- The role of capillarity in the gravitational molding of metallic glass pieces
- auteur
- C. Haon, H. Saqure, M. Daniel, B. Drevet, D. Camel, J.P. Garandet, Jean-Marc Pelletier, N. Eustathopoulos
- article
- Materials Science and Engineering: A, 2008, A495, pp.215-221
- Accès au bibtex
-
- titre
- Smectic ordering in side-chain liquid crystalline polymers (LCPs) and in LCP-silica nanocomposites
- auteur
- F.V. Pereira, A.A. Merlo, F. Bley, I. Morfin, O.M. Ritter, N.P. da Silveira, F. Ehrburger-Dolle
- article
- Liquid Crystals, 2008, 35, pp.299-313
- Accès au bibtex
-
- titre
- Evolution of the lifetime model based on the Poole-Frenkel conduction for the SiOCH low-k dielectric used for the sub 65nm technologies
- auteur
- M. Vilmay, Daniel Roy, F. Volpi, J.M. Chaix
- article
- Advanced Metallization Conference (AMC) 2008, 2008, United States
- Accès au bibtex
-
- titre
- Thermodynamic activity measurements of iron in Fe-Zr alloys by high temperature mass spectrometry
- auteur
- S. Chatain, B. Larousse, C. Maillault, C. Guenau, C. Chatillon
- article
- Journal of Alloys and Compounds, 2008, 457 (106), pp.157-163
- Accès au bibtex
-
- titre
- Agglomeration of diesel particles by an electrostatic agglomerator under positive DC voltage: Experimental study
- auteur
- R. Boichot, A. Bernis, E. Gonze
- article
- Journal of Electrostatics, 2008, 66, pp.235-245
- Accès au bibtex
-
- titre
- Materials design from ab initio simulations
- auteur
- A. Pasturel
- article
- 4th International Conference on Materials Modelling, 2008, Germany
- Accès au bibtex
-
- titre
- Ab initio Methods in high temperature corrosion
- auteur
- A. Pasturel
- article
- HTCPM-High Temperature Corrosion and protection of Materials, 2008, France
- Accès au bibtex
-
- titre
- Structural and thermodynamic properties of liquid and amorphous materials
- auteur
- A. Pasturel
- article
- Conférence NSF I/UCRC CCMD 2008 Fall meeting, 2008, United States
- Accès au bibtex
-
- titre
- Dynamic aspects of the Liquid-Liquid Phase Transformation in Silicon
- auteur
- N. Jakse, A. Pasturel
- article
- Journal of Chemical Physics, 2008, 129, pp.104503
- Accès au bibtex
-
- titre
- Plasma etching processes for future electronic devices
- auteur
- E. Pargon, M. Martin, E. Sungauer, O. Luere, K. Menguelti, X. Mellhaoui, T. Chevolleau, G. Cunge, L. Vallier, O. R. Joubert, T. Morel, S. Barnola, J. Foucher, T. Lill
- article
- Journées nationales sur les technologies émergentes en micro-nano fabrication, (JNTE), 2008, toulouse, France
- Accès au bibtex
-
- titre
- Undercooled liquids : a new route to novel phases
- auteur
- N. Jakse, A. Pasturel
- article
- Conférence Internationale on Materials Discovery and Databases : Materials Informatics and DFT, 2008, Algeria
- Accès au bibtex
-
- titre
- Role of helical turns in the formation of clear bands in irradiated metals
- auteur
- D. Rodney
- article
- Multiscale Materials Modelling, 2008, United States
- Accès au bibtex
-
- titre
- Photoelectrochemical characterisation of chromia scales thermally grown on various substrates
- auteur
- Y. Wouters, A. Galerie, J.P. Petit
- article
- VIIth Symposium on High Temperature Corrosion and Protection of Materials, 2008, France. pp.1181-1188
- Accès au bibtex
-
- titre
- A transition from local equilibrium to paraequilibrium kinetics for ferrite growth in Fe-C-Mn: A possible role of interfacial segregation
- auteur
- H. Zurob, C. Hutchinson, A. Beche, G. Purdy, Y. Brechet
- article
- Acta Materialia, 2008, 56 (10), pp.2203-2211
- Accès au bibtex
-
- titre
- Numerical modelling of natural convection in molten glass heated by induction
- auteur
- L. Jacoutot, P. Brun, J. Lacombe, A. Bonnetier, R. Riva, A. Gagnoud, Y. Fautrelle
- article
- Chemical Engineering and Processing: Process Intensification, 2008, 47 (3), pp.449-455
- Accès au bibtex
-
- titre
- GISAXS on magnetic alloy nanostructures at BM02
- auteur
- M. Maret
- article
- "SCIENCE AND STUDENTS DAYS OF THE ESRF", 2008, Val Cenis, France
- Accès au bibtex
-
- titre
- Diffraction et difusion des rayons X appliquées à l'étude des transformations de phases avec diffusion
- auteur
- A. Deschamps
- article
- Ecole CNRS" Transformations avec diffusion", 2008, France
- Accès au bibtex
-
- titre
- Mechanical behavior of non sintered and sintered steel wool
- auteur
- J.P. Masse, K. Beyer, D. Bouvard, O. Bouaziz, Y. Brechet, L. Salvo
- article
- International Conference on Multifunctional Materials and Structures, 2008, Hong Kong, China
- Accès au bibtex
-
- titre
- Low-Temperature low-resistivity PEALD TiN using TDMAT under hydrogen redecing ambient
- auteur
- P. Caubet, T. Blomberg, R. Benaboud, C. Wyon, E. Blanquet, J.P. Gonchond, M. Juhel, P. Bouvet, M. Gros-Jean, J. Michaelos, C. Richard, B. Iteprat
- article
- Journal of The Electrochemical Society, 2008, 155 (8), pp.625-632
- Accès au bibtex
-
- titre
- On the intrinsic electrochemical nature of the inductance in EIS. A Monte Carlo simulation of the two-consecutive-step mechanism: the flat surface 2-D case
- auteur
- P. Cordoba-Torres, M. Keddam, R.P. Nogueira
- article
- Electrochimica Acta, 2008, 54, pp.518-523
- Accès au bibtex
-
- titre
- Bulk Grouwth of SiC
- auteur
- P. Wellmann, R. Müller, S.A. Sakwe, U. Küneck, P. Hens, M. Stockmeier, K. Konias, R. Hock, A. Mageri, M. Pons
- article
- MRS Spring Meeting, 2008, San Francisco, United States
- Accès au bibtex
-
- titre
- Two- and three-dimensional characterizations of hot tears in a Al-Mg-Si alloy laser weld
- auteur
- D. Fabregue, A. Deschamps, M. Suery, Henry Proudhon
- article
- Scripta Materialia, 2008, 59 (3), pp.324-327
- Accès au bibtex
-
- titre
- Dislocation dynamics and strain heterogeneities in ice single crystals: experiments and multiscale smulations
- auteur
- J. Chevy, M.C. Fivel, P. Duval
- article
- The Second International Conference on Heterogeneous Material Mechanics ICHMM2008, 2008, China
- Accès au bibtex
-
- titre
- Numerical Investigations of the Influence of Forced Convection Induced by a Trafvelling Magnetic Field during Solidification of metallic Alloys
- auteur
- A. Noeppel, O. Budenkova, Y. Fautrelle
- article
- MEP 2008, Modelling for Electromagnetic Processing, 2008, Hannover, Germany. pp.179-184
- Accès au bibtex
-
- titre
- Imaging by PhotoElectroChemical techniques of Laves-phases Zr(Fe,Cr)2 thermally oxidized on Zircaloy-4
- auteur
- F. Atmani, Y. Wouters, A. Galerie, J.P. Petit, Y. Dali, M. Tupin, P. Bossis
- article
- VIIth Symposium on High Temperature Corrosion and Protection of Materials, 2008, France. pp.571-578
- Accès au bibtex
-
- titre
- Analysis of Crystallisation Behaviour of Fe48Cr15Mo14Y2C15B6 Bulk Metallic Glass by Synchrotron Radiation
- auteur
- T.A. Baser, S. Enzo, G. Vaughan, A.R. Yavari, M. Baricco
- article
- Journal of Materials Research, 2008, in press
- Accès au bibtex
-
- titre
- Strain rate effect on deformation of Zr based Metallic Glass: In-situ tensile deformation in SEM analysis
- auteur
- K. Hajlaoui, M. Stoica, A. Lemoulec, F. Charlot, A.R. Yavari
- article
- Reviews on Advanced Materials Science, 2008, 18 (1), pp.23-26
- Accès au bibtex
-
- titre
- Discussion of nonconventional effects in solid-state sintering of cemented carbides
- auteur
- J.M. Missiaen
- article
- 5th Int. Conf. on Sintering (Sintering 2008), 2008, San Diego, United States
- Accès au bibtex
-
- titre
- Fabrication of (cemented carbides/steel) bilayered materials by Powder Metallurgy
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- 10th International Symposium on Multiscale, Multifunctional & Functionally Graded Materials (MM&FGM2008), 2008, Japan
- Accès au bibtex
-
- titre
- Alignment behavior of the primary Al3Ni phase in Al-Ni alloy under a high magnetic field
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Journal of Crystal Growth, 2008, 310 (15), pp.3488-3497
- Accès au bibtex
-
- titre
- Copper line topology impact on the reliability of SiOCH low-k dielectrics for the advanced 45nm technology node and
- auteur
- M. Vilmay, Daniel Roy, C. Monget, F. Volpi, J.M. Chaix
- article
- Integrated Reliability Workshop (IRW 2008), 2008, United States
- Accès au bibtex
-
- titre
- A periodically reversed flow driven by a modulated traveling magnetic field. Part II: Theoretical model
- auteur
- X.D. Wang, R. Moreau, Y. Fautrelle
- article
- Metallurgical and Materials Transactions B, 2008, 40 (1), pp.104-113
- Accès au bibtex
-
- titre
- Multicrystalline silicon wafers prepared from upgraded metallurgical feedstock.
- auteur
- J. Degoulange, I. Perichaud, C. Trassy, S. Martinuzzi
- article
- Solar Energy Materials and Solar Cells, 2008, 92 (10), pp.1269-1273
- Accès au bibtex
-
- titre
- Atom probe tomography and transmission electron microscopy study of T1 and theta' precipitation in an Al-Li-Cu-Mg-Ag
- auteur
- F. de Geuser, B. Gault, L.T. Stephenson, M. P. Moody, S. P. Ringer, B. C. Muddle
- article
- International Conference on Aluminium Alloys (ICAA 11), 2008, Aachen, Germany
- Accès au bibtex
-
- titre
- Derivation of anisotropic matrix for bi-dimensional strain-gradient elasticity behavior
- auteur
- Nicolas Auffray, R. Bouchet, Y. Brechet
- article
- 11th Euromech-Mecamat, 2008, Italy. pp.1-2
- Accès au bibtex
-
- titre
- X-ray phase contrast microtomography for the analysis of the fibrous microstructure of SMC composites
- auteur
- Thaihung Le, Pierre J.J. Dumont, L. Orgéas, D. Favier, Luc Salvo, Elodie Boller
- article
- Composites Part A: Applied Science and Manufacturing, 2008, 39, pp.91-103. ⟨10.1016/j.compositesa.2007.08.027⟩
- Accès au texte intégral et bibtex
-
- titre
- Influence of oxygen partial pressure on the wetting of SiC by a Co-Si alloy
- auteur
- O. Mailliart, F. Hodaj, V. Chaumat, N. Eustathopoulos
- article
- Materials Science and Engineering: A, 2008, a495, pp.174-180
- Accès au bibtex
-
- titre
- The H-sorption behaviour of ball-milled MgH2-5 mol.% FeF3 studied by in-situ small angle neutron scattering.
- auteur
- A.R. Yavari, S. Deledda, M. Vennstrom, A. Borissova, G. Fragneto
- article
- Reviews on Advanced Materials Science, 2008, 18 (7), pp.617-621
- Accès au bibtex
-
- titre
- UV and Visible Raman Spectroscopy Applied to s-Si/Si1-xGex and s-SOI Multi-layer Systems
- auteur
- Denis Rouchon, Jean-Michel Hartmann, Alexandre Crisci, Michel Mermoux
- article
- ECS Transactions, 2008, 16 (10), pp. 181-188. ⟨10.1149/1.2986767⟩
- Accès au bibtex
-
- titre
- Influence of the Alloying Elements on Pitting Corrosion of Stainless Steels: A Modeling Approach
- auteur
- B. Malki, T. Souier, B. Baroux
- article
- Journal of The Electrochemical Society, 2008, 12, pp.C583-C587
- Accès au bibtex
-
- titre
- Hydrogen filter press modelled by coupling fluent and flux-expert codes”
- auteur
- F. Jomard, J.P Féraud, J. Morandini, Y. Du Terrail Couvat, J.P. Caire
- article
- Russian Journal of Electrochemistry, 2008, 38, pp.297-308
- Accès au bibtex
-
- titre
- Characterization of key magnetohydrodynamic phenomena in PbLi flows for the US DCLL blanket
- auteur
- S. Smolentsev, R. Moreau, M. Abdou
- article
- Fusion Engineering and Design, 2008, 83, pp.771-783
- Accès au bibtex
-
- titre
- Local order and dynamic properties of liquid and undercooled CuxZr1-x alloys by ab initio molecular dynamics
- auteur
- N. Jakse, A. Pasturel
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78 (21), ⟨10.1103/PhysRevB.78.214204⟩
- Accès au bibtex
-
- titre
- A Dislocation Based Model for Low Amplitude Fatigue Behaviour of f.c.c. Single Crystals
- auteur
- Christophe Déprés, M. C. Fivel, Laurent Tabourot
- article
- Scripta Materialia, 2008, 155-156, pp.1105-1110. ⟨10.1016/j.scriptamat.2008.02.027⟩
- Accès au bibtex
-
- titre
- The Atlas system.
- auteur
- D. Frizon de Lamotte, M. Zizi, Y. Missenard, M. Hafid, M. El Azzouzi, René R, R.C. Maury, A. Charrière, Z. Taki, Mohamed Benammi, A. Michard
- article
- A. Michard. Geology in Morroco., Elsevier special publications, lecture notes in Earth Sciences, Springer, Berlin, pp.116:133-202, 2008
- Accès au bibtex
-
- titre
- The role of internal stresses on the plastic deformation of the Al-Mg-Si-Cu alloy AA6111
- auteur
- Henry Proudhon, W. Poole, X. Wang, Y. Brechet
- article
- Philosophical Magazine, 2008, 88 (5), pp.624-640
- Accès au bibtex
-
- titre
- Kinetics of heterogeneous GB precipitation: a Monte Carlo study
- auteur
- C. Hin, Y. Brechet, Philippe Maugis, F. Soisson
- article
- Acta Materialia, 2008, 56 (19), pp.5653
- Accès au bibtex
-
- titre
- Influence of adhesion and friction on the geometry of packings of spherical particles
- auteur
- C.L Martin, R.K Bordia
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2008, 77 (1-8), pp.031307
- Accès au bibtex
-
- titre
- Hot Tearing During Laser Butt Welding of 6xxx Aluminium Alloys: Process Optimisation and 2D/3D Characterisation of Hot Tears
- auteur
- D. Fabregue, A Deschamps, M Suery, H Proudhon
- article
- Hot Cracking Phenomena in Welds II, 2008, pp.241
- Accès au bibtex
-
- titre
- Micro-dispositif d'analyse d'échantillons liquides
- auteur
- Laurent Davoust, Jérôme Boutet, Cyril Picard
- article
- France, N° de brevet: FR2936167A1. 2008
- Accès au bibtex
-
- titre
- FeCrMoGaPCB BMGs: sample preparation, thermal stability and mechanical properties
- auteur
- F.O. Méar, F.G. Vaughan, A.R. Yavari, A.L. Greer
- article
- Philosophical Magazine Letters, 2008, in press
- Accès au bibtex
-
- titre
- Microstructural study of (Co-Ni-Fe) based alloys
- auteur
- B. Djerdjare, S. Lebaili, S. Lay
- article
- Materials Science and Engineering: A, 2008, 475, pp.336-342
- Accès au bibtex
-
- titre
- Accurat modeling of lattice dynamics in quasicrystals : cases Mg-Sc-Zn and Al-Mg-Zn
- auteur
- M. Mihalkovic, M. de Boissieu
- article
- 22d Conference of the Condensad Matter Division of the European Physical Society, 2008, Rome, Italy
- Accès au bibtex
-
- titre
- Kinetics of heterogeneous dislocation precipitation: a Monte Carlo study
- auteur
- C. Hin, Y. Brechet, Philippe Maugis, F. Soisson
- article
- Acta Materialia, 2008, 56 (19), pp.5535
- Accès au bibtex
-
- titre
- Inductive modulated calorimetry analytical model versus numerical simulation
- auteur
- P. Schetelat, J. Etay
- article
- COMPEL: The International Journal for Computation and Mathematics in Electrical and Electronic Engineering, 2008, 27 (2), pp.436-444
- Accès au bibtex
-
- titre
- Damage development during superplasticity of light alloys
- auteur
- R. Boissiere, J.J. Blandin, L. Salvo
- article
- Journal of Engineering and Technology, 2008, 130, pp.210141-210146
- Accès au bibtex
-
- titre
- Thermal alumina scales on FeCrAl: characterization and growth mechanism
- auteur
- S. Chevalier, A. Galerie, O. Heintz, R. Chassagnon, Alexandre Crisci
- article
- VIIth Symposium on High Temperature Corrosion and Protection of Materials, 2008, France
- Accès au bibtex
-
- titre
- Kink-pair nucleation on dislocations under stress in the two-dimensional Frenkel-Kontorova
- auteur
- D. Rodney, L. Proville
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2008, 78, pp.104115.1-9
- Accès au bibtex
-
- titre
- Densification of a 3D granular bed by horizontal vibrations
- auteur
- A. Raihane, O. Bonnefoy, J.L. Gelet, J.M. Chaix, G. Thomas
- article
- XVth International Congress on Rheology (ICR2008), 2008, France. pp.932-935
- Accès au bibtex
-
- titre
- Characterization of Low-k SiOCH Dielectric for 45nm Technology and Link between the Dominant Leakage Path and the Breakdown Localization
- auteur
- M. Vilmay, Daniel Roy, F. Volpi
- article
- Materials for Advanced Metallization Conference 2008 (MAM2008), 2008, Germany
- Accès au bibtex
-
- titre
- Structure de grains de la graine terrestre
- auteur
- L. Venet, T. Duffar, R. Deguen
- article
- Comptes rendus hebdomadaires des séances de l'Académie des sciences, 2008, in press
- Accès au bibtex
-
- titre
- Glass Forming Ability and Short-Range Order in Cu-Zr alloys: an Ab Initio Molecular Dynamics Study
- auteur
- A. Pasturel
- article
- 2008 MRS Fall Meeting, 2008, United States
- Accès au bibtex
-
- titre
- Limiting Factors of Gettering Treatments in Mc-Si Wafers from the Metallurgical Route. Proceedings,
- auteur
- I. Périchaud, S. Martinuzzi, J. Degoulange, C. Trassy
- article
- E-MRS2008, Symposium K, 2008, France. pp.6
- Accès au bibtex
-
- titre
- Special WC/Co orientation relationships at basal facets of WC-Co alloys
- auteur
- V. Bounhoure, S. Lay, M. Loubradou, J.M. Missiaen
- article
- Journal of Materials Science, 2008, 43 (3), pp.892-899
- Accès au bibtex
-
- titre
- Initiation of geometric roughening in polycrystalline metal films
- auteur
- G. Parry, A.G. Evans, J.W. Hutchinson
- article
- Comptes rendus de l’Académie des sciences. Série IIb, Mécanique, 2008, 336, pp.224-231
- Accès au bibtex
-
- titre
- Optimization of density, microstructure and interface region in a co-sintered (steel/cemented carbide) bi-layered material
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- Sintering 2008 International Conference, 2008, United States
- Accès au bibtex
-
- titre
- Induction Processing of Liquid Materials
- auteur
- K. Spragg, A. Noeppel, J.G. Lacombe, M. Dumont, R. Ernst, K. Zaidat, Y. Delannoy, P. Petitpas, C. Garnier, J. Etay, C. Trassy, Y. Fautrelle
- article
- 16th Int. Congress on Electricity Applications in Modern World, 2008, France
- Accès au bibtex
-
- titre
- Viscoplasticity of ice single crystals loaded in torsion: experiments and discrete dislocation dynamics simulations
- auteur
- J. Chevy, M.C. Fivel, P. Duval
- article
- The Twenty Second International Congress of Theoretical and Applied Mechanics ICTAM2008, 2008, Australia
- Accès au bibtex
-
- titre
- FEM modeling by multi meshes and multi equations coupling
- auteur
- Y. Du Terrail Couvat, A. Gagnoud, J. Morandini, P. Triwong
- article
- IGTE2008 conference, 2008, Austria
- Accès au bibtex
-
- titre
- Growth of Ga(1-x)InxSb concentrated alloys by Vertical Bridgman technique under alternating magnetic field
- auteur
- A. Mitric, T. Duffar, V. Corregidor, L.C. Alves, N.P. Barradas
- article
- Journal of Crystal Growth, 2008, 310, pp.1424-1432
- Accès au bibtex
-
- titre
- Relating cellular structure of open solid food foams to their Young's modulus: Finite element calculation
- auteur
- S. Guessasma, P. Babin, G. Della Valle, R. Dendievel
- article
- International Journal of Solids and Structures, 2008, 45, pp.2881-2896
- Accès au bibtex
-
- titre
- XPS studies of the ALD-growth of TaN diffusion barriers : Impact of the dielectric surface chemistry on the growth mechanism
- auteur
- F. Volpi, L. Cadix, G. Berthomé, E. Blanquet, N. Jourdan, J. Torres
- article
- Microelectronic Engineering, 2008, 85 (10), pp.2068-2070
- Accès au bibtex
-
- titre
- A combined FEG-SEM and TEM study of silicon nanodot assembly
- auteur
- P. Donnadieu, F. Roussel, V. Cocheteau, B. Caussat, P. Mur, E. Scheid
- article
- European Physical Journal: Applied Physics, 2008, 44 (1), pp.11-19
- Accès au bibtex
-
- titre
- Shear band melting and serrated flow in metallic glasses
- auteur
- K. Georgarakis, M. Aljerf, Y. Li, A. Lemoulec, F. Charlot, A.R. Yavari, K. Chornokhvostenko, E. Tabachnikova, G.A. Evangelakis, D.B. Miracle, A.L. Greer, Tiantian Zhang
- article
- Applied Physics Letters, 2008, 93, pp.031907
- Accès au bibtex
-
- titre
- Study by temperature-induced deflection of ageing and damaging of multilayered mirrors for satellites
- auteur
- A.M. Huntz, A. Lalo, M. Andrieux, M. Ignat, G. Ravel
- article
- Applied Surface Science, 2008, in press
- Accès au bibtex
-
- titre
- Images MEB : du traitement numérique à l'analyse quantitative
- auteur
- J.M. Chaix, J.M. Missiaen
- article
- F. Brisset. Microstocpie électronique à balayage et Microanalyse X, EDP Sciences, pp.701-732, 2008
- Accès au bibtex
-
- titre
- Atomic modeling of irradiation-induced hardening
- auteur
- D. Rodney
- article
- Comptes Rendus. Physique, 2008, 9, pp.418-426
- Accès au bibtex
-
- titre
- The photoelectrochemical technique, an innovative tool for assessing the nature of semiconductor phases present in thin oxide films
- auteur
- Y. Wouters, A. Galerie, J.P. Petit
- article
- "International Workshop on Detection, Avoidance, Mechanisms, Modeling and Prediction of SCC Initiation in Water-Cooled Nuclear Reactor Plants", 2008, France
- Accès au bibtex
-
- titre
- Dislocation microstructure, strain localisation and crack initiation in fatigue studied by 3D discrete dislocation simulations
- auteur
- M.C. Fivel, C. Déprés, C. Robertson, C.S. Shin
- article
- TMS2008, 2008, United States
- Accès au bibtex
-
- titre
- Correlations between microstructure and rheological behaviour of partial melt alloys
- auteur
- M. Suéry
- article
- Communication à l'Ecole d'Eté Marie Curie “Partially molten and amorphous materials”, 2008, Portugal
- Accès au bibtex
-
- titre
- Size effects and elastic melting in metallic glasses
- auteur
- A.R. Yavari
- article
- Rapididly Quenched and Metastable Materials XIII, 2008, Germany
- Accès au bibtex
-
- titre
- Strain in Epitaxial Si/SiGe Graded Buffer Structures Grown on Si (100), Si (110) and Si(111): a Raman Spectroscopy Study
- auteur
- Denis Rouchon, Vincent Destefanis, Jean-Michel Hartmann, Alexandre Crisci, Michel Mermoux
- article
- ECS Transactions, 2008, 16 (10), pp. 203-214. ⟨10.1149/1.2986771⟩
- Accès au bibtex
-
- titre
- Plasticity in metallic thin films and multilayers
- auteur
- M. Verdier
- article
- Gordon Research Conf. Thin film and small scale mechanical behaviour, 2008, United States
- Accès au bibtex
-
- titre
- Cu grain growth in damascene narrow trenches
- auteur
- S. Maitrejean, V. Carreau, O. Thomas, S. Labat, B. Kaouache, M. Verdier, J. Lepinoux, Y. Brechet, Marc Legros, Joël Douin, C. Cayron, O. Sicardy, D. Weygand, O. Dubreuil, P. Normandon
- article
- Stress Induced phenomenan in Metallization, 2008, Austin, United States
- Accès au bibtex
-
- titre
- Hardening precipitation and mechanical properties in new Mg-Mn-Y-Gd alloys
- auteur
- C. Antion, P. Donnadieu, J.J. Blandin, F. Bley, A. Deschamps, C. Tassin, A. Pisch
- article
- International Journal of Materials Research, 2008, 99, pp.168-177
- Accès au bibtex
-
- titre
- Bioresorbable and Nonresorbable Macroporous Thermosensitive Hydrogels Prepared by Cryopolymerization. Role of the Cross-Linking Agent
- auteur
- P. Perez, F. Plieva, A. Gallardo, J. San Roman, M.R. Aguilar, I. Morfin, F. Ehrburger-Dolle, F. Bley, S. Mikhalovsky, I.Y. Galaev, B. Mattiasson
- article
- Biomacromolecules, 2008, 9, pp.66-74
- Accès au bibtex
-
- titre
- Effect of residual gaseous impurities on the dewetting of antimonide melts in fused silica crucibles in the case of bulk crystal growth
- auteur
- Lamine Sylla, Jean Pierre Paulin, Gina Vian, Christian Garnier, Thierry Duffar
- article
- Materials Science and Engineering: A, 2008, 495 (1-2), pp.208-214. ⟨10.1016/j.msea.2007.10.114⟩
- Accès au texte intégral et bibtex
-
- titre
- CoPt alloy grown on the WSe2(0001) van der Waals surface
- auteur
- Denys Makarov, Fabiola Liscio, Christoph Brombacher, Jean Paul Simon, Günter Schatz, Mireille Maret, Manfred Albrecht
- article
- Journal of Magnetism and Magnetic Materials, 2008, 320 (12), pp.1858-1866. ⟨10.1016/j.jmmm.2008.02.164⟩
- Accès au texte intégral et bibtex
-
- titre
- Atom probe tomography calibration through post-analysis considerations
- auteur
- F. de Geuser, B. Gault, L.T. Stephenson, M. P. Moody, B. C. Muddle
- article
- 51st International Field Emission Symposium (IFES), 2008, Rouen, France
- Accès au bibtex
-
- titre
- MEMS scanning calorimeter for characterizations of micro-samples
- auteur
- S. Youssef, J. Podlecki, B. Sorli, R. Al Asmar, O. Cyril, F. Pascal-Delannoy, A. Foucaran
- article
- International Conference on Optical Complex Systems, 2008, Cannes, France
- Accès au bibtex
-
- titre
- Hyper frequency modeling of resonated systems based on piezoelectric LiTaO3 thin layers
- auteur
- R. Al Asmar, S. Youssef, J. Podlecki, Alain Foucaran
- article
- Workshop on Recent Advances of Low Dimensional Structures and Devices, 2008, Nottingham, United Kingdom
- Accès au bibtex
-
- titre
- Sintering mechanisms of nascent semi-crystalline polymer powders by high velocity compaction
- auteur
- O. Lame, D. Jauffrès, G. Vigier, F. Doré
- article
- International Journal of Material Forming, 2008, 1 (SUPPL. 1), pp.627-630. ⟨10.1007/s12289-008-0334-3⟩
- Accès au bibtex
-
- titre
- How nascent structure of semicrystalline polymer powders enhances bulk mechanical properties
- auteur
- D. Jauffrès, O. Lame, G. Vigier, F. Doré
- article
- Macromolecules, 2008, 41 (24), pp.9793-9801. ⟨10.1021/ma801133v⟩
- Accès au bibtex
-
- titre
- Study of Mass Transfer Enhancement on Liquid/liquid Interface by Flow Instabilities Using Gallium Liquid Electrode
- auteur
- G. Cognet, S. Martemianov
- article
- Russian Journal of Electrochemistry, 2008, 44 (4), pp.445-452
- Accès au bibtex
-
- titre
- Prospects for 3C-SiC bulk crystal growth
- auteur
- Didier Chaussende, Frédéric J. Mercier, Alexandre Boulle, Florine Conchon, Maher Soueidan, Gabriel Ferro, Alkioni Mantzari, Ariadne Andreadou, Efsthatios Polychroniadis, Carole Balloud, Sandrine Juillaguet, Jean Camassel, Michel Pons
- article
- Journal of Crystal Growth, 2008, 310, pp.976-981. ⟨10.1016/j.jcrysgro.2007.11.140⟩
- Accès au texte intégral et bibtex
-
- titre
- Dislocations and plasticity of icosahedral quasicrystals
- auteur
- J. Bonneville, Daniel Caillard, P. Guyot
- article
- Nabarro Series Vol 13. Dislocations in solids, 467, Elsevier, a paraitre, 2008
- Accès au bibtex
-
- titre
- In-situ study of precipitate composition in 7000 series aluminium alloys by Anomalous Small-Angle Scattering
- auteur
- T. Marlaud, A. Deschamps, F. Bley, B. Baroux
- article
- ICAA 2008, 2008, France. pp.954-959
- Accès au bibtex
-
- titre
- Super-Plastic and Quick-Plastic deformation of magnesium alloys
- auteur
- R. Boissiere, J.J. Blandin, L. Salvo
- article
- EuroSPF 2008, 2008, France
- Accès au bibtex
-
- titre
- High pressure induced phase transitions and amorphisation in Gd2(MoO4)3
- auteur
- G. Lucazeau, A. Pasturel
- article
- E.MRS, 2008, Poland
- Accès au bibtex
-
- titre
- « Etude de la germination et de la croissance de SiC dans le Si photovoltaïque »
- auteur
- M. Beaudhuin, K. Zaidat, T. Duffar, M. Lemiti
- article
- Crystal 5, 2008, France. pp.29-38
- Accès au bibtex
-
- titre
- Heterogeneous precipitation on dislocations: effect of the elastic field on precipitate morphology
- auteur
- C. Hin, Y. Brechet, Philippe Maugis, F. Soisson
- article
- Philosophical Magazine, 2008, 88 (10), pp.1555-1567
- Accès au bibtex
-
- titre
- Heterogeneous and architectured materials: A possible strategy for design of structural materials
- auteur
- O. Bouaziz, Y. Brechet, J. Embury
- article
- Advanced Engineering Materials, 2008, 10, pp.24-36
- Accès au bibtex
-
- titre
- Experimental characterization of the overburden effect
- auteur
- V. Carreau, S. Maitrejean, Y. Brechet, M. Verdier, D. Bouchu, A. Toffoli, G. Passemard
- article
- Microelectronic Engineering, 2008, 85, pp.2133-2136
- Accès au bibtex
-
- titre
- Atomic dynamics of i-ScZnMg and its 1/1 approximant phase : Experiment and simulation
- auteur
- M. Mihalkovic, S. Francoual, K. Shibata, M. de Boissieu
- article
- Philosophical Magazine, 2008, 88, pp.2311-2318
- Accès au bibtex
-
- titre
- Bulk growth of SiC – review on advnces of SiC vapor growth
- auteur
- S.A. Sakwe, M. Stockmeier, P. Hens, R. Müller, D. Queren, U. Kunecke, K. Konias, A. Mageri, A. Winnacker, P. Wellmann, M. Pons
- article
- physica status solidi (b), 2008, 7, pp.1239-1256
- Accès au bibtex
-
- titre
- The role of radiation in modelling of argon inductively coupled plasma at atmospheric pressure
- auteur
- J.G. Lacombe, Y. Delannoy, C. Trassy
- article
- Journal of Physics D: Applied Physics, 2008, 41, pp.165204/1-165204/9
- Accès au bibtex
-
- titre
- Strategy of Coupling to Model Physical Phenomena within Molten Glass Bath Heated by Direct Induction
- auteur
- L. Jacoutot, E. Sauvage, A. Gagnoud, Y. Fautrelle, P. Brun, J. Lacombe
- article
- COMPEL: The International Journal for Computation and Mathematics in Electrical and Electronic Engineering, 2008, 27 (2), pp.369-376
- Accès au bibtex
-
- titre
- Evolution of the WC grain shape in WC-Co alloys during sintering: effect of Cr
- auteur
- A. Delanoë, S. Lay
- article
- Ninth International Conference on the Science of Hard Materials, 2008, Jamaica. pp.9-10
- Accès au bibtex
-
- titre
- PhotoElectroChemical characterization of chromia scales thermally grown on various substrates
- auteur
- Y. Wouters, A. Galerie, J.P. Petit
- article
- 7th International Symposium on High Temperature Corrosion and Protection of Materials, 2008, France
- Accès au bibtex
-
- titre
- Scale growth during short term oxidation of stainless steels at high temperatures
- auteur
- A. Galerie, L. Combarmond, M.T. Tran, A. Srisrual, S. Gonzales, Y. Wouters
- article
- 15th French-Polish Seminar on the Reactivity of Solids, 2008, France
- Accès au bibtex
-
- titre
- Mechanical behaviour of metallic hollow spheres foam
- auteur
- A. Fallet, P. Lhuissier, L. Salvo, Y. Bréchet
- article
- Advanced Engineering Materials, 2008, 10, pp.858-862
- Accès au bibtex
-
- titre
- Thermal analysis and solidification pathways of Mg-Al-Ca system alloys
- auteur
- S.M. Liang, R.S. Chen, J.J. Blandin, M. Suéry, E.H. Han
- article
- Materials Science and Engineering, 2008, A480, pp.365-372
- Accès au bibtex
-
- titre
- Density and concentration fluctuations in SiO2-GeO2 optical fiber glass investigated by small angle x-ray scattering
- auteur
- Rozenn Le Parc, Bernard Champagnon, Claire Levelut, Valérie Martinez, Laurent David, Annelise Faivre, Ivo Flammer, Jean-Louis Hazemann, J.P. Simon
- article
- Journal of Applied Physics, 2008, 103 (9), pp.094917. ⟨10.1063/1.2917384⟩
- Accès au bibtex
-
- titre
- Multi levels Air gap Integration using Sacrificial Material Approach for Advanced Cu Interconnects Technologies
- auteur
- R. Gras, F. Gaillard, D. Bouchu, P.H. Haumesser, G. Imbert, L. Vandroux, A. Farcy, T. Chevolleau, G. Passemard, J. Torres, P. Ancey
- article
- ADMETA, Advanced Metallization Asian Session,, 2008, tokyo, Japan
- Accès au bibtex
-
- titre
- Chill zone copper with the strength of stainless steel and tailorable colo
- auteur
- A.R. Yavari, K. Ota, K. Georgarakis, A. Lemoulec, F. Charlot, G. Vaughan, A.L. Greer, A. Inoue
- article
- Acta Materialia, 2008, 56, pp.1830-1839
- Accès au bibtex
-
- titre
- Short term oxidation of stainless steels during final annealing
- auteur
- S. Gonzales, L. Combarmond, M.T. Tran, Y. Wouters, A. Galerie
- article
- Materials Science Forum, 2008, 595-598, pp.601-610
- Accès au bibtex
-
- titre
- Effect of buoyancy and Marangoni forces on the dopant distribution in the case of a single crystal fiber grown from the melt by the edge-defined film-fed growth (EFG) method
- auteur
- L. Braescu, T. Duffar
- article
- Journal of Crystal Growth, 2008, 310, pp.484-489. ⟨10.1016/j.jcrysgro.2007.10.046⟩
- Accès au bibtex
-
- titre
- Deep ultra-violet Raman imaging of CVD boron-doped and non-doped diamond films
- auteur
- Alexandre Crisci, Michel Mermoux, Bernadette Saubat-Marcus
- article
- Diamond and Related Materials, 2008, 7 (7-10), pp. 1207-1211. ⟨10.1016/j.diamond.2008.01.025⟩
- Accès au bibtex
-
- titre
- FePt films on self-assembled SiO2 particle arrays
- auteur
- D. Makarov, C. Brombacher, F. Liscio, M. Maret, M. Parlinska, S. Meier, P. Kappenberger, M. Albrecht
- article
- Journal of Applied Physics, 2008, 103, ⟨10.1063/1.2841729⟩
- Accès au bibtex
-
- titre
- Dependence of the meniscus shape on the pressure difference in the dewetted Bridgman process
- auteur
- S. Balint, L. Braescu, L. Sylla, S. Epure, T. Duffar
- article
- Journal of Crystal Growth, 2008, 310, pp.1564-1570
- Accès au bibtex
-
- titre
- The use of a macroscopic formulation describing the effects of dynamic compaction and porosity on plasma sprayed copper
- auteur
- M. Arrigoni, M. Boustie, C. Bolis, L. Berthe, Sophie Barradas, Michel Jeandin
- article
- Journal of Applied Physics, 2008, 103 (8), 9 p. ⟨10.1063/1.2906186⟩
- Accès au bibtex
-
- titre
- Caractérisation par spectrométrie d'impédance électrochimique d'un alliage de Co-Cr-Mo dans différents milieux simulant le liquide physiologique
- auteur
- J. Geringer, Bernard Normand, C. Alemany-Dumont, R. Diemiaszonek, N. Mary
- article
- 20ème FORUM SUR LES IMPEDANCES ELECTROCHIMIQUES, Dec 2007, Paris, France
- Accès au bibtex
-
- titre
- Review of shock waves research in condensed matter at LCD and LALP/CNRS
- auteur
- M. Boustie, T. de Resseguier, L. Berthe
- article
- l'Institute of Fluid Physics-Chinese Academy of Engineering Science, Dec 2007, Mianyang, China
- Accès au bibtex
-
- titre
- Effect of the structure of Pt–Ru/C particles on COad monolayer vibrational properties and electrooxidation kinetics
- auteur
- Frédéric Maillard, Antoine Bonnefont, Marian Chatenet, Laure Guétaz, Béatrice Doisneau-Cottignies, Hervé Roussel, Ulrich Stimming
- article
- Electrochimica Acta, 2007, 53 (2), pp. 811-822. ⟨10.1016/j.electacta.2007.07.061⟩
- Accès au bibtex
-
- titre
- Comparison between numerical and experimental results on thermoconvective instabilities of a high-Prandtl-number liquid
- auteur
- Emilien Sauvage, Laetitia Jacoutot, Yves Fautrelle, Annie Gagnoud, Laure Blumenfeld, Eric Favre, François Daviaud
- article
- Physical Review E : Statistical, Nonlinear, and Soft Matter Physics, 2007, 76 (6), pp.066307. ⟨10.1103/PhysRevE.76.066307⟩
- Accès au texte intégral et bibtex
-
- titre
- Liquid-Liquid Phase Transformation in Silicon: Evidence from First-Principles Molecular Dynamics Simulations
- auteur
- Noel Jakse, A. Pasturel
- article
- Physical Review Letters, 2007, 99 (20), pp.205702. ⟨10.1103/PhysRevLett.99.205702⟩
- Accès au bibtex
-
- titre
- Multiscale simulations of nanoindentation: From continuum mechanics down to Molecular dynamics
- auteur
- M.C. Fivel
- article
- IUTAM symposium on MultiScale Plasticity of Crystalline Materials, Nov 2007, Netherlands
- Accès au bibtex
-
- titre
- Les micro-ARNs : biosynthèse, mécanismes d’action et fonctions biologiques
- auteur
- Jérôme Cavaillé
- article
- Annales de Pathologie, 2007, 27 Spec no. 1, pp.1S31-2. ⟨10.1016/S0242-6498(07)92851-5⟩
- Accès au bibtex
-
- titre
- Adhérence de barrières thermiques pour aube de turbine avec couche de liaison β-(Ni,Pt)Al ou β-NiAl(Zr)
- auteur
- Pierre-Yvan Théry
- article
- Mécanique [physics.med-ph]. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Temperature scanning small angle x-ray scattering measurements of structural relaxation in type-III vitreous silica
- auteur
- Ralf Brüning, Claire Levelut, Rozenn Le Parc, Annelise Faivre, Lyne Semple, Marc Vallée, Jean-Paul Simon, Jean-Louis Hazemann
- article
- Journal of Applied Physics, 2007, 102 (8), pp.083535. ⟨10.1063/1.2799940⟩
- Accès au bibtex
-
- titre
- Procédé de densification de sable par vibrations horizontales. Etude expérimentale des mouvements granulaires en géométrie 3D.
- auteur
- Ahmed Raihane, Olivier Bonnefoy, Jean-Louis Gelet, Gérard Thomas, Jean-Marc Chaix
- article
- XI° Congrès de la Société Française de Génie des Procédés. Des réponses industrielles pour une société en mutation., Oct 2007, Saint Etienne, France. pp.ISBN=2-910239-70-5
- Accès au texte intégral et bibtex
-
- titre
- Phasons modes in quasicrystal
- auteur
- M. de Boissieu, S. Francoual
- article
- Quasicrystal, the silver Jubilee, Oct 2007, Israel
- Accès au bibtex
-
- titre
- Short-range order of liquid and undercooled metals: Ab initio molecular dynamics study
- auteur
- Noël Jakse, Olivier Le Bacq, Alain Pasturel
- article
- Journal of Non-Crystalline Solids, 2007, 353 (32-40), pp.3684-3688. ⟨10.1016/j.jnoncrysol.2007.05.131⟩
- Accès au bibtex
-
- titre
- Minority carrier bulk lifetimes through a large multicrystalline silicon ingot and related solar cell properties
- auteur
- S. Martinuzzi, M. Gauthier, Damien Barakel, I. Perichaud, N. Le Quang, Olivier Palais, G. Goaer
- article
- European Physical Journal: Applied Physics, 2007, 40 (1), pp.83-88. ⟨10.1051/epjap:2007130⟩
- Accès au bibtex
-
- titre
- Magnetic fields and solidification of liquid metals
- auteur
- Y. Fautrelle
- article
- Sino-German Workshop on Electromagnetic Processing of Materials, Oct 2007, Shanghai, China
- Accès au bibtex
-
- titre
- Dislocation dynamics and micromechanical models of plasticity
- auteur
- M. Fivel
- article
- cours dispensé lors de l'école d'été ISMID (International School on Modelling of Irradiation Damage), Oct 2007, Belgium
- Accès au bibtex
-
- titre
- Procedure of identification of a constitutive law for homogeneous deformation of bulk metallic glasses
- auteur
- Marc Bletry, P. Guyot, J.J. Blandin, J.L. Soubeyroux
- article
- European Congress and Exhibition on Advanced Materials and Processes Euromat 2007, Sep 2007, Nuremberg, Germany
- Accès au texte intégral et bibtex
-
- titre
- Characterisation and Modelling of Non Sintered Steel Wool
- auteur
- J.P. Masse, C. Barbier, L. Salvo, Y. Brechet, O. Bouaziz, F. Pinard
- article
- Euromat 2007, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Microstructure Evolution during retrogression and Reaging Treatments in Newly Developed High Strength 7000 Series Aluminum Alloys
- auteur
- T. Marlaud, B. Baroux, A. Deschamps, C. Henon
- article
- Euromat 2007, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Utilisation de la microscopie en transmission pour la cartographie d'orientation
- auteur
- E.F. Rauch
- article
- Journée Scientifique de la Fédération GI2M, Sep 2007, France
- Accès au bibtex
-
- titre
- Structure-Property Optimization of Ultrafine Grained Dual Phase Steels Using a Microstructure Based Strain Hardening Model
- auteur
- T. Pardoen, M. Delince, P.J. Jacques, J.D. Embury, M.G.D. Geers, Y. Brechet
- article
- EUROMAT, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Mechanical Properties of Alumina/Copper Brazed Joints: Reactive Versus Non-reactive Brazing
- auteur
- O. Kozlova, M. Braccini, M.F. Devismes, N. Eustathopoulos
- article
- EUROMAT, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Stress Effects and Phase Transitions in PbTiO3 Thin Films
- auteur
- A. Bartasyte, O. Chaix-Pluchery, J. Kreisel, J. Santiso, Michel Boudard, Carmen Jiménez, F. Weiss, A. Abrutis
- article
- European Meeting on Ferroelectricity EMF 2007, Sep 2007, Lake Bled, Slovenia
- Accès au bibtex
-
- titre
- Soft x-ray coherent scattering: Instrument and methods at ESRF ID08
- auteur
- Guillaume Beutier, Alain Marty, Frédéric Livet, Gerrit van Der Laan, Stefan Stanescu, Peter Bencok
- article
- Review of Scientific Instruments, 2007, 78, pp.3901. ⟨10.1063/1.2779218⟩
- Accès au bibtex
-
- titre
- First results from coupled acousto-ultrasonics and electrochemical noise techniques applied to gas evolving electrodes
- auteur
- Mickaël Boinet, D. Marlot, Jean Claude Lenain, Suzanne Maximovitch, Francis Dalard, Ricardo P. Nogueira
- article
- Electrochemistry Communications, 2007, 9 (9), pp. 2174-2178. ⟨10.1016/j.elecom.2007.05.026⟩
- Accès au bibtex
-
- titre
- Influence of a high magnetic field on columnar dendrite growth during directional solidification
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Acta Materialia, 2007, 55 (16), pp.5333-5347
- Accès au bibtex
-
- titre
- Characterization of Intra- and Intergranular Deformation at High Temperature of a Disk Superalloy using EBSD and Local Microextensometry
- auteur
- A. Soula, D. Boivin, P. Caron, D. Locq, J.L. Pouchou, Y. Renollet, Y. Brechet
- article
- EUROMAT, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Austenite Decomposition in Fe-Mn-Al-C Alloys
- auteur
- I. Zuazo, Y. Brechet, P. Donnadieu, Philippe Maugis
- article
- Euromat 2007, Sep 2007, Germany
- Accès au bibtex
-
- titre
- CRISTAL: Contrôle de la Résistivité des Interconnexions: maîtrise de la micro-Structure du métal
- auteur
- S. Maitrejean, C. Cayron, M. Verdier, O. Thomas, Marc Legros
- article
- Journées Nanosciences & Nanotechnologies, Sep 2007, France
- Accès au bibtex
-
- titre
- Caracterización de la estructura de aleaciones amorfas ricas en Aluminio
- auteur
- F. Saporiti, Michel Boudard, F. Audebert
- article
- Reunión Nacional de Física, Asociación Física Argentina, Sep 2007, Salta, Argentina
- Accès au bibtex
-
- titre
- Lattice dynamics of CMA: experiment and simulation
- auteur
- M. de Boissieu, M. Mihalkovic, M. Feuerbacher, F. Gaeheler, O. Lebacq, L.P. Regnault, S. Francoual
- article
- Euromat, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Metallic hollow spheres foams, structure and mechanics
- auteur
- A. Fallet, L. Salvo, Y. Brechet
- article
- Met foam conference, Sep 2007, France. a paraitre
- Accès au bibtex
-
- titre
- High temperature edformation of nanocomopsites glass / crystal derived from a Zr based BMG
- auteur
- S. Gravier, J.J. Blandin, P. Donnadieu
- article
- Euromat 2007, Sep 2007, Nuremberg, Germany
- Accès au bibtex
-
- titre
- In-situ Small Angle X-ray Scattering measurements of precipitation kinetics during thermomechanical treatments – Application to the study of the age-forming process of a precipitation hardening aluminium alloy
- auteur
- G. Fribourg, A. Deschamps, Y. Brechet
- article
- EUROMAT 2007, Sep 2007, France
- Accès au bibtex
-
- titre
- Knudsen cell mass spectrometry applied to the investigation of organometallic precursors vapours
- auteur
- P. Violet, I. Nuta, C. Chatillon, E. Blanquet
- article
- EUROCVD, Sep 2007, Netherlands. pp.8813-8817
- Accès au bibtex
-
- titre
- Alpha to Beta Phase Transformation of Nickel Sulfide in Tempered Glass
- auteur
- O. Yousfi, P. Donnadieu, Y. Brechet, A. Kasper, Y. Demars
- article
- Euromat 2007, Sep 2007, Germany
- Accès au bibtex
-
- titre
- Croissance de semi-conducteurs à grand gap
- auteur
- Jean-Marc Dedulle, Didier Chaussende, Romain Madar, Michel Pons, Elisabeth Blanquet, Francis Baillet, Guy Chichignoud, M. Ucar-Morais, Arnaud Claudel
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Influence de la convection sur la mesure indirecte de la capacité calorifique et de la conductivité thermique d'alliage métallique en lévitation utilisant la calorimétrie modulée
- auteur
- Pascal Schetelat, Jacqueline Etay
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Characterisation of a recirculating flow using ultrasonic Doppler velocimetry
- auteur
- Xiaodong Wang, Yves Fautrelle, Jacqueline Etay
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Modélisation discrète du comportement mécanique de milieux enchevêtrés
- auteur
- Carine Barbier, Rémy Dendievel, David Rodney
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Mécanique des matériaux à topologie autobloquante
- auteur
- Charles Brugger, Antoine Autruffe, Yves Bréchet, Fivel Marc, Rémy Dendievel
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Etude des instabilités thermocapillaires et thermogravitaires dans un bain d'huile
- auteur
- Emilien Sauvage, Laetitia Jacoutot, Yves Fautrelle, Annie Gagnoud
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Parametric instability of an elongated pool of liquid metal in a low frequency magnetic field
- auteur
- Kirk Spragg, Yves Fautrelle, Alfred Sneyd
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au texte intégral et bibtex
-
- titre
- Etude des instabilités thermocapillaires et thermogravitaires dans un bain d'huile
- auteur
- E. Sauvage, Y. Fautrelle, A. Gagnoud, L. Jacoutot
- article
- CFM2007, Aug 2007, Grenoble, France. a paraitre
- Accès au bibtex
-
- titre
- Modelling of the Electrochemical Performance of Fuel Cell Electrodes by Discrete Simulations
- auteur
- C.L. Martin, L.C.R. Schneider, Y. Bultel, D. Bouvard
- article
- Euromat 2007, Aug 2007, Nuremberg, Germany
- Accès au bibtex
-
- titre
- The Effect of the Strength and Morphology of Aggregates on the Compaction Behaviour of Ceramic Powders – Discrete Element Simulations
- auteur
- C.L. Martin, G. Delette, P. Pizette
- article
- Euromat 2007, Aug 2007, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Modèles d'acoustique phénoménologiques -Application à la conception de matériau sur mesure
- auteur
- Nicolas Auffray, R. Bouchet, Y. Bréchet
- article
- 17ème Congrès Français de Mécanique (CFM), Aug 2007, Grenoble, France. pp.1-2
- Accès au texte intégral et bibtex
-
- titre
- Résistance mécanique de l'interface entre un substrat dense et un dépôt poreux: expériences et modélisation
- auteur
- J. Simonet, G. Kapelski, D. Bouvard
- article
- CFM 2007 - 18ème Congrès Français de Mécanique, Aug 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Atomic-scale simulation of the interaction between screw and mixte dislocations with nanotwins in Copper
- auteur
- C. Barbier, R. Dendievel, D. Rodney
- article
- 17th International Workshop on Computational Mechanics of Materials, Aug 2007, Paris, France
- Accès au bibtex
-
- titre
- Effect of a high magnetic field on the microstructure in directionally solidified Al–12 wt%Ni alloy
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Journal of Crystal Growth, 2007, 306 (19), pp.187-194
- Accès au bibtex
-
- titre
- In-situ fast X-Ray tomography investigation of microstructural changes occurring during partial remelting and solidification of Al-Cu alloys
- auteur
- N. Limodin, E. Boller, L. Salvo, M. Suéry, M. Di Michiel
- article
- Solidification Processing, Jul 2007, Londres, United Kingdom. pp.316-320, ⟨10.4028/www.scientific.net/SSP.116-117.231⟩
- Accès au bibtex
-
- titre
- Synthesis and mechanical behavior of nanocomposite Mg-based bulk metallic glasses
- auteur
- Jean-Louis Soubeyroux, Sylvain Puech, Patricia Donnadieu, Jean-Jacques Blandin
- article
- Journal of Alloys and Compounds, 2007, 434-435, pp.84-87. ⟨10.1016/j.jallcom.2006.08.297⟩
- Accès au texte intégral et bibtex
-
- titre
- METAL/CERAMIC INTERFACE ENGINEERING - ADHESION STRENGTH MEASUREMENT BETWEEN DIELECTRIC CERAMIC AND ELECTRODE METAL
- auteur
- Chao-Yu Lee
- article
- Mechanics [physics.med-ph]. Université Joseph-Fourier - Grenoble I; National Taiwan University, Taipei (Rep. of China), 2007. English. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Fatigue in precipitation hardened materials, a three dimension discrete dislocation dynamics modelling of the early cycles
- auteur
- Chan Sun Shin, Christian Robertson, Marc C. Fivel
- article
- Philosophical Magazine, 2007, 87 (24), pp.3657-3669. ⟨10.1080/14786430701393159⟩
- Accès au texte intégral et bibtex
-
- titre
- Solidification en présence de champs magnétiques intenses
- auteur
- Xi Li
- article
- Electromagnétisme. INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Damage development during superplasticity of light alloys
- auteur
- R. Boissiere, J.J. Blandin, L. Salvo
- article
- 5th International conference on Materials Processing Defects, Jul 2007, Ithaca, United States
- Accès au bibtex
-
- titre
- Ultra low K dielectrics for microelectronics studied by GISAXS
- auteur
- J.P. Simon
- article
- BCA meeting, Jul 2007, France
- Accès au bibtex
-
- titre
- Electrochemical Behavior of Copper in Post-Via Etch Cleaning Solutions
- auteur
- S. Bilouk, C. Pernel, R.P. Nogueira, L. Broussous, G. Passemard
- article
- JE07 Journées d'électrochimie, Jul 2007, France
- Accès au bibtex
-
- titre
- The alignment, aggregation and magnetization behaviors in MnBi–Bi composites solidified under a high magnetic field
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Intermetallics, 2007, 15 (7), pp.845-855
- Accès au bibtex
-
- titre
- STRUCTURE DETERMINATION OF QUASICRYSTALS
- auteur
- Marc de Boissieu, Hiroyuki Takakura, Cesar Pay Gomez, Akiji Yamamoto, a Peter Tsai
- article
- Philosophical Magazine, 2007, 87 (18-21), pp.2613-2633. ⟨10.1080/14786430601185093⟩
- Accès au texte intégral et bibtex
-
- titre
- Dynamic fragmentation of laser shock-loaded materials, Shrapnel and debris meeting
- auteur
- T. de Resseguier, L. Signor, C. Torrent, M. Boustie, L. Berthe
- article
- CESTA, Jun 2007, Le Barp, France
- Accès au bibtex
-
- titre
- Assemblage entre une pièce métallique et une pièce en materiau céramique à base de SiC et/ou de C
- auteur
- J. Benoit, J.F. Fromentin, V. Chaumat, O. Gillia, N. Eustathopoulos, F. Hodaj, A. Koltsov
- article
- France, N° de brevet: FR2894499. PMD. 2007
- Accès au bibtex
-
- titre
- Realization of Thin Film Specimens for Micro Tensile Tests
- auteur
- Christophe Malhaire, Michel Ignat, Karim Dogheche, Sebastiano Brida, Charles Josserond, Laurent Debove
- article
- TRANSDUCERS 2007 - 2007 International Solid-State Sensors, Actuators and Microsystems Conference, Jun 2007, Lyon, France
- Accès au bibtex
-
- titre
- Determination of critical limit of the δ to γ massive transformation in Fe-Cr and Fe-Cr-C alloys using Fe5%Cr-Fe-20%Cr diffusion couples.
- auteur
- B. Chéhab, J. Garrett, H. Zurob, Y. Brechet, M. Veron, J.D. Mithieux, J.C. Glez
- article
- ALEMI (6th International Workshop on Alloying Element Effects of Migration of Interfaces), Jun 2007, Hamilton, Canada
- Accès au bibtex
-
- titre
- Etude des interfaces prismatiques WC/Co dans les alliages WC-Co
- auteur
- S. Lay, P. Donnadieu, M. Loubradou
- article
- Xème Colloque de la Société Française des Microscopies, Jun 2007, France
- Accès au bibtex
-
- titre
- Chemical composition and electronic structure of the passive layer formed on stainless steels in a glucose-oxidase solution
- auteur
- C. Marconnet, Y. Wouters, F. Miserque, C. Dagbert, J.P. Petit, A. Galerie, D. Féron
- article
- Biocorys, Jun 2007, France
- Accès au bibtex
-
- titre
- Influence of thermoelectric effects on the solid–liquid interface shape and cellular morphology in the mushy zone during the directional solidification of Al–Cu alloys under a magnetic field
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Acta Materialia, 2007, 55 (11), pp.3803-3813
- Accès au bibtex
-
- titre
- Indirect measurement method of heat capacity and thermal conductivity of metallic alloy using modulated calorimetry in inductive levitation
- auteur
- P. Schetelat, J. Etay
- article
- HES-07, Jun 2007, Padua, Italy. pp.651-658
- Accès au bibtex
-
- titre
- Modélisation numérique des ségrégations lors de la solidification d'alliages Ti-Al
- auteur
- A. Noeppel, L. Jacoutot, M. Medina, A. Ciobanas, F. Baltaretu, K. Zaidat, A.M. Bianchi, Y. Fautrelle, J. Etay
- article
- Mechanics & Industry, 2007, 8 (3), pp.187-191
- Accès au bibtex
-
- titre
- Control of melt convection by a travelling magnetic field on the directional solidification of Al-Ni alloys
- auteur
- K. Zaïdat, N. Mangelinck-Noel, R. Moreau
- article
- Comptes rendus de l’Académie des sciences. Série IIb, Mécanique, 2007, 335 (5-6), pp.330-336
- Accès au bibtex
-
- titre
- Durabilités chimique et mécanique des aciers inoxydables ferritiques en situation de corrosion à chaud
- auteur
- A. Galerie, G. Bamba, Y. Wouters, F. Toscan
- article
- Journées Annuelles SF2M 2007, Jun 2007, France
- Accès au bibtex
-
- titre
- Investigation de films minces d'HfO2 par HRTEM et EELS (poster)
- auteur
- M.C. Cheynet, S. Pokrant, F. Tichelaar, J.L. Rouvière
- article
- Xème colloque de la Société Française des Microscopies, Jun 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Dimensional control and modelling of sintering
- auteur
- D. Bouvard
- article
- Powder Metallurgy Training Courses, Jun 2007, Kosice, Slovakia
- Accès au bibtex
-
- titre
- The mechanical behaviours of metals under strain reversal at large strains: experiments and modelling
- auteur
- E.F. Rauch
- article
- Scientific symposium in the honour of Prof. C. Teodosiu, Jun 2007, France
- Accès au bibtex
-
- titre
- A combined FEG-SEM and TEM study of silicon nanodot deposit
- auteur
- P. Donnadieu, F. Roussel, V. Cocheteau, B. Caussat, P. Mur, E. Scheid
- article
- Xème Colloque de la Société Française des Microscopies, Jun 2007, France
- Accès au bibtex
-
- titre
- Ta2O5 thin films for integrated circuits applications elaborated by electrostatic spray deposition: SEM and TEM characterizations.
- auteur
- A. Lintanf, P. Donnadieu, E. Djurado
- article
- Xème Colloque de la Société Française des Microscopies, Jun 2007, France
- Accès au bibtex
-
- titre
- Caractérisation du comportement en rupture de couches de diélectriques utilisés dans les interconnexions pour la micro-électronique
- auteur
- M. Braccini, H. Brillet-Rouxel, M. Verdier, M. Dupeux, S. Orain
- article
- Journées Annuelles SF2M 2007, Jun 2007, France
- Accès au bibtex
-
- titre
- Characterisation of silicon nitride thin films used as stressor liners on CMOS FETS: measurement of Young's modulus and hardness
- auteur
- G. Raymond, Pascal Morin, E. Lafosse, D. Hess, M. Braccini, F. Volpi
- article
- Journées Annuelles SF2M 2007, Jun 2007, France
- Accès au bibtex
-
- titre
- Investigation of low-k materials using EFTEM, Z-contrast imaging and low-loss spectroscopy
- auteur
- M.C. Cheynet, S. Pokrant
- article
- Xème colloque de la Société Française des Microscopies, Jun 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Turbulence in Fluids
- auteur
- R. Moreau, U. Frisch, G. Eying, A. Sobolevski
- article
- conference EE250, Jun 2007, Aussois, France. a paraitre
- Accès au bibtex
-
- titre
- Some recent development in electromagnetic processing of materials
- auteur
- Y. Fautrelle
- article
- Workshop on MHD and its applications, Jun 2007, Germany
- Accès au bibtex
-
- titre
- Strategy of coupling to model physical phenomena within molten glass bath heated by direct induction
- auteur
- L. Jacoutot, E. Sauvage, A. Gagnoud, Y. Fautrelle, P. Brun, J. Lacombe
- article
- HES-07, Jun 2007, Padua, Italy. a paraitre
- Accès au bibtex
-
- titre
- Caractérisation structurale multi-échelle par microscopies de la transformation allotropique α-->β-NiS
- auteur
- O. Yousfi, P. Donnadieu, Y. Brechet, A. Kasper, F. Serruys
- article
- SFµ (Xème Colloque de la Société française de microélectronique), Jun 2007, France
- Accès au bibtex
-
- titre
- Cartographie des orientations cristallographiques sur Microscopes Electroniques en Transmission
- auteur
- E.F. Rauch
- article
- Colloque SFµ 2007, Jun 2007, France
- Accès au bibtex
-
- titre
- Ferroelectric PbTiO3 films grown by pulsed liquid injection MOCVD
- auteur
- Ausrine Bartasyte, R. Bouregba, El Hadj Dogheche, Michel Boudard, G. Poullain, Carmen Jiménez, V. Plausinaitiene, Denis Remiens, Adulfas Abrutis, François Weiss, Odette Chaix-Pluchery, Z. Saltyte
- article
- Surface and Coatings Technology, 2007, 201, pp.9340. ⟨10.1016/j.surfcoat.2007.05.06⟩
- Accès au texte intégral et bibtex
-
- titre
- GISAXS studies of Dielectrics Thin Films
- auteur
- J.P. Simon
- article
- 2nd Workshop: GISAXS – an advanced scattering method, May 2007, Hambourg, Germany
- Accès au bibtex
-
- titre
- Effect of internal radiation on the solid–liquid interface shape in low and high thermal gradient Czochralski oxide growth
- auteur
- O. Budenkova, M. Vasiliev, V. Yuferev, V. Kalaev
- article
- Journal of Crystal Growth, 2007, 303 (1), pp.156 - 160. ⟨10.1016/j.jcrysgro.2006.12.055⟩
- Accès au bibtex
-
- titre
- Short range order in AlFeNb, AlFeCe and AlNiCe metallic glasses
- auteur
- F. Saporiti, Michel Boudard, F. Audebert
- article
- 2nd Alban conference, May 2007, Grenoble, France
- Accès au bibtex
-
- titre
- High temperature corrosion of a nickel base alloy by helium impurities
- auteur
- Fabien Rouillard, Céline Cabet, Krzysztof Wolski, A. Terlain, M. Tabarant, Michèle Pijolat, François Valdivieso
- article
- Journal of Nuclear Materials, 2007, 362 (2-3), pp.248-252. ⟨10.1016/j.jnucmat.2007.01.049⟩
- Accès au bibtex
-
- titre
- Structure Evolution of PbTiO3LlaAlO3 thin films with film thichkness Saltyte
- auteur
- F. Saporiti, Michel Boudard, F. Audebert
- article
- 2nd Alban conference, May 2007, Grenoble, France
- Accès au bibtex
-
- titre
- GISAXS studies of nanocavities and defects induced by He and Ne implantation in Si
- auteur
- D. Babonneau, S. Peripolli, M.F. Beaufort, J-F. Barbot, J.P. Simon
- article
- 2nd Workshop: GISAXS - an advanced scattering method, May 2007, Germany
- Accès au bibtex
-
- titre
- Reduced-order modelling of the Reynolds equation for flexible structures
- auteur
- Alexia Missoffe, Jérôme Juillard, Denis Aubry
- article
- 10th Annual Nanotechnology Conference on Decision and Control, May 2007, Santa Clara, United States. pp. 137-140
- Accès au texte intégral et bibtex
-
- titre
- Multiscale modelling of nanoindentation
- auteur
- M. Fivel
- article
- The 10th International Conference on the Mechanical Behavior of Materials (ICM10), May 2007, South Korea
- Accès au bibtex
-
- titre
- Méthode de mesure indirecte de la capacité calorifique et de la conductivité thermique d'alliage métallique en lévitation utilisant la calorimétrie modulée et l'identification de procédés linéaires.
- auteur
- P. Schetelat, J. Etay
- article
- SFT 2007, May 2007, Les Embiez, France. pp.957-962
- Accès au bibtex
-
- titre
- Adhesion Degradation of a YPSZ EBPVD Layer in Two Thermal Barrier Coating Systems
- auteur
- Pierre-Yvan Théry, Martine Poulain, Michel Dupeux, Muriel Braccini
- article
- 34th International Conference and Metallurgical Coatings and Thin Films (ICMCTF 2007), Apr 2007, San Diego, United States. pp.648-652, ⟨10.1016/j.surfcoat.2007.06.014⟩
- Accès au texte intégral et bibtex
-
- titre
- Elaboration of Ta2O5 Thin Films Using Electrostatic Spray Deposition for Microelectronic Applications
- auteur
- Amélie Lintanf, Arnaud Mantoux, Elisabeth Blanquet, Elisabeth Djurado
- article
- Journal of Physical Chemistry C, 2007, 111 (15), pp. 5708-5714. ⟨10.1021/jp0676585⟩
- Accès au bibtex
-
- titre
- Valence bond state in the delafossite YCuO 2.5
- auteur
- S Capponi, C Lacroix, O. Le Bacq, A Pasturel, M Núñez-Regueiro
- article
- Journal of Physics: Condensed Matter, 2007, 19 (14), pp.145233. ⟨10.1088/0953-8984/19/14/145233⟩
- Accès au bibtex
-
- titre
- Dissimilar material joining using laser (aluminum to steel using zinc-based filler wire)
- auteur
- Alexandre Mathieu, Shabadi Rajashekar, Alexis Deschamps, Michel Suéry, Simone Matteï, Dominique Grevey, Eugen Cicala
- article
- Optics and Laser Technology, 2007, 39 (3), pp.652-661. ⟨10.1016/j.optlastec.2005.08.014⟩
- Accès au bibtex
-
- titre
- Chronic exposure to uranium leads to iron accumulation in rat kidney cells
- auteur
- M. Donnadieu-Claraz, M. Bonnehorgne, B. Dhieux, C. Maubert, M. Cheynet, F. Paquet, P. Gourmelon
- article
- Radiation Research, 2007, 167 (4), pp.454-464. ⟨10.1667/RR0545.1⟩
- Accès au bibtex
-
- titre
- Chronic exposure to uranium leads to iron accumulation in kidney rats cells
- auteur
- M. Donnadieu-Claraz, M. Bonnehorgn, M. Cheynet
- article
- Radiation Research, 2007, 107, pp.454-464
- Accès au bibtex
-
- titre
- Adhesion Energy of a YPSZ EBPVD Layer in Two Thermal Barrier Coating Systems
- auteur
- P.Y. Thery, M. Poulain, M. Dupeux, M. Braccini
- article
- ICMCTF 2007, Apr 2007, San Diego, United States. pp.A-3-1-5
- Accès au bibtex
-
- titre
- Reactive versus non-reactive wetting of ZrB2 by azeotropic Au-Ni
- auteur
- A. Koltsov, R. Voytovych, F. Hodaj, N. Eustathopoulos
- article
- High Temperature Capillarity HTC2007, Apr 2007, Alicante, Spain
- Accès au bibtex
-
- titre
- Factors governing the reactivity at and behind the triple line in liquid metal-X(X=Ti, Zr)/AIN system
- auteur
- A. Koltsov, F. Hodaj, N. Eustathopoulos
- article
- High Temperature Capillarity HTC2007, Apr 2007, Alicante, Spain
- Accès au bibtex
-
- titre
- Avancées du test d'adhérence par choc laser LASAT
- auteur
- L. Berthe, M. Boustie, Sophie Barradas, M. Arrigoni, C. Bolis, Michel Jeandin, Thibaut de Resseguier, M. Nivard, Alain Claverie
- article
- 2ème Forum de l'Institut Laser Plasma, Mar 2007, Arcachon, France
- Accès au bibtex
-
- titre
- Fragmentation dynamique de l'étain : de l'écaillage au micro-écaillage
- auteur
- T. de Resseguier, L. Signor, A. Dragon, M. Boustie, L. Berthe
- article
- 2ème Forum de l'Institut Laser Plasma, Mar 2007, Arcachon, France
- Accès au bibtex
-
- titre
- Indirect identification of Hads relaxation on different metals by electrochemical impedance spectroscopy
- auteur
- Nawael Amokrane, Claude Gabrielli, Ricardo P. Nogueira
- article
- Electrochimica Acta, 2007, 52 (12), pp. 4202-4209. ⟨10.1016/j.electacta.2006.11.032⟩
- Accès au bibtex
-
- titre
- Crystal structure and band gap determination of HfO2 thin films
- auteur
- Marie Cheynet, Simone Pokrant, Frans Tichelaar, Jean-Luc Rouvière
- article
- Journal of Applied Physics, 2007, 101, pp.54101. ⟨10.1063/1.2697551⟩
- Accès au texte intégral et bibtex
-
- titre
- Le mode STEM en TEM: combinaison des imageries SEM et TEM (oral invité)
- auteur
- M.C. Cheynet
- article
- Colloque GUMP-SEMPA, Mar 2007, France
- Accès au bibtex
-
- titre
- What have we learned from Discrete Dislocation Dynamics? A review of recent studies
- auteur
- M. Fivel
- article
- The 1st US-France Symposium on Bridging the Scales in Computation, Mar 2007, United States
- Accès au bibtex
-
- titre
- Diffraction with a coherent X-ray beam: dynamics and imaging
- auteur
- F. Livet
- article
- Acta Crystallographica Section A : Foundations of Crystallography [1968-2013], 2007, 63 (2), pp.87-107
- Accès au bibtex
-
- titre
- The spiral growth of lamellar eutectics in a high magnetic field during the directional solidification process
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Scripta Materialia, 2007, 56 (6), pp.505-508
- Accès au bibtex
-
- titre
- Dépôts Sol-Gel de TiO2 sur FeCrAl pour supprimer la formation d'alumine de transition
- auteur
- R. Chegroune, E. Salhi, A. Galerie, Y. Wouters
- article
- 38èmes Journées d'Etude sur la Cinétique Hétérogène, Mar 2007, France
- Accès au bibtex
-
- titre
- Oxydation des bandes inox austénitiques en four de recuit final
- auteur
- A. Galerie, L. Venet, Y. Wouters, S. Gonzales
- article
- 38èmes Journées d'Etude sur la Cinétique Hétérogène, Mar 2007, France
- Accès au bibtex
-
- titre
- Rôle des alumines de transition sur la résistance a l'oxydation de matériaux alumino-formeurs
- auteur
- S. Chevalier, R. Molins, A. Galerie, O. Heintz, R. Chassagnon, J.P. Larpin
- article
- 38èmes Journées d'Etude sur la Cinétique Hétérogène, Mar 2007, France
- Accès au bibtex
-
- titre
- SILICON REFINING INSTALLATION
- auteur
- C. Trassy, Y. Delannoy, E. Fourmond, C. Ndzogha, G. Baluais, Y. Caratini
- article
- N° de brevet: EP1753695 (A2). EPM. 2007
- Accès au bibtex
-
- titre
- Bulk metallic glass / metal composites and a method for their production
- auteur
- S. Gravier, S. Puech, J.J. Blandin, M. Suéry, G. Boutet
- article
- Patent n° : 60 / 890 635. GPM2. 2007
- Accès au bibtex
-
- titre
- Comparative study and imaging by PhotoElectroChemical techniques of oxide films thermally grown on zirconium and Zircaloy-4
- auteur
- Rym Benaboud, Pierre Bouvier, Jean-Pierre Petit, Yves Wouters, Alain Galerie
- article
- Journal of Nuclear Materials, 2007, 360 (2), pp. 151-158. ⟨10.1016/j.jnucmat.2006.10.001⟩
- Accès au bibtex
-
- titre
- Modelling the precipitation of NbC on dislocations in a-Fe
- auteur
- F. Perrard, Alexis Deschamps, Philippe Maugis
- article
- Acta Materialia, 2007, 55 (4), pp.1255-1266. ⟨10.1016/j.actamat.2006.10.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Reactive ion etching of high optical quality gan sapphire photonic crystal slab using ch4h2 chemistry
- auteur
- S. Bouchoule, S. Boubanga-Tombet, L.L. Gratiet, M.L.V. d'Yerville, J. Torres, Y. Chen, D. Coquillat
- article
- Journal of Applied Physics, 2007, 101 (4), pp.043103.1-043103.7. ⟨10.1063/1.2433770⟩
- Accès au bibtex
-
- titre
- Influence of an axial high magnetic field on the liquid–solid transformation in Al–Cu hypoeutectic alloys and on the microstructure of the solid
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- Acta Materialia, 2007, 55 (4), pp.1377-1386
- Accès au bibtex
-
- titre
- Effect of high magnetic fields on the microstructure in directionally solidified Bi–Mn eutectic alloy
- auteur
- Xiaojian Li, Zhuoxiang Ren, Y. Fautrelle
- article
- Journal of Crystal Growth, 2007, 299 (1), pp.41-47
- Accès au bibtex
-
- titre
- 3DAP measurements of Al content in different types of precipitates in aluminium alloys
- auteur
- Williams Lefebvre, Frédéric Danoix, Gérald da Costa, Frédéric de Geuser, H. Hallem, A. Deschamps, M. Dumont
- article
- Surface and Interface Analysis, 2007, 39 (2-3), pp.206-212. ⟨10.1002/sia.2516⟩
- Accès au bibtex
-
- titre
- Density fluctuations in oxide glasses investigated by small-angle X-ray scattering
- auteur
- Claire Levelut, Rozenn Le Parc, Annelise Faivre, Ralf Brüning, Bernard Champagnon, V. Martinez, Jean-Paul Simon, Françoise Bley, Jean-Louis Hazemann
- article
- Journal of Applied Crystallography, 2007, 40 (Suppl. 1), pp.S512-S516. ⟨10.1107/S0021889807000507⟩
- Accès au bibtex
-
- titre
- ETUDE EXPERIMENTALE ET NUMERIQUE DES PHENOMENES DE FISSURATION DANS LES INTERCONNEXIONS DE LA MICROELECTRONIQUE
- auteur
- Brillet-Rouxel Helene
- article
- Matériaux. Université Joseph-Fourier - Grenoble I, 2007. Français. ⟨NNT : ⟩
- Accès au texte intégral et bibtex
-
- titre
- Differential regulation of imprinting in the murine embryo and placenta by the Dlk1-Dio3 imprinting control region.
- auteur
- Shau-Ping Lin, Phil Coan, Simao Teixeira da Rocha, Herve Seitz, Jerome Cavaille, Pi-Wen Teng, Shuji Takada, Anne C Ferguson-Smith
- article
- Development (Cambridge, England), 2007, 134 (2), pp.417-26. ⟨10.1242/dev.02726⟩
- Accès au bibtex
-
- titre
- Self-assembled magnetic nanostructures on low energy surfaces
- auteur
- F. Liscio, D. Makarov, M. Maret, J.P. Simon, B. Doisneau-Cottignies, Maria de Santis, H.C.N. Tolentino, M. Albrecht
- article
- Journées Surfaces-Interfaces, Jan 2007, Paris, France
- Accès au bibtex
-
- titre
- DNA microarrays on silicon nanostructures : Optimization of the multilayer stack for fluorescence detection
- auteur
- C. Oillic, P. Mur, E. Blanquet, P. Delapierre, F. Vinet, T. Billon
- article
- Biosensors & bioelectronics, 2007, 22 (9-10), pp.2086-2092
- Accès au bibtex
-
- titre
- Morphology and natural wettability properties of sol-gel derived TiO2-SiO2 composite thin films
- auteur
- M. Houmard, D. Riassetto, F. Roussel, A. Bourgeois, G. Berthomé, J.C. Joud, M. Langlet
- article
- Applied Surface Science, 2007, 254, pp.1405-1414
- Accès au bibtex
-
- titre
- High temperature oxidation behavior of FeCo-based nanocrystalline alloys
- auteur
- J.E. May, A. Galerie, T.P. Busquim, S.E. Kuri
- article
- Materials and Corrosion / Werkstoffe und Korrosion, 2007, 58 (2), pp.87-91
- Accès au bibtex
-
- titre
- Growth of Ga(1-x)InxSb concentrated alloys by Vertical Bridgman under various convective conditions
- auteur
- T. Duffar, A. Mitric, S. Bykova
- article
- 3rd Int.Symp. on Physical Sciences in Space – ISPS2007, 2007, Nara, Japan. pp.1377-1386
- Accès au bibtex
-
- titre
- Tensile deformation accommodation in microscopic metallic glasses via subnanocluster reconstructions
- auteur
- C.E. Lekka, A. Ibenskas, A.R. Yavari, G.A. Evangelakis
- article
- Applied Physics Letters, 2007, 91, pp.214103
- Accès au bibtex
-
- titre
- Contribution of SAXS to microstructural investigation of newly developed Mg-Rare Earth alloys for structural applications.
- auteur
- Caroline Antion, Françoise Bley, Patricia Donnadieu, Alexis Deschamps, Alexander Pisch, Jean-Jacques Blandin, J.J. Blandin, Catherine Tassin-Arques
- article
- Journal of Applied Crystallography, 2007, 40, pp. 126-131
- Accès au bibtex
-
- titre
- A Benchmark Solidification Experiment on an Sn–10%wtBi Alloy
- auteur
- G. Quillet, A. Ciobanas, P. Lehmann, Y. Fautrelle
- article
- International Journal of Heat and Mass Transfer, 2007, 50, pp.654-666
- Accès au bibtex
-
- titre
- Comparative study of differently grown 3C-SiC single crystals with birefringence microscopy
- auteur
- Florian Mercier, D. Chaussende, R. Madar, M. Pons
- article
- Internatinal Conference on Silicon Carbide and Related Materials, ICSCRM2007, 2007, Kyoto, Japan. pp.71-74
- Accès au bibtex
-
- titre
- Numerical modeling of silicon carbide epitaxy in a horizontal hot-wall reactor
- auteur
- S. Nishizawa, M. Pons
- article
- Journal of Crystal Growth, 2007, 303, pp.334-336
- Accès au bibtex
-
- titre
- Measuring periodic flow fluctuations driven by a modulated electromagnetic field by ultrasonic Doppler velocimetry
- auteur
- X. Wang, Y. Fautrelle, J. Etay
- article
- 2nd Int. Workshop on Measuring Techniques for Liquid Metals Flows, 2007, Germany. pp.105-118
- Accès au bibtex
-
- titre
- Direct measurement of impurity effect on silicon undercooled by electromagnetic levitation
- auteur
- M. Beaudhuin, K. Zaidat, T. Duffar, M. Lemiti
- article
- High Temperature Capillarity international Conference, 2007, Alicante, Spain. pp.845-855
- Accès au bibtex
-
- titre
- Basic principes of non-contact thermophysical property measurements in reduced gravity unsing inductive levitation Italy: 4th-7th September, 2007
- auteur
- P. Schetelat, V. Bojarevics, K. Pericleou, J. Etay
- article
- ELGRA Biennial Symposium, 2007, Florence, Italy. pp.3113-3116
- Accès au bibtex
-
- titre
- Numerical modeling and experimental verification of modified PVT crystal growth of SiC
- auteur
- P. Wellmann, M. Pons
- article
- Journal of Crystal Growth, 2007, 303, pp.337-341
- Accès au bibtex
-
- titre
- Raw materials dossier
- auteur
- Didier Bernache-Assollant, Jean-Pierre Bonnet, C. Carry, C. Chaput., Thierry Chartier, J.-L. Chermant, B. Durand, S. Garrault, N. Herlin, J.-M. Lamerant, Y. Leconte, S. Le Gallet, Anne Leriche, J.-C. Niepce, J. Poirier, F. Rebillat, F. Valdivieso
- article
- Industrie céramique et verrière (L’), 2007, 1012, pp.40-73
- Accès au bibtex
-
- titre
- 2006 Powder Metallurgy World Congress
- auteur
- C. Pascal, J.M. Chaix, A. Dutt, S. Lay, C.H. Allibert
- article
- xxx, 2007, France. pp.1529-1532
- Accès au bibtex
-
- titre
- Control of impurity concentration in silicon by measurement of undercooling under electromagnetic levitation
- auteur
- M. Beaudhuin, K. Zaidat, T. Duffar, M. Lemiti
- article
- 15th International Conference on Crystal growth, 2007, Salt Lake City, United States. pp.347-349
- Accès au bibtex
-
- titre
- Controlling wetting and adhesion in metal-oxide systems
- auteur
- N. Eustathopoulos
- article
- Xth Intern. Conf.of the Europ. Cer. Soc.(ECERS), 2007, Berlin, Germany
- Accès au bibtex
-
- titre
- Structural stability in the Al-Li-Si system
- auteur
- A. Pisch, N. Jakse, A. Pasturel, J.P. Harvey, P. Chartrand
- article
- Applied Physics Letters, 2007, 90, pp.251902
- Accès au bibtex
-
- titre
- L'analyse d'images appliquée à la caractérisation des matériaux
- auteur
- J.M. Chaix
- article
- S. Degallaix, B. Ilschner. Caractérisation expérimentale des matériaux I. Propriétés physiques, thermiques et mécaniques, Presses Polytechniques et Universitaires Romandes (PPUR), pp.67-102, 2007
- Accès au bibtex
-
- titre
- Caractérisation 3D par microtomographie X in-situ des évolutions microstructurales se produisant à l'état semi-solide dans des alliages Al-Cu
- auteur
- N. Limodin, L. Salvo, M. Suéry
- article
- JA 2007 SF2M, 2007, Saint Etienne, France
- Accès au bibtex
-
- titre
- Empilement aléatoire de spheres creuses d'acier: comportement et modélisation
- auteur
- P. Lhuissier, L. Salvo, Y. Bréchet, M. Fivel
- article
- MetFoam 2007, 2007, Montreal, Canada
- Accès au bibtex
-
- titre
- Plasticity in presence of Frank loops
- auteur
- D. Rodney, T. Nogaret, C. Robertson, M. Fivel
- article
- Annual TMS meeting, 2007, Orlando (Floride), United States
- Accès au bibtex
-
- titre
- Elasto-plastic behavior of thin metal films
- auteur
- G. Saada, M. Verdier, G. Dirras
- article
- Calcified Tissue International, 2007, 80, pp.s51-s51
- Accès au bibtex
-
- titre
- The thermolab project – thermophysical properties of industrial alloys in the liquid phase for casting and solidification modelling
- auteur
- H.J. Fecht, L. Battezzati, I. Egry, J. Etay, T. Matsushita, R. Novakovic, E. Ricci, S. Seetharaman, R. Wunderlich
- article
- 3rd Int.Symp. on Physical Sciences in Space – ISPS2007, 2007, Nara, Japan. pp.479-493
- Accès au bibtex
-
- titre
- Architectured materials : a new strategy for structural materials
- auteur
- O. Bouaziz, Y. Brechet, J.D. Embury
- article
- Advanced Engineering Materials, 2007, a paraitre
- Accès au bibtex
-
- titre
- Plasticity induced by nanoparticle dispersions in bulk metallic glasses
- auteur
- K. Hajlaoui, A.R. Yavari, A. Lemoulec, W.J. Botta, G. Vaughan, J. Das, A.L. Greer, A. Kvick
- article
- Journal of Non-Crystalline Solids, 2007, 353, pp.327-331
- Accès au bibtex
-
- titre
- FeCrMoGaPCB BMGs: sample preparation, thermal stability and mechanical properties
- auteur
- M. Stoica, J. Eckert, S. Roth, A.R. Yavari, L. Schultz
- article
- Journal of Alloys and Compounds, 2007, pp.171-175
- Accès au bibtex
-
- titre
- Transport properties of liquid nickel near the melting point: An ab initio molecular dynamics study
- auteur
- N. Jakse, J.F. Wax, A. Pasturel
- article
- Journal of Chemical Physics, 2007, 126, pp.234508
- Accès au bibtex
-
- titre
- La métallurgie du silicium solaire
- auteur
- C. Trassy
- article
- Reflets de la Physique, 434-435, Société française de Physique, pp.5-13-15, 2007
- Accès au bibtex
-
- titre
- Growth of Pt thin films on WSe2
- auteur
- D. Makarov, R. Pallesche, M. Maret, T.C. Ulrich, G. Schatz, M. Albrecht
- article
- Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2007, 601, pp.2032-2037
- Accès au bibtex
-
- titre
- In-situ characterisation of crack trajectory and shear band interactions in metallic glasses
- auteur
- B. Bouzakher, T. Benameur, A.R. Yavari, H. Sidhom
- article
- Journal of Alloys and Compounds, 2007, 434-435, pp.52-55
- Accès au bibtex
-
- titre
- Etude expérimentale des mouvements d'un milieu granulaire 3D vibré horizontalement
- auteur
- A. Raihane, O. Bonnefoy, J.L. Gelet, J.M. Chaix, G. Thomas
- article
- Science et Technologie des Poudres - Poudres et Materiaux Frittés, 2007, Albi, France. a paraitre
- Accès au bibtex
-
- titre
- Diffusion-limited reactive wetting: effect of interfacial reaction behind the advancing triple line
- auteur
- F. Hodaj, O. Dezellus, J.N. Barbier, A. Mortensen, N. Eustathopoulos
- article
- Journal of Materials Science, 2007, 42, pp.8071-8082
- Accès au bibtex
-
- titre
- Microstructural characterization and determination of adhesion of 100Cr6 steel coatings thermally sprayed on a 35CrMo4 substrate
- auteur
- M.A. Bradai, M. Braccini, A. Ati, N. Bounar, A. Benabbas
- article
- 3e Rencontre Internationale sur la Projection Thermique, 2007, France. pp.4538-4543
- Accès au bibtex
-
- titre
- Copper with strength of stainless steel and Colour of Gold
- auteur
- A.R. Yavari
- article
- Presentation pleniare d'ouverture International Symp. On Metastable and Nanomaterials ISMANAM-2007, 2007, Greece
- Accès au bibtex
-
- titre
- Conditions for oriented growth of single-walled carbon nanotubes on monocristalline MgO substrates
- auteur
- M. Maret, A. Mantoux, P. Gadelle, F. Roussel-Dherbey, Y. Gauthier, M. Albrecht
- article
- GDRI-NanoI Annual Meeting on Science and Applications of Nanotubes, 2007, France
- Accès au bibtex
-
- titre
- Dislocation density-based modelling of plastic deformation of Zircaloy-4
- auteur
- Y. Brechet, J.W.C. Dunlop, Y. Estrin, L. Legras
- article
- Acta Materialia, 2007, 443, pp.77-86
- Accès au bibtex
-
- titre
- Processing of tungsten/copper materials from W-CuO powder mixtures
- auteur
- O. Ozer, J.M. Missiaen, S. Lay, Raphaël Mitteau
- article
- Materials Science and Engineering: A, 2007, 460-461, pp.525-531
- Accès au bibtex
-
- titre
- Sequential modeling of local precipitation, strength and strain hardening in friction stir welds of an aluminum alloy 6005A-T6
- auteur
- Aude Simar, Yves Bréchet, B. de Meester, A. Denquin, Thomas Pardoen
- article
- Acta Materialia, 2007, 55 (18), pp.6133-6143. ⟨10.1016/j.actamat.2007.07.012⟩
- Accès au texte intégral et bibtex
-
- titre
- Control of melt convection by a travelling magnetic field during the directional solidification of Al-Ni
- auteur
- K. Zaïdat, Nathalie Mangelinck-Noël, R. Moreau
- article
- Fluid Dynamics and Materials Processing, 2007, xx, Algeria
- Accès au bibtex
-
- titre
- Ensemble averaged multiphase Eulerian model for columnar/equiaxed solidification of a binary alloy
- auteur
- A. Ciobanas, Y. Fautrelle
- article
- Journal of Physics D: Applied Physics, 2007, 40, pp.3733-3762. ⟨10.1088/0022-3727/40/12/029⟩
- Accès au bibtex
-
- titre
- Silicon Carbide Growth: C/Si Ratio Evaluation and Modeling
- auteur
- M. Pons, S. Nishizawa, P. Wellmann, E. Blanquet, D. Chaussende, R. Madar, J.M. Dedulle
- article
- Internatinal Conference on Silicon Carbide and Related Materials, ICSCRM2007, 2007, Kyoto, Japan. pp.83-88
- Accès au bibtex
-
- titre
- Wetting of W by liquid Pb and PbLi alloys and surface interactions
- auteur
- P. Protsenko, A. Terlain, N. Eustathopoulos
- article
- Journal of Nuclear Materials, 2007, 360 (3), pp.265-271
- Accès au bibtex
-
- titre
- Techniques de détermination des ténacités adhésive et cohésive des diélectriques dans les interconnexions en microélectronique
- auteur
- M. Dupeux, M. Braccini
- article
- Journées Annuelles SF2M, 2007, Saint Etienne, France
- Accès au bibtex
-
- titre
- In-situ fast X-Ray tomography investigation of microstructural changes occurring during solidification and partial remelting of Al-Cu alloy
- auteur
- L. Salvo, N. Limodin, M. Suéry, E. Boller, M. Dimichiel
- article
- ESR User meeting (conférence invitée), 2007, France
- Accès au bibtex
-
- titre
- Dislocation structure misorientations measured with an automated TEM diffraction pattern indexing tool
- auteur
- G. Shigesato, E. Rauch
- article
- Materials Science and Engineering: A, 2007, 462, pp.402-406
- Accès au bibtex
-
- titre
- The ThermoLab Project - Thermophysical Properties of Industrial Alloys in the Liquid Phase for Casting and Solidification Modelling
- auteur
- H.J. Fecht, L. Battezzati, I. Egry, J. Etay, F. Schmidt-Hohagen, R. Novakovic, E. Ricci, S. Seetharaman, T. Matsushita, R. Wunderlich
- article
- ELGRA Biennial Symposium, 2007, Florence, Italy. pp.327-331
- Accès au bibtex
-
- titre
- Icosahedral Order in Rapid Solidified Al-Fe based Alloys
- auteur
- F. Audebert, F. Saporiti, Michel Boudard, M. Galano
- article
- Journal of Alloys and Compounds, 2007, a paraitre
- Accès au bibtex
-
- titre
- Wetting an reactivity in Ni-Si/C system : experiments versus model predictions
- auteur
- V. Bougiouri, R. Voytovych, O. Dezellus, N. Eustathopoulos
- article
- Journal of Materials Science, 2007, 42, pp.2016-2023
- Accès au bibtex
-
- titre
- Large-scale molecular dynamics study of liquid K-Cs alloys: Structural, thermodynamic, and diffusion properties
- auteur
- J.F. Wax, N. Jakse
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.24204
- Accès au bibtex
-
- titre
- Work-hardening model for polycrystalline metals under strain reversal at large strains
- auteur
- E.F. Rauch, J.J. Gracio, F. Barlat
- article
- Acta Materialia, 2007, 55, pp.2939-2948
- Accès au bibtex
-
- titre
- “Role of Capillarity in the control of shape and quality of bulk single crystals grown from the melt”
- auteur
- T. Duffar
- article
- High Temperature Capillarity conference (HTC-5), 2007, Spain
- Accès au bibtex
-
- titre
- Stability criterion for the determination of the primary arm spacing
- auteur
- A. Ciobanas, A. Noeppel, Y. Fautrelle
- article
- 5th Decennial International Conference on Solidification Processing SP07, 2007, Sheffield, United Kingdom. pp.44-47
- Accès au bibtex
-
- titre
- CONTROL OF MELT CONVECTION BY A TRAVELLING MAGNETIC FIELD DURING THE DIRECTIONAL SOLIDIFICATION OF Al-Ni ALLOYS
- auteur
- K. Zaïdat, Nathalie Mangelinck-Noël, R. Moreau
- article
- Third International Symposium on Physical Sciences in Space(3rd ISPS 2007), 2007, Nara, Japan. pp.180-187
- Accès au bibtex
-
- titre
- Adhesion of oxide scales grown on ferritic stainless steels in solid oxide fuel cell temperature and atmosphere conditions
- auteur
- S. Chandra-Ambhorn, Y. Wouters, L. Antoni, F. Toscan, A. Galerie
- article
- Journal of Power Sources, 2007, 171 (2), pp.688-695
- Accès au bibtex
-
- titre
- Wetting of MgO by Cu2S-FeS melts
- auteur
- R. Parra, R. Voytovych, N. Eustathopoulos
- article
- Metallurgical and Materials Transactions B, 2007, 38 (2), pp.347-349
- Accès au bibtex
-
- titre
- Water Vapor Effects in Low- and High-pO2 Environments
- auteur
- A. Galerie
- article
- Gordon Research Conference on High Temperature Corrosion, 2007, New London, United States
- Accès au bibtex
-
- titre
- Fluids flow effects on the precision of the measure perfromed by EML
- auteur
- J. Etay, P. Schetelat, M. Bardet
- article
- 5ème Séminaire Franco-Japonais sur "l'Elaboration des Matériaux sous Champs Magnétiques, 2007, Japan. a paraitre
- Accès au bibtex
-
- titre
- Effect of an axial high magnetic field on liquid-solid transformation and the microstructure of the solid in directionally solidified hypoeutectic Al-Cu alloys
- auteur
- Xiaojian Li, Y. Fautrelle, Zhuoxiang Ren
- article
- 5th Decennial International Conference on Solidification Processing SP07, 2007, Sheffield, United Kingdom. pp.400-404
- Accès au bibtex
-
- titre
- Ensemble averaged multiphase Eulerian model for columnar/equiaxed solidification of a binary alloy: II. Simulation of the columnar-to-equiaxed transition (CET)
- auteur
- A. Ciobanas, Y. Fautrelle
- article
- Journal of Physics D: Applied Physics, 2007, 400-401, pp.4310-4336
- Accès au bibtex
-
- titre
- 3D Numerical modelling of coupled phenomena in induced processes of heat treatment with Malice
- auteur
- P. Triwong, A. Gagnoud
- article
- ПЕС 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Large plastic stability in magnesium alloys : crystalline vs. amorphous alloys
- auteur
- R. Boissiere, S. Puech, J.J. Blandin
- article
- Euro SPF 07 (European Conference on Superplastic Forming), 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Thermodynamics of metal/oxide interfaces:wetting and adhesion
- auteur
- O. Dezellus, N. Eustathopoulos
- article
- Workshop on glass melting. Physicochemistry and hydrodynamics of glass melting, 2007, France
- Accès au bibtex
-
- titre
- Photoelectrochemical Study of Oxides Thermally Grown on Titanium in Oxygen or Water Vapor Atmospheres
- auteur
- Yves Wouters, Alain Galerie, Jean-Pierre Petit
- article
- Journal of The Electrochemical Society, 2007, 154 (10), pp.C587-C592. ⟨10.1149/1.2768299⟩
- Accès au bibtex
-
- titre
- A quasi-two-dimensional benchmark experiemtn for the solidification of a tin-lead binary alloy
- auteur
- X.D. Wang, P. Petitpas, C. Garnier, J.P. Paulin, Y. Fautrelle
- article
- Comptes rendus de l’Académie des sciences. Série IIb, Mécanique, 2007, 335, pp.336-341
- Accès au bibtex
-
- titre
- Influence of Nb Stabilization on the Recovery and Recrystallization Kinetics of a Ferritic Stainless Steel: Consequences on Magnetic Properties
- auteur
- N. Meyer, Y. Brechet, M. Veron, M. Mantel, P.E. Dubois, O. Geoffroy
- article
- Recrystallization & Grain Growth III, 2007, South Korea. pp.253-258
- Accès au bibtex
-
- titre
- Julius Hartmann and his Followers: A Review on the Properties of the Hartmann Layers
- auteur
- R. Moreau, S. Molokov
- article
- Magnetohydrodynamics: historical evolution and trends, 126 (1), Springer, pp.155-170, 2007
- Accès au bibtex
-
- titre
- Determination of the critical limit of the delta to gamma massive transformation in Fe-Cr alloys using diffusion couples
- auteur
- B. Chehab, J. Garrett, H.S. Zurob, Y. Brechet, M. Veron, J.C. Glez, J.D. Mitthieux
- article
- Euromat 2007, 2007, Germany. a paraitre
- Accès au bibtex
-
- titre
- Optimization, design and durability of vacuum insulation panels
- auteur
- G. Garnier, D. Quenard, B. Yrieix, M. Chauvois, L. Flandin, Y. Brechet
- article
- Wurtzburg Conference on Insulation materials, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Modeling of a SOFC fuelled by methane : analysis of carbon deposition
- auteur
- J.M. Klein, Y. Bultel, M. Pons, P. Ozil
- article
- Journal of Fuel Cell Science and Technology, 2007, 4, pp.427-433
- Accès au bibtex
-
- titre
- The deformation mechanisms of TWIP steels (Fe-Mn-C) viewed by X-ray diffraction
- auteur
- J.L. Collet, F. Bley, A. Deschamps, H. de Monestrol, J.F. Berar, C. Scott
- article
- Solid State Data - Pt B: Solid State Phenomena, 2007, 130, pp.53-56
- Accès au bibtex
-
- titre
- Structure and Magnetic Properties of Bi1-xKxFeO3
- auteur
- J. Dhahri, Michel Boudard, S. Zemni, Hervé Roussel, M. Oumezzine
- article
- Journal of Solid State Chemistry, 2007
- Accès au bibtex
-
- titre
- Aspects microscopiques de la germination dans les solutions solides
- auteur
- P. Guyot
- article
- Jubilee Jean Grilhé, 2007, Poitiers, France
- Accès au bibtex
-
- titre
- Reactivity of titanium in physiological medium. 1.Electrochemical characterization of the metal-protein interface
- auteur
- A. Ouerd, C. Alemany-Dumont, G. Berthomé, Bernard Normand, S. Szuneritz
- article
- Journal of The Electrochemical Society, 2007, 154 (10), pp.C593-C601
- Accès au bibtex
-
- titre
- La saga des matériaux: classes et mise en forme des céramiques
- auteur
- Didier Bernache-Assollant, Jean-Pierre Bonnet, Claude Paul Carry, Christophe Chaput, Thierry Chartier, Jean-Louis Chermant, Bernard Durand, Sandrine Garrault, Nathalie Herlin-Boime, Jean Michel Lamerant, Yann Leconte, Sophie Le Gallet, Anne Leriche, Jean-Claude Niepce, Jacques Poirier, Francis Rebillat, Françoise Valdivieso
- article
- Industrie céramique et verrière (L’), 2007, 1011, pp.40-74
- Accès au bibtex
-
- titre
- In situ investigation by X-Ray tomography of the overall and local microstructural changes occurring during partial remelting of an Al-15.8 wt.% Cu alloy
- auteur
- Nathalie Limodin, Luc Salvo, Michel Suéry, Marco Dimichiel
- article
- Acta Materialia, 2007, 55, pp.3177-3191. ⟨10.1016/j.actamat.2007.01.027⟩
- Accès au texte intégral et bibtex
-
- titre
- Contribution of Synchrotron Radiation in small-angle X-ray scattering studies in Hard Condensed Matter
- auteur
- Jean-Paul Simon
- article
- Journal of Applied Crystallography, 2007, 40 (s1), pp.s1-s9. ⟨10.1107/S0021889806055579⟩
- Accès au bibtex
-
- titre
- “3D modeling of sintering - Case of two grains
- auteur
- M. Ajdour, J. Léchelle, F. Valdivieso, Patrice Goeuriot
- article
- American Institute of Physics Conference Proceedings, 2007, Porto, Portugal. pp.1363-1368
- Accès au bibtex
-
- titre
- Microstructural modeling of cold creep/fatigue in near alpha titanium alloys using cellular automata method.
- auteur
- N. Boutana, Ph. Bocher, M. Jahazi, D. Piot, F. Montheillet
- article
- 11th World Conf. on Titanium, 2007, Kyoto, Japan. à paraître
- Accès au bibtex
-
- titre
- The photocatalytic activity of sol-gel derived photo-platinized TiO2 films
- auteur
- Cyril Millon, David Riassetto, Grégory Berthomé, Francine Roussel, Michel Langlet
- article
- Journal of Photochemistry and Photobiology A: Chemistry, 2007, 189 (2-3), pp.334-348. ⟨10.1016/j.jphotochem.2007.02.025⟩
- Accès au texte intégral et bibtex
-
- titre
- XPS sidewalls analyses ofP-Si/TiN/HfO2 gate stack etched with Cl and F based chemistries
- auteur
- O. Luere, E. Pargon, O. R. Joubert, T. Lill
- article
- 54th International AVS Symposium & Topical Conferences, 2007, seattle, United States
- Accès au bibtex
-
- titre
- Giga-strengh micro-and nano-structures "chill-zone" alloys from glass-forming alloys outside the glass-forming composition range
- auteur
- A.R. Yavari
- article
- World Premier Institute WPI Workshop on Materials, 2007, Japan
- Accès au bibtex
-
- titre
- Status of SiC bulk growth process
- auteur
- Didier Chaussende, Peter Wellmann, Michel Pons
- article
- Journal of Physics D: Applied Physics, 2007, 20 (20), pp.6150-6158. ⟨10.1088/0022-3727/40/20/S02⟩
- Accès au bibtex
-
- titre
- Viscoelastic behavior and electrical properties of flexible nanofibers filled polymer nanocomposites. Influence of processing conditions
- auteur
- Florent Dalmas, Laurent Chazeau, C. Gauthier, J. Y. Cavaille, R. Dendievel
- article
- Composites Science and Technology, 2007, 67 (5), pp.829-839
- Accès au bibtex
-
- titre
- Inverse growth transport in thermal chromia scales on Fe-15 Cr steels in oxygen or in water vapour and its consequence on scale adhesion
- auteur
- G. Bamba, Y. Wouters, A. Galerie, G. Borchardt, S. Shimada, O. Heintz, S. Chevalier
- article
- Scripta Materialia, 2007, 57 (8), pp.671-674
- Accès au bibtex
-
- titre
- Free volume and elastic property changes in Cu-Zr-Ti-Pd bulk glassy alloy on heating
- auteur
- D. Louzguine, A.R. Yavari, K. Ota, Gaogang Xie, G. Vaughan, A. Inoue
- article
- Journal of Alloys and Compounds, 2007, 431, pp.136-140
- Accès au bibtex
-
- titre
- Unusual room temperature ductility of glassy copper-zirconium caused by nanoparticle dispersions that grow during shear
- auteur
- K. Hajlaoui, B. Doisneau, A.R. Yavari, W.J. Botta, W. Zhang, G. Vaughan, Å. Kvick, A. Inoue, A.L. Greer
- article
- Materials Science and Engineering: A, 2007, A449-A451, pp.105-110. ⟨10.1016/j.msea.2006.01.168⟩
- Accès au bibtex
-
- titre
- Homodyne and heterodyne X-ray photon correlation spectroscopy: latex particles and elastomers
- auteur
- F. Livet, F. Bley, F. Ehrburger-Dolle, I. Morfin, E. Geissler, M. Sutton
- article
- Journal of Applied Crystallography, 2007, 40 (S1), pp.s38-s42
- Accès au bibtex
-
- titre
- Enhanced adhesion of atomic layer deposited Titania on polycarbonate substrates
- auteur
- B.A. Latella, G. Triani, Zhen Zhang, K.T. Short, J.R. Bartlett, M. Ignat
- article
- Thin Solid Films, 2007, 515 (5), pp.3138-3145. ⟨10.1016/j.tsf.2006.08.022⟩
- Accès au bibtex
-
- titre
- Study of a Thermoacoustic MHD generator
- auteur
- A. Alemany, M. Alradi, G. Hasan
- article
- First International seminar on Fluid Dynamics and Material Processing, 2007, Alger, Algeria
- Accès au bibtex
-
- titre
- MHD: Kelvin-Helmholtz instability in non-hydrostatic equilibrium
- auteur
- A. Bouabdallah, M. Zizi, A. Alemany
- article
- Journal of Physics: Conference Series, 2007, a paraitre
- Accès au bibtex
-
- titre
- Structural study of nanoporous ultra low-k dielectrics using complementary techniques: ellipsometric porosimetry, X-ray reflectivity and grazing incidence small-angle X-ray scattering
- auteur
- V. Jousseaume, G. Rolland, D. Babonneau, J.P. Simon
- article
- Applied Surface Science, 2007, 254, pp.473-479
- Accès au bibtex
-
- titre
- GISAXS in dielectrics thin films
- auteur
- J.P. Simon
- article
- BCA IG Small Angle Scattering SIG, grenoble ILL-ESRF, 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Microstructure features of large grains in WC-Co alloys
- auteur
- Aurelie Delanoë, Sabine Lay, J.M. Missiaen
- article
- 2006 Powder Metallurgy World Congress, 2007, Séoul, South Korea. pp.1213-1216, ⟨10.4028/www.scientific.net/MSF.534-536.1213⟩
- Accès au bibtex
-
- titre
- Computational Modeling of Dissolving Metal-Electrolyte Interfaces: Chemical and Morphological Heterogeneity
- auteur
- P. Cordoba-Torres, R.P. Nogueira
- article
- 10th Computational Modelling Meeting - Instituto Politécnico UERJ, 2007, Rio de Janero, Brazil
- Accès au bibtex
-
- titre
- Modeling of 3C-SiC Single Crystal Growth
- auteur
- J.M. Dedulle, Florian Mercier, D. Chaussende, M. Pons
- article
- Conférence européenne COMSOL, 2007, France. pp.8
- Accès au bibtex
-
- titre
- Impact of Post Via Clean Solution on Self Aligned Barrier Integrity: Corrosion and Cu/Co Galvanic Coupling
- auteur
- C. Pernel, S. Bilouk, R.P. Nogueira, G. Passemard
- article
- Advanced Metallization Conference (AMC), 2007, United States. a paraitre
- Accès au bibtex
-
- titre
- Electrochemical Behavior of Copper in Post-Etch Cleaning Solutions
- auteur
- Sabrina Bilouk, Carole Pernel, Ricardo Nogueira, Lucile Broussous, Paul-Henri Haumesser, Gerard Passemard
- article
- 212th Electrochemical Society Meeting, 2007, Chicago, United States. ⟨10.1149/1.2779403⟩
- Accès au bibtex
-
- titre
- Caractérisation structurale de laine d'acier au cours d'un essai de compression in situ en tomographie aux rayons X
- auteur
- C. Barbier, J.P. Masse, L. Salvo, R. Dendievel, D. Rodney
- article
- Journées annuelles SF2M, 2007, Saint Etienne, France
- Accès au bibtex
-
- titre
- Forming of Mg bukl metallic glasses in the suopercooled region
- auteur
- S. Puech, J.J. Blandin, J.L. Soubeyroux
- article
- TMS 2007, Symposium Bulk Metallic Glasses, 2007, Orlando (Floride), United States
- Accès au bibtex
-
- titre
- Effect of oxygen content on the sintering of tungsten/copper powder mixtures
- auteur
- J.J. Raharijaona, J.M. Missiaen, Raphaël Mitteau
- article
- Euro PM2007, 2007, Toulouse, France. pp.143
- Accès au bibtex
-
- titre
- Raman spectroscopy and X-ray diffraction studies of stress effects in PbTiO3 thin films
- auteur
- A. Bartasyte, O. Chaix-Pluchery, J. Kreisel, J. Santiso, S. Margueron, Michel Boudard, Carmen Jiménez, A. Abrutis, F. Weiss
- article
- IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2007, 54 (12), pp.2623. ⟨10.1109/TUFFC.2007.589⟩
- Accès au texte intégral et bibtex
-
- titre
- Microstructure, local and global mechanical properties of friction stir welds in aluminium alloy 6005A-T6
- auteur
- Aude Simar, Yves Bréchet, B. de Meester, Anne Denquin, Thomas Pardoen
- article
- Materials Science and Engineering, 2007, 486 (1-2), pp.85-95. ⟨10.1016/j.msea.2007.08.041⟩
- Accès au texte intégral et bibtex
-
- titre
- Properties of ultra-thin vanadium layers in V/Ru superlattices
- auteur
- Fabiola Liscio, Mireille Maret, Carlo Meneghini, Jean-Louis F Hazemann, Martin Albrecht
- article
- Journal of Physics: Condensed Matter, 2007, 19 (48), pp.486005. ⟨10.1088/0953-8984/19/48/486005⟩
- Accès au texte intégral et bibtex
-
- titre
- Multiscale Modelling of Nanoindentation
- auteur
- Hyung Jun Chang, Heung Nam Han, Marc C Fivel
- article
- Key Engineering Materials, 2007, 345-346, pp.925-930. ⟨10.4028/www.scientific.net/KEM.345-346.925⟩
- Accès au texte intégral et bibtex
-
- titre
- Joining, Interfacial adhesion an capillarity
- auteur
- N. Eustathopoulos, M. Ferraris
- article
- International Journal of Adhesion and Adhesives, 2007, 27, pp.351-430
- Accès au bibtex
-
- titre
- Indentation Behaviour of Interlocked StructuresMade of Ice: Influence of the Friction Coefficient
- auteur
- Antoine Autruffe, Franck Pelloux, Charles Brugger, Paul Duval, Yves Bréchet, Marc Fivel
- article
- Advanced Engineering Materials, 2007, 9 (8), pp.664 à 666. ⟨10.1002/adem.200700111⟩
- Accès au bibtex
-
- titre
- Transient regimes during high-temperature deformation of a bulk metallic glass: A free volume approach
- auteur
- Marc Bletry, Pierre Guyot, Yves Brechet, J.J. Blandin, Jean-Louis Soubeyroux
- article
- Acta Materialia, 2007, 55 (18), pp.6331-6337. ⟨10.1016/j.actamat.2007.07.047⟩
- Accès au bibtex
-
- titre
- Reactive brazing: The effect of Ti concentration in CuAg-Ti alloys on the microstructure and performances of metal/ alumina joints
- auteur
- O. Kozlova, R. Voytovych, M.F. Devismes, M. Braccini, N. Shah, N. Eustathopoulos
- article
- LOT 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Thermodynamics of the Al-C-O Ternary System. III. Mass Spectrometric Characterization of the Non-Equilibrium Vaporization in the Alumina-Graphite System
- auteur
- M. Heyrman, A. Pisch, C. Chatillon
- article
- Russian Journal of Electrochemistry, 2007, 154 (3), pp.40-47
- Accès au bibtex
-
- titre
- Low temperature liquid phase epitaxy with Sn-based solvent
- auteur
- F. Abdo, A. Fave, M. Lemiti, A. Pisch, C. Bernard
- article
- physica status solidi (c), 2007, 4, pp.1397-1400
- Accès au bibtex
-
- titre
- Atomic structure of the binary icosahedral Yb-Cd quasicrystal.
- auteur
- H. Takakura, C.P. Gomez, A. Yamamoto, M. de Boissieu, A.P. Tsai
- article
- Nature Materials, 2007, 6, pp.58-63
- Accès au bibtex
-
- titre
- Elastic instability of slip traces in oxidized thin foils
- auteur
- S. Youssef, M. Fnaiech, R. Bonnet
- article
- physica status solidi (b), 2007, pp.1-5
- Accès au bibtex
-
- titre
- Caractérisation de la microporosité dans un alliage aluminium-Cuivre par tomographie à rayons X
- auteur
- M. Felberbaum, J.D. Wagniere, L. Salvo, M. Suéry, M. Rappaz
- article
- JA 2007 SF2M, 2007, Saint Etienne, France
- Accès au bibtex
-
- titre
- Thermally-activated glide of dislocations
- auteur
- D. Rodney
- article
- MRS meeting, 2007, Boston, United States
- Accès au bibtex
-
- titre
- Lattice dynamics of the Zn-Mg-Sc icosahedral quasicrystal and its Zn-Sc periodic 1/1 approximant
- auteur
- M. Mihalkovic, S. Francoual, K. Shibata, M. de Boissieu
- article
- Nature Materials, 2007, 6, pp.977-984
- Accès au bibtex
-
- titre
- Membrane and Active Layer Degradation upon PEMFC Steady-State Operation I. Platinum Dissolution and Redistribution within the MEA
- auteur
- Elodie Guilminot, Audrey Corcella, Marian Chatenet, Frédéric Maillard, Frédéric Charlot, Grégory Berthomé, Cristina Iojoiu, Jean-Yves Sanchez, Elisabeth Rossinot, Eric Claude
- article
- Journal of The Electrochemical Society, 2007, 154 (11), pp. B1106-B1114. ⟨10.1149/1.2775218⟩
- Accès au bibtex
-
- titre
- High temperature Sulfidation resistance of DO3-ordered FeAl tested in H2S-Rich gases
- auteur
- P.Y. Thery, A. Fraczkiewicz, C. Genevois, F. Longaygue, F. Ropital
- article
- MRS 980, 2007, United States. pp.57-63
- Accès au bibtex
-
- titre
- Low-loss spectroscopy for low-k dielectric analysis (oral)
- auteur
- M.C. Cheynet, S. Pokrant
- article
- Workshop on new trends in electron microscopy: low-loss EELS and "In-Situ", 2007, Germany
- Accès au bibtex
-
- titre
- Sintering analysis of heterogeneous powder structures by in situ microtomography
- auteur
- L. Olmos, T. Takahashi, D. Bouvard, J.M. Missiaen, D. Bellet, M. Di Michiel
- article
- Euro PM 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Metastable and Nano Materials
- auteur
- F. Audebert, F. Saporiti, Michel Boudard, M. Galano
- article
- ISMANAM 2007 14th International Symposium, 2007, Corfu, Greece
- Accès au bibtex
-
- titre
- Numerical modelling of dislocation dynamics
- auteur
- M. Fivel
- article
- Note de cours publiés dans le recueil de l'école d'été : Generalised Continua and Dislocation Theory: Theoretical Concepts, Computational Methods and Experimental Verification, Udine, Italie, Carlos Sansour, a paraitre, 2007
- Accès au bibtex
-
- titre
- Modeling of a SOFC fuelled by methane: From direct internal reforming to gradual internal reforming
- auteur
- J.M. Klein, Y. Bultel, S. Georges, M. Pons
- article
- Chemical Engineering Science, 2007, 62 (6), pp.1636-1649
- Accès au bibtex
-
- titre
- α to β phase transformation of Nickel Sulfide in tempered glass
- auteur
- O. Yousfi, P. Donnadieu, Y. Brechet, A. Kasper, F. Serruys
- article
- ALEMI (6th International Workshop on Alloying Element Effects of Migration of Interfaces), 2007, Canada
- Accès au bibtex
-
- titre
- Numerical study of solidification of Ti–Al binary alloy in microgravity conditions
- auteur
- A. Ciobanas, A. Noeppel, Y. Fautrelle
- article
- Liquid metal Processing and Casting, LMPC 2007, 2007, Nancy, France. a paraitre
- Accès au bibtex
-
- titre
- Surface slip markings of fatigue-tested materials hardened by precipitates: dislocation dynamics approach
- auteur
- C.S. Shin, M. Fivel, C. Robertson, W.W. Kim
- article
- xxx, 2007, France. pp.99-102
- Accès au bibtex
-
- titre
- Magnetohydrodynamics: historical evolution and trends
- auteur
- S. Molokov, R. Moreau, H.K. Moffatt
- article
- Springer serie: Fluid Mechanics and its Applications, vol. 80, 434-435, a paraitre, 2007
- Accès au bibtex
-
- titre
- Grain structure of Al-Ni alloys directionally solidified using a travelling magnetic field
- auteur
- K. Zaïdat, Nathalie Mangelinck-Noël, R. Moreau
- article
- THE 15TH INTERNATIONAL CONFERENCE ON CRYSTAL GROWTH, 2007, xx, United States. pp.180-187
- Accès au bibtex
-
- titre
- Membrane and active layer degradation upon PEMFC steady-state operation. I. Platinum dissolution and redistribution within the MEA
- auteur
- A. Corcella, M. Chatenet, F. Maillard, F. Charlot, G. Berthome, C. Lojoiu, J.Y. Sanchez, E. Rossinot, E. Claude
- article
- Journal of The Electrochemical Society, 2007, 154 (11), pp.B1106-B1114
- Accès au bibtex
-
- titre
- 2006 Powder Metallurgy World Congress
- auteur
- A. Delanoë, S. Lay, J. M. Missiaen
- article
- 2007, pp.1213-1216
- Accès au bibtex
-
- titre
- A. Thess and A. Tsinober, MHD Turbulence at Low Magnetic Reynolds Number: Present Understanding and Future Needs
- auteur
- S. Molokov, R. Moreau, H.K. Moffatt
- article
- Springer. Magnetohydrodynamics: Historical Evolution and Trends, R. Moreau, pp.219-234, 2007, Fluid Mechanics and Its Applications
- Accès au bibtex
-
- titre
- Influence de la composition sur la forme des grains de carbure dans les alliages WC-Co
- auteur
- A. Delanoë-Cheze, S. Lay, C. Allibert
- article
- Science et Technologie des Poudres - Poudres et Materiaux Frittés, 2007, Albi, France. pp.cdRom
- Accès au bibtex
-
- titre
- Spherical pore replicated microcellular aluminium: Processing and influence on properties
- auteur
- R. Goodall, A. Marmottant, L. Salvo, A. Mortensen
- article
- Materials Science and Engineering: A, 2007, 465, pp.124-135
- Accès au bibtex
-
- titre
- Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma
- auteur
- D. Eon, Maxime Darnon, T. Chevolleau, T. David, L. Vallier, O. R. Joubert
- article
- J. Vac Sc. Technol., 2007, pp.B 25, (2007), 715-720
- Accès au bibtex
-
- titre
- Microstructural characterisation of partial melt alloys by in-situ X-Ray microtomography
- auteur
- M. Suery
- article
- Communication à l'Ecole d'Eté Marie Curie “Partially molten and amorphous materials”, 2007, Portugal
- Accès au bibtex
-
- titre
- Shear-band melting in Bulk Metallic Glasses
- auteur
- A.R. Yavari
- article
- BMG-VI, 2007, China
- Accès au bibtex
-
- titre
- Stability Criterion For The Determination of The Primary Arm Spacing
- auteur
- A. Ciobanas, A. Noeppel, Y. Fautrelle
- article
- International Journal of Cast Metals Research, 2007, a paraitre
- Accès au bibtex
-
- titre
- DBC Technology for Extremely Thin Flat Heat Pipes
- auteur
- L. Kamenova, Y. Avenas, G. Schaeffer, G. Kapelski, J. Tzanova, J. Schulz-Harder
- article
- IEEE-IAS 2007 Annual Meeting September 23-27, 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Numerical modelling of a levitated liquid in a cold crucible
- auteur
- R. Ernst, C. Garnier, P. Petitpas, C. Trassy
- article
- Comsol conference 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- From materials selection to materials design
- auteur
- Y. Brechet
- article
- DCK.McDonald lecture: Metal Physics conference, 2007, Hamilton, Canada
- Accès au bibtex
-
- titre
- Effect of nominal strontium deficiency on the structure and the magnetic properties of La0.6Sr0.4-dMn03 manganese perovskites
- auteur
- S. Zemni, A. Gasmi, Michel Boudard, M. Oumezzine
- article
- Materials Science and Engineering: B, 2007, a paraitre
- Accès au bibtex
-
- titre
- Microstructural characterization of a fine-grained ultra low carbon steel
- auteur
- K. Mathis, E. Rauch
- article
- Materials Science and Engineering: A, 2007, 462, pp.248-252
- Accès au bibtex
-
- titre
- Amorphous and crystalline phases in rapidly solidified Al-Ta and Al-Ta-V alloys
- auteur
- P. Donnadieu, P. Ochin
- article
- Journal of Alloys and Compounds, 2007, 434-435, pp.255-258
- Accès au bibtex
-
- titre
- Julius Hartmann and its Followers: a Review on the properties of the Hartmann layers
- auteur
- R. Moreau
- article
- Springer. Magnetohydrodynamics :Historical evolution and trends, R. Moreau, pp.153-156, 2007, Fluid Mechanics and Its Applications
- Accès au bibtex
-
- titre
- Influence of the infiltration pressure on the structure and properties of replicated aluminium foams
- auteur
- J.F. Despois, A. Marmottant, L. Salvo, A. Mortensen
- article
- Materials Science and Engineering: A, 2007, 462, pp.68-75
- Accès au bibtex
-
- titre
- 3D dynamic mesh numerical model for multi-crystalline silicon furnaces
- auteur
- Y. Delannoy, F. Barvinschi, T. Duffar
- article
- Journal of Crystal Growth, 2007, 303, pp.170-174
- Accès au bibtex
-
- titre
- Ultra low K dielectrics for microelectronics studied by GISAXS
- auteur
- J.P. Simon
- article
- BCA Workshop, 2007, Grenoble, France. pp.1636-1649
- Accès au bibtex
-
- titre
- Prediction of the thermal radiative properties of an x-ray u-tomographied porous silica glass
- auteur
- B. Rousseau, D. de Sousa Meneses, P. Echegut, M. Di Michiel, J.-F. Thovert
- article
- Applied optics, 2007, 46, pp.4266-4276
- Accès au bibtex
-
- titre
- Experimental and numerical investigations of Electro-chemical Processes Controlled by High magnetic Fields
- auteur
- P. Mathon, A. Nouri, A. Alemany, J.P. Chopart, V. Sobolik
- article
- First international seminar on FLUID DYNAMICS AND MATERIAL PROCESSING, 2007, Alger, Algeria
- Accès au bibtex
-
- titre
- Magnetic losses dependance on microstructure for a 17 Weight pct Chromium stainless steel devoted to electromagnetic actuation
- auteur
- N. Meyer, O. Geoffroy, Y. Brechet, M. Veron, M. Mantel, P.E. Dubois
- article
- Euromat 2007, 2007, Germany. a paraitre
- Accès au bibtex
-
- titre
- Evolution of Cu microstructure and resistivity during thermal treatment of damascene line: Influence of line width and temperature
- auteur
- V. Carreau, S. Maitrejean, M. Verdier, Y. Brechet, A. Roule, A. Toffoli, V. Delaye, G. Passemard
- article
- Microelectronic Engineering, 2007, pp.51
- Accès au bibtex
-
- titre
- GISAXS studies of dielectrics thin films for ultra large scaled integrated microelectronics
- auteur
- J.P. Simon
- article
- 2nd GISAXS worshop, 2007, France
- Accès au bibtex
-
- titre
- High temperature mass spectrometric Study of the interactions in the SiC-SiC2-system
- auteur
- G. Honstein, C. Chatillon, Francis Baillet
- article
- Journal of Alloys and Compounds, 2007, 452, pp.85-88
- Accès au bibtex
-
- titre
- Reactive vs non-reactive wetting of ZrB2 by azeotropic Au-Ni
- auteur
- R. Voytovych, A. Koltsov, F. Hodaj, N. Eustathopoulos
- article
- Acta Materialia, 2007, 55, pp.6316-6321
- Accès au bibtex
-
- titre
- X-ray tomography study of the cellular structure of extruded starches and its relations with expansion phenomenon and foam mechanical properties
- auteur
- P. Babin, G. Della Valle, R. Dendievel, D. Lourdin, L. Salvo
- article
- Carbohydrate Polymers, 2007, 68, pp.329-340
- Accès au bibtex
-
- titre
- Microstructure and Mechanical Strength evolution with scale refinement in metallic multilayers
- auteur
- M. Verdier, M. Veron, F. Robaut
- article
- Mater. Res. Soc. Symp. Proc., 2007, Boston, United States
- Accès au bibtex
-
- titre
- Equilibrium thermodynamic analysis of growth atmosphere composition and crucible material influence on the dewetting of InSb and GaSb
- auteur
- L. Sylla, T. Duffar, A. Pisch
- article
- 15th International Conference on Crystal growth, 2007, Salt Lake City, United States. pp.105-110
- Accès au bibtex
-
- titre
- Thermal and geometric properties of alloy clusters studied with Brownian-type isothermal molecular dynamics simulations
- auteur
- W. Yen, S.K. Lai, N. Jakse, J.L. Bretonnet
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 75, pp.165420
- Accès au bibtex
-
- titre
- A sedimentation process for the fabrication of solid oxide fuel cell cathods with graded composition
- auteur
- J. Simonet, G. Kapelski, D. Bouvard
- article
- Journal of the European Ceramic Society, 2007, 27 (10), pp.3113-3116
- Accès au bibtex
-
- titre
- Surface slip markings of fatigue-tested materials hardened by precipitates: dislocation dynamics approach
- auteur
- C. Shin, M. Fivel, C. Robertson, W.W. Kim
- article
- Solid State Phenomena, 2007, 119, pp.99-102
- Accès au bibtex
-
- titre
- Multiscale modelling of clear band formation
- auteur
- D. Rodney, T. Nogaret, C. Robertson, M. Fivel
- article
- MRS meeting, 2007, United States
- Accès au bibtex
-
- titre
- Dry reforming of methane over nickel catalysts supported on the cuspidine-like phase Nd4Ga2O9.
- auteur
- V. Garcia, Maria Teresa Caldes, O. R. Joubert, G. Sierra Gallego, Catherine Batiot-Dupeyrat, Y. Piffard, J. A. Moreno
- article
- Catalysis Today, 2007, 133-135, pp.231-238. ⟨10.1016/j.cattod.2007.12.061⟩
- Accès au bibtex
-
- titre
- A numerical approach to predict the SOFC fracture : the case of an anode supported cell.
- auteur
- J. Laurencin, G. Delette, M. Dupeux, F. Lefebvre-Joud.
- article
- ECS Transactions, 2007, pp.677-686
- Accès au bibtex
-
- titre
- Electrochemical Behavior of Copper in Post-Via-Etch Cleaning Solutions
- auteur
- Sabrina Bilouk, Carole Pernel, Ricardo P. Nogueira, Lucile Broussous, Paul Henri Haumesser, Gérard Passemard
- article
- ECS Transactions, 2007, 11 (2), p. ⟨10.1149/1.2779403⟩
- Accès au bibtex
-
- titre
- An estimation of ceramic fracture at singularities by a statistical approach
- auteur
- J. Laurencin, G. Delette, M. Dupeux
- article
- Journal of the European Ceramic Society, 2007, 28, pp.1-13
- Accès au bibtex
-
- titre
- Determination of adhesion energy of thermal oxide scales on AISI 430Ti alloy
- auteur
- S. Chandra-Ambhorn, F. Roussel-Dherbey, F. Toscan, Y. Wouters, A. Galerie, M. Dupeux
- article
- Materials Science and Technology, 2007, 4, pp.497-501
- Accès au bibtex
-
- titre
- Alloys go with the grain
- auteur
- C.L. Martin
- article
- Nature, 2007, 445, pp.34-35
- Accès au bibtex
-
- titre
- Mechanical behaviour of nanocomposites derived from zirconium based bulk amorphous alloys
- auteur
- S. Gravier, L. Charleux, A. Mussi, J.J. Blandin, P. Donnadieu, M. Verdier
- article
- Journal of Alloys and Compounds, 2007, 434-435, pp.79-83
- Accès au bibtex
-
- titre
- Study of the deformation mechanisms of TWIP steels (Fe-Mn-C) by X-ray diffraction.
- auteur
- J.L. Collet, F. Bley, A. Deschamps, C. Scott
- article
- Advanced Materials Research, 2007, 15, pp.822
- Accès au bibtex
-
- titre
- Photoelectrochemical imaging of thermally grown oxide scales
- auteur
- Y. Wouters, Loic Marchetti, A. Galerie, P. Bouvier, J. P. Petit
- article
- Local Probe Techniques for Corrosion Research (EFC 45), Woodhead Publ. Ltd., Cambridge, pp.172-177, 2007
- Accès au bibtex
-
- titre
- Metallic Hollow Spheres Foam: Structure and Mechanics
- auteur
- A. Fallet, L. Salvo, Y. Brechet
- article
- 5th conference on porous metal and metallic foams Sept. 2007 Montreal, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Effect of Nb on ferrite recrystallization and austenite decomposition in microalloyed steels
- auteur
- Y. Brechet, C. R. Hutchinson, H.S. Zurob, C. W. Sinclair
- article
- Journal of Iron and Steel Research International, 2007, 78, pp.210-215
- Accès au bibtex
-
- titre
- The relation between mechanical stimulus and cell response in trabecular bone remodeling
- auteur
- J.W.C. Dunlop, M.A. Hartmann, Y. Brechet, P. Fratzl, R. Weinkamer
- article
- Calcified Tissue International, 2007, 80, pp.s51-s51
- Accès au bibtex
-
- titre
- Modelling isothermal and non-isothermal recrystallisation kinetics: Application to Zircaloy-4
- auteur
- Y. Brechet, J.W.C. Dunlop, L. Legras, H.S. Zurob
- article
- Journal of Nuclear Materials, 2007, 366, pp.178-186
- Accès au bibtex
-
- titre
- Design of stucture gradients in scratch resistant copper
- auteur
- A.R. Yavari
- article
- Européenne MRS Fall meeting, symposium special pour la médaille Acta Mater de H. Gleiter, 2007, Poland
- Accès au bibtex
-
- titre
- Oscillation of liquid drops under gravity: Influence of shape on the resonance frequency
- auteur
- M Perez, Y Brechet, L Salvo, M Papoular, M Suery
- article
- EPL - Europhysics Letters, 2007, 47 (2), pp.189-195. ⟨10.1209/epl/i1999-00371-6⟩
- Accès au texte intégral et bibtex
-
- titre
- Mechanisms of solid state interactions in UMo/Al system
- auteur
- F. Mazaudier, C. Proye, F. Hodaj
- article
- Diffusion and diffusional phase transformations in alloys. DIFTRANS-2007, 2007, Ukraine
- Accès au bibtex
-
- titre
- Dewetting of antimonides in fused silica crucibles under different growth atmospheres and conditions
- auteur
- L. Sylla, T. Duffar
- article
- 3rd Int.Symp. on Physical Sciences in Space – ISPS2007, 2007, Nara, Japan. pp.2613-2633
- Accès au bibtex
-
- titre
- Ductilization of BMGs by optimization of nanoparticle dispersion
- auteur
- K. Hajlaoui, A.R. Yavari, J. Das, G. Vaughan
- article
- Journal of Alloys and Compounds, 2007, pp.6-9
- Accès au bibtex
-
- titre
- Simulation of the mechanical behavior of heterogeneous materials using cellular automata.
- auteur
- N. Boutana, Ph. Bocher, M. Jahazi, D. Piot, F. Montheillet
- article
- First Int. Conf. on Sustainable Manufacturing (SM1), 2007, Montréal, Canada. pp.25-33
- Accès au bibtex
-
- titre
- Post-flambage unilatéral des films minces sur substrat. Transitions entre équilibres
- auteur
- G. Parry, J. Colin, C. Coupeau, A. Cimetière
- article
- Revue Européenne de Mécanique Numérique/European Journal of Computational Mechanics, 2007, 16, pp.941-955
- Accès au bibtex
-
- titre
- Recent developments toward the use of tungsten as armour material in plasma facing components
- auteur
- Raphaël Mitteau, J.M. Missiaen, P. Brustolin, O. Ozer, A. Durocher, C. Ruset, C. Lungu, X. Courtois, C. Dominicy, H. Maier, C. Grisolia, G. Piazza, P. Chappuis
- article
- Fusion Engineering and Design, 2007, 82, pp.1700-1705. ⟨10.1016/j.fusengdes.2007.01.003⟩
- Accès au texte intégral et bibtex
-
- titre
- Fatigue des empilements de sphères creuses
- auteur
- Olivier Caty, E Maire, R Bouchet, Yves Brechet
- article
- 18ème Congrès Français de Mécanique (Grenoble 2007), 2007
- Accès au bibtex
-
- titre
- Chlorinated silicon carbide CVD revisited for polycristalline bulk growth
- auteur
- G. Chichignoud, M. Ucar, M. Pons, E. Blanquet
- article
- Surface and Coatings Technology, 2007, 201, pp.8888-8892
- Accès au bibtex
-
- titre
- comparison between electron beam and ultravilet curing to preform porous a-SIOC:H
- auteur
- V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet, J.P. Simon, A. Humbert
- article
- Journal of The Electrochemical Society, 2007, 154, pp.G103-G109
- Accès au bibtex
-
- titre
- Mechanical properties of bulk metallic glasses
- auteur
- A.R. Yavari, J.J. Lewandowski, J. Eckert
- article
- MRS Bulletin, 2007, 32 (8), pp.635-638
- Accès au bibtex
-
- titre
- Effect of AC Magnetic Field on Free Surfaces
- auteur
- Y. Fautrelle, A. Sneyd, J. Etay
- article
- Magnetohydrodynamics: historical evolution and trends, 126 (1), Springer, pp.354-356, 2007
- Accès au bibtex
-
- titre
- Effect of the gap on the curvature of the solid-liquid interface during dewetted Bridgman crystal growth
- auteur
- S. Epure, T. Duffar, L. Braescu, S. Balint
- article
- 3rd Int.Symp. on Physical Sciences in Space – ISPS2007, 2007, Nara, Japan. pp.84-87
- Accès au bibtex
-
- titre
- Experimental investigation on the dewetting of antimonides in fused silica crucibles under different growth conditions
- auteur
- L. Sylla, T. Duffar
- article
- 15th International Conference on Crystal growth, 2007, Salt Lake City, United States. pp.505-508
- Accès au bibtex
-
- titre
- Adhesion Energy of a YPSZ EBPVD Layer in Two Thermal Barrier Coating Systems
- auteur
- P.Y. Thery, M. Poulain, M. Dupeux, M. Braccini
- article
- Surface and Coatings Technology, 2007, 202, pp.648-652
- Accès au bibtex
-
- titre
- Contribution of small-angle x-ray scattering to microstructural investigation of newly developed Mg-Rare Earth alloys for structural applications
- auteur
- C. Antion, F. Bley, P. Donnadieu, A. Deschamps, A. Pisch, J.J. Blandin, C. Tassin-Arques
- article
- Journal of Applied Crystallography, 2007, 40 (s1), pp.s126-s131
- Accès au bibtex
-
- titre
- Contribution of the interface energies to the growth process of cemented carbides WC-Co
- auteur
- S. Lay, J.M. Missiaen, C.H. Allibert
- article
- 2006 Powder Metallurgy World Congress, 2007, South Korea. pp.332-333
- Accès au bibtex
-
- titre
- Mechanical behavior of bulk metallic glasses : between polymers and oxide glasses ?
- auteur
- Jean-Marc Pelletier, C. Gauthier, S. Gravier, J.J. Blandin
- article
- TMS 2007, Symposium Bulk Metallic Glasses, 2007, Orlando (Floride), United States
- Accès au bibtex
-
- titre
- Modelling radiative heat transfer in thermal plasmay
- auteur
- J.G Lacombe, Y. Delannoy, C. Trassy
- article
- Conference Proceedings International Conference on phenomena in ionized gases, 2007, Prague, Czech Republic. pp.1515-1521
- Accès au bibtex
-
- titre
- Local order and phase selection in undercooled transition metal based systems: ab initio molecular dynamics study
- auteur
- N. Jakse, A. Pasturel
- article
- Phase Transitions, 2007, 80, pp.369
- Accès au bibtex
-
- titre
- Dissimilar material joining using laser (aluminium to steel using zinc-based filler wire)
- auteur
- A. Mathieu, R. Shabadi, A. Deschamps, M. Suéry, S. Matteï, D. Grevey, E. Cicala
- article
- Optics and Laser Technology, 2007, 39, pp.652-661
- Accès au bibtex
-
- titre
- On the tailoring of discrete element simulation for investigating processing and properties of powder materials
- auteur
- C.L. Martin, D. Bouvard, P. Pizette, L.C.R. Schneider, J. Simonet, L. Olmos
- article
- Euro PM 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Oriented growth of single-walled carbon nanotubes on a MgO(001) surface
- auteur
- M. Maret, K. Hostache, M.C. Schouler, B. Marcus, F. Roussel-Dherbey, M. Albrecht, P. Gadelle
- article
- Carbon, 2007, 45, pp.180-187
- Accès au bibtex
-
- titre
- Recent studies of metallic glasses by x-ray diffraction in transmission using synchrotron radiation
- auteur
- A.R. Yavari
- article
- Joint The First International Conference on the Science and Technology for Advanced Ceramics (STAC) and The Second International Conference on Joining Technology for New Metallic Glasses and Inorganic Materials (JTMC) Kanagawa, 2007, Kanagawa, Japan
- Accès au bibtex
-
- titre
- Dislocation dynamics in ice single crystals deformed by torsion
- auteur
- J. Chevy, M. Fivel, C. Fressangeas, Anthony Beaudoin, P. Duval, M. Montagnat
- article
- EUROMAT 2007, 2007, Nuremberg, Germany
- Accès au bibtex
-
- titre
- Atomic-scale simulation of the interaction between screw and mixte dislocations with nanotwins in Copper
- auteur
- M. Chassagne, D. Rodney
- article
- XII International Conference on Intergranular and Interphase Boundaries, 2007, Barcelone, Spain
- Accès au bibtex
-
- titre
- Constitutive equation for the compression of metallic hollow spheres foam
- auteur
- P. Lhuissier, Y. Brechet, M. Fivel, L. Salvo
- article
- Met foam conference, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Frittage de mélanges de poudres : expériences et modélisation
- auteur
- L. Olmos, C. L. Martin, D. Bouvard
- article
- Colloque Poudres et Matériaux Frittés, 23-25 mai 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Knudsen cell mass spectrometry applied to the investigation of organometallic precursors vapours
- auteur
- P. Violet, I. Nuta, C. Chatillon, E. Blanquet
- article
- Surface and Coatings Technology, 2007, 201, pp.8813-8817
- Accès au bibtex
-
- titre
- Metallic glasses: The changing faces of disorder
- auteur
- A.R. Yavari
- article
- Nature Materials, 2007, 6, pp.181-182
- Accès au bibtex
-
- titre
- Indentation plasticity of amorphous and partially crystallized metallic glasses
- auteur
- L. Charleux, S. Gravier, M. Verdier, M. Fivel, J.J. Blandin
- article
- Journal of Materials Research, 2007, 22 (2), pp.525-532
- Accès au bibtex
-
- titre
- Plastic deformation, damage and rupture of PM Ti-6Al-4V at 20K under monotonic loading
- auteur
- S. Di Iorio, L. Briottet, E. Rauch, D. Guichard
- article
- Acta Materialia, 2007, 55, pp.105-118
- Accès au bibtex
-
- titre
- High-K dielectric deposition in 3D architectures: The case of Ta2O5 deposited with metal-organic precursor TBTDET.
- auteur
- L. Pinzelli, M. Gros-Jean, Y. Brechet, F. Volpi, A. Bajolet, J.C. Giraudin
- article
- Microelectronics Reliability, 2007, 47 (4-5), pp.700-703
- Accès au bibtex
-
- titre
- The surface tension of liquid aluminium in high vacuum: the role of surface condition
- auteur
- J.M. Molina, R. Voytovych, E. Louis, N. Eustathopoulos
- article
- International Journal of Adhesion and Adhesives, 2007, 27, pp.394-401
- Accès au bibtex
-
- titre
- Growth of thick AlN layers by High Temperature CVD
- auteur
- A. Claudel, E. Blanquet, D. Chaussende, M. Audier, D. Pique, M. Pons
- article
- Internatinal Conference on Silicon Carbide and Related Materials, ICSCRM2007, 2007, Otsu, Japan. pp.1269-1272
- Accès au bibtex
-
- titre
- Scratch resistant nanostructured copper and aluminium alloys with strength of stainless steel
- auteur
- A.R. Yavari
- article
- 6th Brazilian MRS Meeting, Sumposium D Nanostructured materials, 2007, Brazil
- Accès au bibtex
-
- titre
- Etching mechanisms of HfO2, SiO2, and poly-Si substrates in BCl3 plasmas
- auteur
- E. Sungauer, E. Pargon, X. Mellhaoui, R. Ramos, G. Cunge, L. Vallier, O. R. Joubert, T. Lill
- article
- Journal of Vacuum Science and Technology, 2007, pp.B 25, (2007), 1640-1646
- Accès au bibtex
-
- titre
- Dislocation dynamics and micromechanical models of plasticity
- auteur
- M. Fivel
- article
- Notes de cours publiées dans le recueil de l'école d'été ISMID (International School on Modelling of Irradiation Damage), Rochehaut (Belgique), xxx, pp.20-22, 2007
- Accès au bibtex
-
- titre
- Optimisation of Structures With Metallic Hollow Spheres Foam Core
- auteur
- P. Lhuissier, L. Salvo, Y. Brechet, M. Fivel
- article
- 5th conference on porous metal and metallic foams Sept. 2007 Montreal, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- FePt thin films deposited onto SiO2 particles
- auteur
- D. Makarov, C. Brombacher, M. Maret, F. Liscio, G. Schatz, M. Albrecht
- article
- 6th Int. Conf. On Fine Particle Magnetism, 2007, Rome, Italy
- Accès au bibtex
-
- titre
- High Temperature Creep of a Pure Aluminum Open-Cells Foam Made by Replication Process
- auteur
- S. Soubielle, L. Salvo, F. Diologent, R. Goodall, A. Mortensen
- article
- 5th conference on porous metal and metallic foams Sept. 2007 Montreal, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Analysis of the flow in a thermo-acoustic MHD generator with conducting walls
- auteur
- A. Alemany, C. Vogin
- article
- European Journal of Mechanics - B/Fluids, 2007, 26 (4), pp.479-493
- Accès au bibtex
-
- titre
- Surface physico-chemistry study of an austenitic stainless steel : Effect of cold rolling treatment
- auteur
- M. Houmard, G. Berthomé, L. Boulangé, J.C. Joud
- article
- Corrosion Science, 2007, 469 (6), pp.2602-2611
- Accès au bibtex
-
- titre
- The dislocation patterns in deformed metals: dislocation densities, distributions and related misorientations
- auteur
- E.F. Rauch, G. Shigesato
- article
- proc. of the FJH Symposium 'Fundamentals of Deformation and Annealing Manchester'5-7th September 2006, 2007, Netherlands. pp.193-198
- Accès au bibtex
-
- titre
- About one stable and three metastable eutectic microconstituents in the Fe-W-C system
- auteur
- A. Antoni-Zdziobek, J.Y. Shen, M. Durand-Charre
- article
- International Journal of Refractory Metals and Hard Materials, 2007, 26 (4), pp.372-382. ⟨10.1016/j.ijrmhm.2007.09.001⟩
- Accès au texte intégral et bibtex
-
- titre
- Viscosity and density of a two-phase drilling fluid
- auteur
- O. Alemany, H. Mityar
- article
- Annals of Glaciology, 2007, 47 (1), pp.141 à 146. ⟨10.3189/172756407786857712⟩
- Accès au texte intégral et bibtex
-
- titre
- Undulation of sub-100 nm porous dielectric structures: A mechanical analysis
- auteur
- Maxime Darnon, T. Chevolleau, O. Joubert, S. Maitrejean, J.C. Barbe, J. Torres
- article
- Applied Physics Letters, 2007, 91 (19), ⟨10.1063/1.2805774⟩
- Accès au texte intégral et bibtex
-
- titre
- GISAXS study of nanocavities and defects induced by helium and neon implantation in silicon
- auteur
- D. Babonneau, S. Peripolli, M.F. Beaufort, J-F. Barbot, J.P. Simon
- article
- Journal of Applied Crystallography, 2007, 40, pp.s350-s354
- Accès au bibtex
-
- titre
- Solidification and grown-in defects
- auteur
- Thierry Duffar
- article
- W. Pfeiler. Alloy Physics: A Comprehensive Reference, 462, J. Wiley VCH, pp.63-118, 2007, 978-3527313211. ⟨10.1002/9783527614196.ch3⟩
- Accès au texte intégral et bibtex
-
- titre
- Planar Front-Equiaxed Growth Transition in Semiconductor Solidification: Application to Photovoltaic Silicon
- auteur
- Nathalie Mangelinck-Noël, Thierry Duffar
- article
- Transactions of the Indian Institute of Metals, 2007, 60 (2-3), pp.93-97
- Accès au bibtex
-
- titre
- Human fibroblast cell culturing on surface modified titanium implants
- auteur
- Istvan Pelsoczi, K. Ungvari, H. Polyanka, Z. Toth, B. Hopp, Csilla Gergely, Z. Rakonczay, Frédéric Cuisinier, A. Fazekas, K. Turzo
- article
- IADR meeting, 2007, Thessaloniki, Greece
- Accès au bibtex
-
- titre
- Synthesis Methods and Growth Mechanisms
- auteur
- A. Loiseau, X. Blase, J.C. Charlier, P. Gadelle, C. Journet, C. Laurent, A. Peigney
- article
- Understanding Carbon Nanotubes, xxx, pp.49-130, 2007
- Accès au bibtex
-
- titre
- Pedagogic using of COMSOL Multiphysics for learning Numerical Method and Numerical Modelling
- auteur
- J.M. Dedulle
- article
- Conférence COMSOL Multiphysics, 2007, Paris, France
- Accès au bibtex
-
- titre
- Micro-modelling of functionally graded SOFC Cathode
- auteur
- J. Deseure, Y. Bultel, L.C.R. Schneider, L. Dessemond, C.L. Martin
- article
- Journal of The Electrochemical Society, 2007, 154, pp.B1012-B1016
- Accès au bibtex
-
- titre
- Rp measurements with en in oil-brine mixtures when LPR fails
- auteur
- François Huet, R.P. Nogueira
- article
- Corrosion NACE, 2007, France. Paper 07366
- Accès au bibtex
-
- titre
- Analysis of melting and solidification behaviour of glass-forming alloys by synchrotron radiation
- auteur
- T.A Baser, M. Bostrom, M. Stoica, A.R. Yavari, M. Baricco
- article
- Advanced Engineering Materials, 2007, 9, pp.492-495
- Accès au bibtex
-
- titre
- Numerical study of boundary condition influence on convective flow and heat/mass transfer during concentrated alloy Bridgman crystal growth
- auteur
- M.P. Marchenko, T. Duffar
- article
- Modelling Simul. Materials Sciences Engeneering, 2007, 15, pp.509-521
- Accès au bibtex
-
- titre
- Nanoporous SiOCH Thin Films: from Sol Gel to PECVD
- auteur
- V. Jousseaume, L. Favennec, A. Zenasni, O. Gourhant, P. Maury, J.P. Simon
- article
- 211th Meeting of the Electrochemical Society, 2007, United States. a paraitre
- Accès au bibtex
-
- titre
- Surface properties and activity of Fe-Ni-B ternary glasses
- auteur
- P. Patsalas, A. Lekatou, E. Pavlidou, M. Kamaratos, E. Evangelakis, A.R. Yavari
- article
- Journal of Alloys and Compounds, 2007, 434-435, pp.229-233
- Accès au bibtex
-
- titre
- 1. “FSW and microstructral design”, 2. “Materials selection methods : new advances” 3. “Cellular adhesion on inert surfaces”
- auteur
- Y. Brechet
- article
- Cohen Lectures: Three lectures at Northwestern Universities, 2007, Evanston, United States
- Accès au bibtex
-
- titre
- A numerical methodology to predict the SOFC fracture: the case of an anode supported cell
- auteur
- J. Laurencin, G. Delette, M. Dupeux, F. Lefebvre-Joud.
- article
- 10th International Symposium on Solid Oxide Fuel Cells (SOFC-X), 2007, Nara, Japan. pp.677-686
- Accès au bibtex
-
- titre
- Integrated PCMs solar system with in the building envelop
- auteur
- Xavier Faure, Kévyn Johannes, Florence Joussellin, Patrick Pierson, Daniel Quénard
- article
- Heat Set 2007, 2007, Chambery, France. pp.711-719
- Accès au bibtex
-
- titre
- Deposition of thin films TiO2 by atmospheric plasma post-discharge assisted injection MOCVD
- auteur
- Carmen Jiménez, D. de Barros, A. Darraz, J. L. Deschanvres, L. Rapenne, P. Chaudouet, J.E. Mendez, F. Weiss, M. Thomachot, T. Sindzingre, G. Berthomé, F. J. Ferrer
- article
- Surface and Coatings Technology, 2007, 201 (22-23), pp.8971-8975
- Accès au texte intégral et bibtex
-
- titre
- Plasticity in bulk metallic glasses investiagted via the strain distribution
- auteur
- J. Das, M. Bostrom, A. Kvick, A.R. Yavari, A.L. Greer, J. Eckert
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, pp.092203-092207
- Accès au bibtex
-
- titre
- Microstructues and mechanical properties of bulk AIFeNd(Cu;Si) alloys obtained through centrifugal orce casting
- auteur
- R.A. Mesquita, D.R. Leiva, A.R. Yavari, W.J. Botta
- article
- Materials Science and Engineering: A, 2007, 452-453, pp.161-169
- Accès au bibtex
-
- titre
- Numerical simulation of temperature and pressure fields in the MSL-CdTe growth experiment in relation with dewetting
- auteur
- T. Duffar, L. Sylla
- article
- Journal of Crystal Growth, 2007, 303, pp.187-192
- Accès au bibtex
-
- titre
- Surface analyses studies of poly-Si/metal gates etched in SF6/CH2F2 based plasmas
- auteur
- O. Luere, L. Vallier, O. R. Joubert, T. Lill
- article
- Proceedings of the 29th International Symposium on Dry Process, 2007, tokyo, Japan
- Accès au bibtex
-
- titre
- Analyses of deposition/etching regimes during selective etching of HfO2 on silicon in BCl3 plasmas: Impact of chamber walls
- auteur
- E. Sungauer, X. Mellhaoui, E. Pargon, T. Lill, O. R. Joubert
- article
- 54th International AVS Symposium & Topical Conferences, 2007, seattle, United States
- Accès au bibtex
-
- titre
- Evaluation of ellipsometric porosimetry for in-line characterization of low- dielectrics
- auteur
- C. Licitra, Maxime Darnon, T. Chevolleau, S. Cetre, H. Fontaine, A. Zenasni, L.L. Chapelon
- article
- ISCE, 4th International Conference on Spectroscopic Ellipsometry, 2007, stockolm, Sweden
- Accès au bibtex
-
- titre
- 4 cours dispensés sur la dynamique des dislocations au CISM (Centre International de Sciences et de Mécanique). Le thème de l'école d'été était : Generalised Continua and Dislocation Theory: Theoretical Concepts, Computational Methods and Experimental Verification, organisé par Carlos Sansour
- auteur
- M.C. Fivel
- article
- xxx, 2007, Italy
- Accès au bibtex
-
- titre
- Cracking behavior of porous C-doped ultra low k dielectrics
- auteur
- S. Maitrejean, M. Braccini, M. Rousseau, M. Verdier, G. Passemard
- article
- Advanced Metallization Conference, 2007, Town, United States. pp.717-722
- Accès au bibtex
-
- titre
- Nanostructured copper with strength of stainless stell
- auteur
- A.R. Yavari
- article
- Bulk Nanostructured Materials BNM-2007, 2007, Russia
- Accès au bibtex
-
- titre
- The influence of the viscous friction on Kelvin-Helmholtz instability
- auteur
- Y. Laghouati, A. Bouabdallah, M. Zizi, A. Alemany
- article
- First International seminar on Fluid Dynamics and Material Processing, 2007, Alger, Algeria
- Accès au bibtex
-
- titre
- The elastic potential energy of a thin foil deformed by an in-plane 60° dislocation
- auteur
- S. Youssef, R. Bonnet
- article
- Philosophical Magazine, 2007, 87 (31), pp.4935-4948
- Accès au bibtex
-
- titre
- Chemical vapor deposition of thin films and coatings: Evaluation and process modeling
- auteur
- C. Bernard, E. Blanquet, M. Pons
- article
- Surface and Coatings Technology, 2007, 202, pp.790-797
- Accès au bibtex
-
- titre
- Localisation tridimensionnelle de répartition de densité de puissance Joule dans un bain de verre chauffé par induction
- auteur
- E. Sauvage, P. Brun, J. Lacombe, A. Gagnoud, J.M. Dedulle
- article
- SFT 2007, 2007, Les Embiez, France. pp.645-650
- Accès au bibtex
-
- titre
- Soft X-ray coherent scattering: Instrument and methods at ESRF ID08
- auteur
- F. Livet, G. Beutier, A. Marty, G. van Der Laan
- article
- Review of Scientific Instruments, 2007, 78, pp.093901
- Accès au bibtex
-
- titre
- Magneto electrolysis: A new domain for magnetic field investigation
- auteur
- A. Alemany, J.P. Chopart
- article
- Hartmann Meeting, 2007, Coventry, United Kingdom
- Accès au bibtex
-
- titre
- Modeling approach of a new anode concept for gradual internal reforming
- auteur
- J.M. Klein, Y. Bultel, S. Georges, M. Pons
- article
- 10th International Symposium on Solid Oxide Fuel Cells (SOFC-X), 3-8 June 2007, 2007, Nara, Japan. pp.1419-1428
- Accès au bibtex
-
- titre
- One-equation model for quasi-two-dimensional turbulent magnetohydrodynamic flows
- auteur
- S. Smolentsev, R. Moreau
- article
- Physics of Fluids, 2007, 19, pp.78-101
- Accès au bibtex
-
- titre
- AWAXS or AXRD/ASAXS:complementary or competitive technics
- auteur
- J.P. Simon, O. Lyon
- article
- Diamond User Meeting; Anomalous scattering Satellite, 2007, oxford, United Kingdom
- Accès au bibtex
-
- titre
- GISAXS study of porous dielectrics used in advanced microelectronics connections
- auteur
- V. Jousseaume, J.P. Simon, G. Rolland
- article
- Journal of Applied Crystallography, 2007, 40, pp.s363-s366
- Accès au bibtex
-
- titre
- Design des microstructures
- auteur
- M. Veron
- article
- Physique en Clip, 2007, Grenoble, France
- Accès au bibtex
-
- titre
- Synthesis of new Mg-based bulk metallic glasses with high glass forming ability
- auteur
- Jean-Louis Soubeyroux, Sylvain Puech, J.J. Blandin
- article
- Materials Science and Engineering: A, 2007, 449, pp.253-256. ⟨10.1016/j.msea.2006.02.429⟩
- Accès au bibtex
-
- titre
- Effect of Nb and Y additions on glass formation and magnetic propertiesn en the Fe78B14Si8 alloy
- auteur
- R. Piccin, P. Tiberto, G. Ababei, H. Chiriac, A.R. Yavari, M. Baricco
- article
- Advanced Engineering Materials, 2007, 97, pp.480-482
- Accès au bibtex
-
- titre
- Isolation of Indonesian Cananga Oil by Instantaneous Controlled Pressure Drop. Influence of processing parameters on compound yields
- auteur
- M. Kristiawan, V. Sobolic, M. Al-Haddad, Karim Allaf
- article
- J. Chem. Engng. Japan, 2007, 40 (11), pp.1021-1029
- Accès au bibtex
-
- titre
- Morphology of WC grains in WC-Co alloys: theoretical determination of grain shape
- auteur
- M. Christensen, G. Wahnstrom, S. Lay, M. Alibert
- article
- Acta Materialia, 2007, 55, pp.1515-1521
- Accès au bibtex
-
- titre
- Influence of firing temperature on interface adhesion between screen-printed Ag film and BaTiO3 substrate
- auteur
- C.Y. Lee, M. Dupeux, W.H. Tuan
- article
- Materials Science and Engineering: A, 2007, 467, pp.125-131
- Accès au bibtex
-
- titre
- Comparison Between E-beam and Ultraviolet Curing to Perform Porous a-SiOC:H
- auteur
- V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet, J.P. Simon, A. Humbert
- article
- Journal of The Electrochemical Society, 2007, 154, pp.G103
- Accès au bibtex
-
- titre
- Experimental study of the dynamics of the Zn2Mg Laves phase
- auteur
- S. Francoual, M. de Boissieu, R. Currat, K. Shibata, Yvan Sidis, B. Hennion
- article
- Journal of Non-Crystalline Solids, 2007, 353, pp.255-258
- Accès au bibtex
-
- titre
- Elaboration of Ta2O5 Thin Films Using Electrostatic Spray Deposition for Microelectronic Applications
- auteur
- A. Lintanf, A. Mantoux, E. Blanquet, E. Djurado
- article
- Journal of Physical Chemistry C, 2007, 111 (15), pp.5708-5714
- Accès au bibtex
-
- titre
- Direct sintering of (steel/cemented carbide) two-layer materials
- auteur
- A. Thomazic, C. Pascal, J.M. Chaix
- article
- Euro PM2007, 2007, Toulouse, France. pp.313-318
- Accès au bibtex
-
- titre
- Influence of the C-potential and of a Cr3C2 addition on the solid-state sintering mechanisms of WC-Co alloys
- auteur
- V. Bounhoure, J.M. Missiaen, S. Lay, E. Pauty
- article
- Euro PM2007, 2007, Toulouse, France. pp.11
- Accès au bibtex
-
- titre
- characterization of nanocavities in silicon using small angle X-ray scattering
- auteur
- M. Dumont, V. Coulet, G. Regula, F. Bley
- article
- Materials Research Society Symposia Proceedings, 2007, 884, pp.119-124
- Accès au bibtex
-
- titre
- 2006 Powder Metallurgy World Congress
- auteur
- I.O. Ozer, J.M. Missiaen, C. Pascal, S. Lay, J.M. Chaix, Raphaël Mitteau
- article
- xxx, 2007, France. pp.1569-1572
- Accès au bibtex
-
- titre
- Grain structure of Al-Ni alloys directionally solidified using a travelling magnetic field
- auteur
- K. Zaïdat, Nathalie Mangelinck-Noël, R. Moreau
- article
- International Symposium on Liquid Metal Processing and Casting, 2007, XX, France. pp.509-521
- Accès au bibtex
-
- titre
- Effect of AC magnetic fields on free surfaces
- auteur
- Y. Fautrelle, A. Sneyd, J. Etay
- article
- Springer. in Historical Evolution and Trends Evolution and Trends in Magnetohydrodynamics, R. Moreau, a paraitre, 2007, Fluid Mechanics and Its Applications
- Accès au bibtex
-
- titre
- Indirect identification of Hads relaxation on different metals by electrochemical impedance spectroscopy
- auteur
- N. Amokrane, C. Gabrielli, R.P. Nogueira
- article
- Electrochimica Acta, 2007, 52, pp.4202-4209
- Accès au bibtex
-
- titre
- The changing faces of disorder
- auteur
- A.R. Yavari
- article
- Nature Materials, 2007, 6, pp.181-182
- Accès au bibtex
-
- titre
- Monte Carlo simulation of uniform corrosion process under potentiostatic conditions
- auteur
- S. Guessasma, O. El Kedim, Ph. Nardin, R. Hamzaoui, T. Grosdidier
- article
- Corrosion Science, 2007, 49 (7), pp.2880-2904. ⟨10.1016/j.corsci.2006.10.041⟩
- Accès au bibtex
-
- titre
- Structure determination of quasicrystals
- auteur
- M. de Boissieu, H. Takakura, C.P. Gomez, A. Yamamoto, A.P. Tsai
- article
- Philosophical Magazine, 2007, 87, pp.2613-1633
- Accès au bibtex
-
- titre
- GISAXS on alloy nanostructures grown by quasi van der Waals epitaxy
- auteur
- F. Liscio, M. Maret, J.P. Simon, A. Albrecht
- article
- 2nd Workshop GISAXS, 2007, France
- Accès au bibtex
-
- titre
- Structural and magnetic characterization of FePt films deposited on SiO2 spherical particle arrays
- auteur
- C. Brombacher, D. Makarov, P. Kappenberger, F. Liscio, M. Maret, I. Guhr, M. Albrecht
- article
- 3rd Seeheim Conference on Magnetism, 2007, Germany
- Accès au bibtex
-
- titre
- Elaboration of (steel/cemented carbide) multimaterial by powder metallurgy
- auteur
- C. Pascal, J.M. Chaix, A. Dutt, S. Lay, C.H. Allibert
- article
- 2006 Powder Metallurgy World Congress, 2007, South Korea. pp.1529-1532
- Accès au bibtex
-
- titre
- Atomic-scale plasticity in presence of Frank loops
- auteur
- T. Nogaret, C. Robertson, D. Rodney
- article
- Philosophical Magazine, 2007, 87 (6), pp.945-966
- Accès au bibtex
-
- titre
- Modélisation par la méthode des éléments discrets de la conductivité effective d'électrodes pour piles à combustibles à oxyde solide (SOFC).
- auteur
- L.C.R. Schneider, C.L. Martin, Y. Bultel, D. Bouvard
- article
- 18ème Congrès Français de Mécanique, Grenoble, 27-31 août 2007, 2007, France. 6 p. sur cd rom
- Accès au bibtex
-
- titre
- Nanoindentation through the scales: from the atomic to continuum plasticity
- auteur
- M. Fivel
- article
- 11th International Symposium on Continuum Models and Discrete Systems (CMDS11), 2007, France
- Accès au bibtex
-
- titre
- In situ small-angle scattering study of the precipitation kinetics in an Al-Zr-Sc alloy
- auteur
- A. Deschamps, L. Lae, P. Guyot
- article
- Acta Materialia, 2007, 55, pp.2775
- Accès au bibtex
-
- titre
- Overview of experiments in metallic multilayers
- auteur
- M. Verdier
- article
- International Workshop on Small Scale plasticity, 2007, Braunwald, Switzerland
- Accès au bibtex
-
- titre
- 2D and 3D numerical modelling of a cold crucible for optimizing of industrial processes
- auteur
- R. Ernst, C. Garnier, P. Petitpas, C. Trassy
- article
- HES, 2007, France. pp.33-40
- Accès au bibtex
-
- titre
- Preliminary study of 3C-SiC growth from high temperature solution
- auteur
- Florian Mercier, D. Chaussende, J.M. Dedulle, M. Pons
- article
- Hetero'SiC'07, 2007, France. pp.2
- Accès au bibtex
-
- titre
- Study of the cellular structure of extruded starches and its relations with expansion phenomenon and foam mechanical properties by X-ray tomography
- auteur
- P. Babin, G. Della Valle, R. Dendievel, S. Guessasma, D. Lourdin, L. Salvo
- article
- Biofoams 2007, 2007, Capri, Italy
- Accès au bibtex
-
- titre
- 3D-Visualisation of the phases in cast Al-Mg-Si Alloy
- auteur
- H.P. Degischer, F. Lasagni, M. Suery, L. Salvo, E. Maire
- article
- SF2M, 2007, France
- Accès au bibtex
-
- titre
- Serrated or Jerky flow in Metallic Glasses
- auteur
- A.R. Yavari
- article
- International Workshop on Bulk Metallic Glasses : Science & Technology, 2007, Bangalore, India
- Accès au bibtex
-
- titre
- Structure-property optimization of ultrafine-grained dual-phase steels using a micro structure-based strain hardening model
- auteur
- M. Delince, Y. Brechet, J.D. Embury, M.G.D. Geers, P. J. Jacques, T. Pardoen
- article
- Acta Materialia, 2007, 55, pp.2337-2350
- Accès au bibtex
-
- titre
- The Bauschinger effect and internal stresses in 6111 Aluminium alloys
- auteur
- Henry Proudhon, W. Poole, X. Wang, Y. Brechet
- article
- Philosophical Magazine, 2007, a paraitre
- Accès au bibtex
-
- titre
- Effect of dislocations on precipitation of Nb-C in alpha-Fe
- auteur
- A. Deschamps, F. Perrard, F. Bley, P. Donnadieu, Philippe Maugis
- article
- Materials Science Forum, 2007, 539-543, pp.4161
- Accès au bibtex
-
- titre
- Modelling the precipitation of NbC on dislocations in -Fe
- auteur
- F. Perrard, A. Deschamps, Philippe Maugis
- article
- Acta Materialia, 2007, 55, pp.1255
- Accès au bibtex
-
- titre
- Activation enthalpy for kink-pair nucleation on dislocations: Comparison between static and dynamic atomic-scale simulations
- auteur
- D. Rodney
- article
- Physical Review B: Condensed Matter and Materials Physics (1998-2015), 2007, 76, pp.144108
- Accès au bibtex
-
- titre
- Influence du procédé d'élaboration sur les propriétés électrochimiques et mécaniques de cathodes de piles à combustibes à oxydes solides
- auteur
- J. Simonet, G. Kapelski, D. Bouvard
- article
- Colloque Poudres et Matériaux Frittés, 23-25 mai 2007, 2007, France. a paraitre
- Accès au bibtex
-
- titre
- Failure of zanamivir therapy for pneumonia in a bone-marrow transplant recipient infected by a zanamivir-sensitive influenza A (H1N1) virus.
- auteur
- Rita Medeiros, Marie-Anne Rameix-Welti, Valérie Lorin, Patricia Ribaud, Jean-Claude Manuguerra, Gérard Socie, Catherine Scieux, Nadia Naffakh, Sylvie van Der Werf
- article
- Antiviral Therapy, 2007, 12 (4), pp.571-6
- Accès au bibtex
-
- titre
- Basic principes of non-contact thermophysical property measurements in reduced gravity unsing inductive levitation
- auteur
- P. Schetelat, V. Bojarevics, K. Pericleous, J. Etay
- article
- 3rd Int.Symp. on Physical Sciences in Space – ISPS2007, 2007, Nara, Japan. pp.41-47
- Accès au bibtex
-
- titre
- Mechanical cross-characterisation of sputtered inconel thin films for MEMS applications
- auteur
- G. Fleury, C. Malhaire, C. Populaire, Mireille Verdier, Arnaud Devos, J.L. Charvet, J.P. Polizzi
- article
- Sensors and Actuators B: Chemical, 2007, 126 (1), pp.48-51. ⟨10.1016/j.snb.2006.10.038⟩
- Accès au bibtex
-
- titre
- Problème modèle pour l'étude des transitions flambage primaire- flambage secondaire de plaques minces
- auteur
- G. Parry, A. Cimetière
- article
- Congrès Français de Mècanique, 2007, France
- Accès au bibtex
-
- titre
- In situ Synchrotron Far Infrared Spectromicroscopy of a copper electrode at Grazing Incidence Angle,
- auteur
- Françoise Hahn, Y.-L. Mathis, A. Bonnefont, F. Maillard, C.A. Melendres
- article
- J. Synchrotron Rad., 2007, 14, pp.446
- Accès au bibtex
-
- titre
- The planar-Equiaxed transition, application to photovoltaic silicon solidification
- auteur
- T. Duffar, N. Mangelinck
- article
- ICSSP3 - trans.Indian Inst. Met, Nov 2006, Jaipur, Rajahstan, India. pp.93-97
- Accès au bibtex
-
- titre
- Hot working of high-purity nickel-niobium alloys
- auteur
- F. Montheillet, Sébastien Girard, C. Desrayaud, J. Le Coze, S.L Semiatin
- article
- 5th International Conference on Processing and Manufacturing of Advanced Materials, Jul 2006, Vancouver, Canada. pp.2966-2971 (Part:1-5)
- Accès au bibtex
-
- titre
- Tungsten/Copper Functionnally graded materials: possible applications and processing through the powder metallurgy route
- auteur
- O. Ozer, J.M. Missiaen, C. Pascal, S. Lay, J.M. Chaix, Raphaël Mitteau
- article
- 2006 Powder Metallurgy World Congress, 2006, South Korea. pp.1569-1572
- Accès au bibtex
-
- titre
- Coupling between the Raman Spectroscopy and Photoemission Microscopy Techniques: Investigation of Defects in Biased 4H-SiC pin Diodes
- auteur
- Aurélie Thuaire, Michel Mermoux, Edwige Bano, Alexandre Crisci, Francis Baillet, K. Zekentes
- article
- European Conference on Silicon Carbide and Related Materials, 2006, Newcastle upon Tyne, United Kingdom. pp.909-912
- Accès au bibtex
-
- titre
- Mechanism Of Orientation Selection For The Growth Of (111) Twin Boundary Free 3C-SiC Single Crystals On Hexagonal Basis
- auteur
- L. Latu-Romain, D. Chaussende, L. Rapenne, M. Pons, R. Madar
- article
- European Conference on Silicon Carbide and Related Materials, 2006, Newcastle, United Kingdom. pp.199-202
- Accès au bibtex
-
- titre
- Nanometric architecture of SmCo5 related magnetic properties
- auteur
- Yannick Champion, J. Zhang, G. Youhui, Lotfi Bessais
- article
- Materials Science Forum, 2001, 10, pp.513
- Accès au bibtex
-